TW202025261A - Method for forming semiconductor device - Google Patents

Method for forming semiconductor device Download PDF

Info

Publication number
TW202025261A
TW202025261A TW108134817A TW108134817A TW202025261A TW 202025261 A TW202025261 A TW 202025261A TW 108134817 A TW108134817 A TW 108134817A TW 108134817 A TW108134817 A TW 108134817A TW 202025261 A TW202025261 A TW 202025261A
Authority
TW
Taiwan
Prior art keywords
spacer
fin
forming
layer
semiconductor device
Prior art date
Application number
TW108134817A
Other languages
Chinese (zh)
Other versions
TWI725557B (en
Inventor
譚偉鈞
翁翊軒
程德恩
林詠惠
林瑋耿
李威養
粘志鴻
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US16/458,437 external-priority patent/US11205597B2/en
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202025261A publication Critical patent/TW202025261A/en
Application granted granted Critical
Publication of TWI725557B publication Critical patent/TWI725557B/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02052Wet cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • H01L21/26513Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors of electrically active species
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • H01L21/30608Anisotropic liquid etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/3115Doping the insulating layers
    • H01L21/31155Doping the insulating layers by ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823468MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823864Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0684Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape, relative sizes or dispositions of the semiconductor regions or junctions between the regions
    • H01L29/0688Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape, relative sizes or dispositions of the semiconductor regions or junctions between the regions characterised by the particular shape of a junction between semiconductor regions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66234Bipolar junction transistors [BJT]
    • H01L29/66325Bipolar junction transistors [BJT] controlled by field-effect, e.g. insulated gate bipolar transistors [IGBT]
    • H01L29/66333Vertical insulated gate bipolar transistors
    • H01L29/66348Vertical insulated gate bipolar transistors with a recessed gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7855Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with at least two independent gates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/266Bombardment with radiation with high-energy radiation producing ion implantation using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823878Complementary field-effect transistors, e.g. CMOS isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/36Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the concentration or distribution of impurities in the bulk material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

A method includes forming a first fin extending from a substrate, forming a first gate stack over and along sidewalls of the first fin, forming a first spacer along a sidewall of the first gate stack, the first spacer including a first composition of silicon oxycarbide, forming a second spacer along a sidewall of the first spacer, the second spacer including a second composition of silicon oxycarbide, forming a third spacer along a sidewall of the second spacer, the third spacer including silicon nitride, and forming a first epitaxial source/drain region in the first fin and adjacent the third spacer.

Description

半導體裝置的製造方法Manufacturing method of semiconductor device

本發明實施例是關於半導體製造技術,特別是有關於半導體裝置的製造方法。The embodiments of the present invention are related to semiconductor manufacturing technology, in particular to manufacturing methods of semiconductor devices.

半導體裝置用於多種電子應用中,舉例來說像是個人電腦、行動電話、數位相機及其他電子設備。通常藉由在半導體基底上方按順序地沉積絕緣或介電層、導電層和半導體層的材料,並且使用微影將各種材料層圖案化,以形成電路組件及元件在半導體基底上而製造出半導體裝置。Semiconductor devices are used in a variety of electronic applications, such as personal computers, mobile phones, digital cameras, and other electronic equipment. Generally, a semiconductor is manufactured by sequentially depositing materials for an insulating or dielectric layer, a conductive layer, and a semiconductor layer on a semiconductor substrate, and patterning various material layers using lithography to form circuit components and components on the semiconductor substrate. Device.

半導體產業藉由不斷地縮減最小部件(feature)的尺寸,而持續改善了各種電子組件(例如電晶體、二極體、電阻器、電容器等)的積體密度,這使得更多組件可以被整合至指定的面積內。然而,隨著最小部件的尺寸縮減,其所衍生出的額外問題需要解決。The semiconductor industry continues to improve the integration density of various electronic components (such as transistors, diodes, resistors, capacitors, etc.) by continuously reducing the size of the smallest components (feature), which allows more components to be integrated To within the specified area. However, as the size of the smallest component shrinks, additional problems arise from it that need to be resolved.

根據本發明實施例中的一些實施例,提供半導體裝置的製造方法。此方法包含在基底上方形成第一鰭片和第二鰭片;在第一鰭片上方形成第一虛設閘極結構並在第二鰭片上方形成第二虛設閘極結構;在第一鰭片上、在第二鰭片上、在第一虛設閘極結構上和在第二虛設閘極結構上沉積碳氧化矽的第一層;經由碳氧化矽材料的第一層將雜質佈植至第一鰭片中和第二鰭片中;在佈植之後,在碳氧化矽材料的第一層上方沉積碳氧化矽材料的第二層;在沉積碳氧化矽材料的第二層之後,對第一鰭片和第二鰭片進行濕式清潔製程;在第二鰭片和第二虛設閘極結構上方形成第一遮罩;凹蝕鄰近第一虛設閘極結構的第一鰭片以在第一鰭片中形成多個第一凹槽;在凹蝕第一鰭片之後,對第一鰭片和第二鰭片進行濕式清潔製程;在第一鰭片和第一虛設閘極結構上方形成第二遮罩;凹蝕鄰近第二虛設閘極結構的第二鰭片以在第二鰭片中形成多個第二凹槽;以及進行磊晶製程以同時形成所述多個第一凹槽中的多個第一磊晶源極/汲極區和所述多個第二凹槽中的多個第二磊晶源極/汲極區。According to some of the embodiments of the present invention, a method of manufacturing a semiconductor device is provided. The method includes forming a first fin and a second fin above a substrate; forming a first dummy gate structure above the first fin and forming a second dummy gate structure above the second fin; on the first fin , Deposit a first layer of silicon oxycarbide on the second fin, on the first dummy gate structure and on the second dummy gate structure; implant impurities to the first fin through the first layer of silicon oxycarbide material In the chip and in the second fin; after implanting, deposit a second layer of silicon oxycarbide material over the first layer of silicon oxycarbide material; after depositing the second layer of silicon oxycarbide material, pair the first fin The second fin and the second fin are subjected to a wet cleaning process; a first mask is formed over the second fin and the second dummy gate structure; the first fin adjacent to the first dummy gate structure is etched to etch the first fin A plurality of first grooves are formed in the sheet; after the first fin is etched, a wet cleaning process is performed on the first fin and the second fin; a second fin is formed above the first fin and the first dummy gate structure Two masks; etching the second fin adjacent to the second dummy gate structure to form a plurality of second grooves in the second fin; and performing an epitaxial process to simultaneously form the plurality of first grooves A plurality of first epitaxial source/drain regions in a plurality of and a plurality of second epitaxial source/drain regions in the plurality of second grooves.

根據本發明實施例中的另一些實施例,提供半導體裝置的製造方法。此方法包含將基底圖案化以形成多個第一鰭片和多個第二鰭片;在所述多個第一鰭片上形成多個第一虛設閘極結構;在所述多個第二鰭片上形成多個第二虛設閘極結構;在所述多個第一虛設閘極結構上形成多個第一間隔結構;在所述多個第二虛設閘極結構上形成多個第二間隔結構,其中所述多個第一間隔結構和所述多個第二間隔結構包含低介電常數介電材料;在所述多個第一鰭片中形成多個第一凹槽,包含:進行第一濕式除渣製程;以及進行第一非等向性蝕刻製程以在所述多個第一鰭片中形成所述多個第一凹槽;在所述多個第一鰭片中形成所述多個第一凹槽之後,在所述多個第二鰭片中形成多個第二凹槽,包含:進行第二濕式除渣製程;以及進行第二非等向性蝕刻製程以在所述多個第二鰭片中形成所述多個第二凹槽;以及在所述多個第一凹槽中磊晶成長多個第一源極/汲極結構並且在所述多個第二凹槽中磊晶成長多個第二源極/汲極結構。According to other embodiments of the embodiments of the present invention, methods for manufacturing semiconductor devices are provided. This method includes patterning a substrate to form a plurality of first fins and a plurality of second fins; forming a plurality of first dummy gate structures on the plurality of first fins; and forming a plurality of first dummy gate structures on the plurality of second fins A plurality of second dummy gate structures are formed on the chip; a plurality of first spacer structures are formed on the plurality of first dummy gate structures; a plurality of second spacer structures are formed on the plurality of second dummy gate structures , Wherein the plurality of first spacing structures and the plurality of second spacing structures comprise a low-k dielectric material; forming a plurality of first grooves in the plurality of first fins includes: performing a first A wet deslagging process; and performing a first anisotropic etching process to form the plurality of first grooves in the plurality of first fins; forming all the first grooves in the plurality of first fins After the plurality of first grooves, forming a plurality of second grooves in the plurality of second fins includes: performing a second wet deslagging process; and performing a second anisotropic etching process to Forming the plurality of second grooves in the plurality of second fins; and epitaxially growing a plurality of first source/drain structures in the plurality of first grooves, and in the plurality of first grooves A plurality of second source/drain structures are epitaxially grown in the two grooves.

根據本發明實施例中的又另一些實施例,提供半導體裝置的製造方法。此方法包含形成從基底延伸的第一鰭片;在第一鰭片的側壁上方並沿著第一鰭片的側壁形成第一閘極堆疊;沿著第一閘極堆疊的側壁形成第一間隔物,第一間隔物包含碳氧化矽的第一組成;沿著第一間隔物的側壁形成第二間隔物,第二間隔物包含碳氧化矽的第二組成;沿著第二間隔物的側壁形成第三間隔物,第三間隔物包含氮化矽;以及在第一鰭片中並鄰近第三間隔物形成第一磊晶源極/汲極區。According to still other embodiments of the embodiments of the present invention, methods for manufacturing semiconductor devices are provided. The method includes forming a first fin extending from a substrate; forming a first gate stack above and along the sidewall of the first fin; forming a first gap along the sidewall of the first gate stack The first spacer includes a first composition of silicon oxycarbide; a second spacer is formed along the sidewall of the first spacer, and the second spacer includes a second composition of silicon oxycarbide; along the sidewall of the second spacer Forming a third spacer, the third spacer including silicon nitride; and forming a first epitaxial source/drain region in the first fin and adjacent to the third spacer.

以下內容提供許多不同實施例或範例,用於實施本發明實施例的不同部件。組件和配置的具體範例描述如下,以簡化本發明實施例。當然,這些僅僅是範例,並非用於限定本發明實施例。舉例來說,敘述中若提及第一部件形成於第二部件上或上方,可能包含形成第一部件和第二部件直接接觸的實施例,也可能包含額外的部件形成於第一部件和第二部件之間,使得第一部件和第二部件不直接接觸的實施例。另外,本發明實施例在不同範例中可重複使用參考數字及/或字母。此重複是為了簡化和清楚之目的,並非代表所討論的不同實施例及/或組態之間有特定的關係。The following content provides many different embodiments or examples for implementing different components of the embodiments of the present invention. Specific examples of components and configurations are described below to simplify the embodiments of the present invention. Of course, these are only examples and are not used to limit the embodiments of the present invention. For example, if the description mentions that the first part is formed on or above the second part, it may include an embodiment in which the first part and the second part are in direct contact, or may include additional parts formed on the first part and the second part. Between the two parts, the first part and the second part are not in direct contact. In addition, the embodiments of the present invention may reuse reference numbers and/or letters in different examples. This repetition is for the purpose of simplification and clarity, and does not represent a specific relationship between the different embodiments and/or configurations discussed.

此外,本文可能使用空間相對用語,例如「在……之下」、「在……下方」、「下方的」、「在……上方」、「上方的」及類似的用詞,這些空間相對用語係為了便於描述如圖所示之一個(些)元件或部件與另一個(些)元件或部件之間的關係。這些空間相對用語包含使用中或操作中的裝置之不同方位,以及圖式中所描述的方位。當裝置被轉向不同方位時(旋轉90度或其他方位),在此所使用的空間相對形容詞也將依轉向後的方位來解釋。In addition, this article may use spatial relative terms, such as "below...", "below...", "below", "above...", "above" and similar terms, which are relative to each other. The terminology is used to facilitate the description of the relationship between one element(s) or component(s) and another element(s) or component(s) as shown in the figure. These spatial relative terms include the different orientations of the devices in use or operation, as well as the orientations described in the diagrams. When the device is turned in different directions (rotated by 90 degrees or other directions), the spatially relative adjectives used here will also be interpreted according to the turned position.

各個實施例提供了用於在鰭式場效電晶體裝置中形成閘極間隔物和形成磊晶源極/汲極區的製程。在一些實施例中,例如碳氧化矽的低介電常數材料可以用於閘極間隔物的一些或全部。將碳氧化矽用於閘極間隔物可以降低鰭式場效電晶體裝置內的寄生電容。另外,選擇性地遮蔽裝置區且分別為每個裝置區中的磊晶源極/汲極區蝕刻出凹槽,可以在每個裝置區中使用相同的磊晶形成製程同時形成不同的磊晶源極/汲極區。因此,可以同時形成具有不同類型裝置的特性之用於不同類型裝置的磊晶源極/汲極區。藉由在每個多重圖案化步驟之前使用加熱的硫酸和過氧化氫的濕式化學製程來清潔和準備表面,可以減少對碳氧化矽層的損壞。因此,可以在製程流程中達到碳氧化矽的益處和多重圖案化的益處,並且減少加工缺陷的發生。Various embodiments provide processes for forming gate spacers and forming epitaxial source/drain regions in a fin-type field effect transistor device. In some embodiments, low dielectric constant materials such as silicon oxycarbide may be used for some or all of the gate spacers. Using silicon oxycarbide as the gate spacer can reduce the parasitic capacitance in the fin-type field effect transistor device. In addition, by selectively shielding the device regions and separately etching grooves for the epitaxial source/drain regions in each device region, the same epitaxial formation process can be used in each device region to simultaneously form different epitaxial wafers Source/drain region. Therefore, it is possible to simultaneously form epitaxial source/drain regions for different types of devices with characteristics of different types of devices. By using a wet chemical process of heated sulfuric acid and hydrogen peroxide to clean and prepare the surface before each multiple patterning step, damage to the silicon oxycarbide layer can be reduced. Therefore, the benefits of silicon oxycarbide and the benefits of multiple patterning can be achieved in the process flow, and the occurrence of processing defects can be reduced.

第1圖根據一些實施例以三維示意圖繪示鰭式場效電晶體的範例。鰭式場效電晶體包含在基底50(例如半導體基底)上的鰭片52。在基底50中設置隔離區56,並且鰭片52從相鄰的隔離區56之間突出並突出至隔離區56之上。雖然將隔離區56描述/繪示為與基底50隔開,但是如在此所用,用語「基底」可以僅指半導體基底或包含隔離區的半導體基底。另外,雖然鰭片52被示為與基底50相同之單一、連續材料,但是鰭片52及/或基底50可包含單一材料或多種材料。閘極介電層92沿著鰭片52的側壁且位於鰭片52的頂表面上方,並且閘極電極94位於閘極介電層92上方。源極/汲極區82相對於閘極介電層92和閘極電極94設置在鰭片52的兩側中。FIG. 1 illustrates an example of a fin-type field effect transistor in a three-dimensional schematic diagram according to some embodiments. The fin-type field effect transistor includes a fin 52 on a substrate 50 (for example, a semiconductor substrate). An isolation region 56 is provided in the substrate 50, and the fin 52 protrudes from between adjacent isolation regions 56 and protrudes above the isolation region 56. Although the isolation region 56 is described/illustrated as being separated from the substrate 50, as used herein, the term "substrate" may only refer to a semiconductor substrate or a semiconductor substrate including the isolation region. In addition, although the fin 52 is shown as the same single, continuous material as the base 50, the fin 52 and/or the base 50 may comprise a single material or multiple materials. The gate dielectric layer 92 is located along the sidewall of the fin 52 and above the top surface of the fin 52, and the gate electrode 94 is above the gate dielectric layer 92. The source/drain regions 82 are provided in both sides of the fin 52 with respect to the gate dielectric layer 92 and the gate electrode 94.

第1圖進一步繪示在後續圖式中使用的參考剖面。剖面A-A沿著閘極電極94的縱軸並且在例如垂直於鰭式場效電晶體的源極/汲極區82之間的電流流動方向的方向上。剖面B-B垂直於剖面A-A,並且沿著鰭片52的縱軸且沿著例如鰭式場效電晶體的源極/汲極區82之間的電流流動的方向。剖面C-C平行於剖面A-A,並延伸穿過鰭式場效電晶體的源極/汲極區。為了清楚起見,後續圖式參照這些參考剖面。Figure 1 further illustrates the reference section used in subsequent drawings. The cross section A-A is along the longitudinal axis of the gate electrode 94 and in a direction, for example, perpendicular to the direction of current flow between the source/drain regions 82 of the fin-type field effect transistor. The cross-section B-B is perpendicular to the cross-section A-A, and is along the longitudinal axis of the fin 52 and along the direction of current flow between the source/drain regions 82 of, for example, the fin field effect transistor. The section C-C is parallel to the section A-A and extends through the source/drain regions of the fin-type field effect transistor. For clarity, the subsequent drawings refer to these reference profiles.

在此討論的一些實施例是在使用閘極後製(gate-last)製程形成的鰭式場效電晶體的背景下討論的。在其他實施例中,可以使用閘極先製(gate-first)製程。此外,一些實施例考慮了在例如平面場效電晶體的平面裝置中使用的面向。Some of the embodiments discussed here are discussed in the context of fin-type field-effect transistors formed using a gate-last process. In other embodiments, a gate-first process can be used. In addition, some embodiments take into account the orientation used in planar devices such as planar field effect transistors.

第2至9B和11A至26B圖是根據一些實施例之在鰭式場效電晶體的製造過程的中間階段的剖面示意圖。第2至7圖繪示第1圖所示之參考剖面A-A,除了多個鰭片/鰭式場效電晶體之外。在第8A至9B、11A~B以及20A至26B圖中,以「A」標記結尾的圖係沿第1圖所示之參考剖面A-A繪示,並且以「B」標記結尾的圖係沿第1圖所示之相似剖面B-B繪示,除了多個鰭片/鰭式場效電晶體。在第12A至19B圖中,以「A」標記結尾的圖係沿第1圖所示之參考剖面C-C繪示,並且以「B」標記結尾的圖係沿第1圖所示之相似剖面B-B繪示,除了多個鰭片/鰭式場效電晶體。第24圖係沿第1圖所示之參考剖面B-B繪示,除了多個鰭片/鰭式場效電晶體。FIGS. 2-9B and 11A-26B are schematic cross-sectional diagrams at an intermediate stage of the manufacturing process of the fin field effect transistor according to some embodiments. Figures 2-7 show the reference cross-sections A-A shown in Figure 1, except for a plurality of fins/fin field effect transistors. In Figures 8A to 9B, 11A to B, and 20A to 26B, the drawings ending with "A" are drawn along the reference section AA shown in Figure 1, and the drawings ending with "B" are drawn along the first The similar section BB shown in Figure 1 is shown except for multiple fins/fin field effect transistors. In Figures 12A to 19B, the drawings ending with "A" are drawn along the reference section CC shown in Figure 1, and the drawings ending with "B" are drawn along the similar section BB shown in Figure 1. Shown, except for multiple fins/fin field effect transistors. Figure 24 is drawn along the reference section B-B shown in Figure 1, except for multiple fins/fin field effect transistors.

在第2圖中,提供基底50。基底50可以是半導體基底,例如塊體(bulk)半導體、絕緣體上覆半導體(semiconductor-on-insulator,SOI)基底或類似的基底,其可以被摻雜(例如以p型或n型摻質)或未摻雜。基底50可以是晶圓,例如矽晶圓。通常而言,絕緣體上覆半導體基底是在絕緣層上形成的半導體材料層。絕緣層可以是例如埋層氧化物(buried oxide,BOX)層、氧化矽層或類似的膜層。在通常是矽基底或玻璃基底的基底上提供絕緣層。也可以使用其他基底,例如多層基底或梯度基底。在一些實施例中,基底50的半導體材料可以包含矽;鍺;化合物半導體,包含碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦及/或銻化銦;合金半導體,包含SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP及/或GaInAsP;或前述之組合。In Figure 2, a substrate 50 is provided. The substrate 50 may be a semiconductor substrate, such as a bulk semiconductor, a semiconductor-on-insulator (SOI) substrate, or the like, which may be doped (for example, with p-type or n-type dopants) Or undoped. The substrate 50 may be a wafer, such as a silicon wafer. Generally speaking, the semiconductor substrate over insulator is a layer of semiconductor material formed on an insulating layer. The insulating layer may be, for example, a buried oxide (BOX) layer, a silicon oxide layer, or a similar film layer. An insulating layer is provided on a substrate which is usually a silicon substrate or a glass substrate. Other substrates can also be used, such as multilayer substrates or gradient substrates. In some embodiments, the semiconductor material of the substrate 50 may include silicon; germanium; compound semiconductors, including silicon carbide, gallium arsenide, gallium phosphide, indium phosphide, indium arsenide, and/or indium antimonide; alloy semiconductors, including SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP and/or GaInAsP; or a combination of the foregoing.

基底50具有區域50N和區域50P。區域50N可用於形成n型裝置,例如N型金屬氧化物半導體(NMOS)電晶體,像是n型鰭式場效電晶體。區域50P可用於形成p型裝置,例如P型金屬氧化物半導體(PMOS)電晶體,像是p型鰭式場效電晶體。區域50N可以與區域50P物理地隔開(如分隔物51所示),並且可以在區域50N與區域50P之間設置任何數量的裝置部件(例如其他主動裝置、摻雜區、隔離結構等)。在一些實施例中,區域50N和區域50P都用於形成相同類型的裝置,例如兩個區域都用於n型裝置或p型裝置。The substrate 50 has a region 50N and a region 50P. The region 50N can be used to form an n-type device, such as an N-type metal oxide semiconductor (NMOS) transistor, such as an n-type fin field effect transistor. The region 50P can be used to form a p-type device, such as a p-type metal oxide semiconductor (PMOS) transistor, such as a p-type fin field effect transistor. The region 50N can be physically separated from the region 50P (as shown by the partition 51), and any number of device components (such as other active devices, doped regions, isolation structures, etc.) can be arranged between the region 50N and the region 50P. In some embodiments, both regions 50N and 50P are used to form the same type of device, for example, both regions are used for n-type devices or p-type devices.

在一些實施例中,可以在區域50N中形成一種以上的n型裝置,或者可以在區域50P中形成一種以上的p型裝置。舉例來說,在一些實施例中,區域50P可以包含其中形成有第一p型裝置(例如第一設計的p型鰭式場效電晶體)的子區域50P-1和其中形成有第二p型裝置(例如第二設計的p型鰭式場效電晶體)的子區域50P-2。(例如以下參照第12A~19B圖描述的實施例。)在一些實施例中,可以使用多重圖案化製程(例如「2P2E」製程或其他類型的多重圖案化製程)來形成不同子區域中的不同裝置。區域50N可以類似地包含其中形成有不同的n型裝置的子區域。在一些實施例中,區域50N或區域50P可以只包含一個區域或者可以包含兩個或更多個子區域。子區域可以物理上與其他子區域分開,並且可以在子區域之間設置任意數量的裝置部件。In some embodiments, more than one n-type device may be formed in the region 50N, or more than one p-type device may be formed in the region 50P. For example, in some embodiments, the region 50P may include a sub-region 50P-1 in which a first p-type device (such as a p-type fin field effect transistor of the first design) is formed and a second p-type device formed therein. The sub-region 50P-2 of the device (for example, the p-type fin field effect transistor of the second design). (For example, the embodiments described below with reference to FIGS. 12A to 19B.) In some embodiments, multiple patterning processes (such as "2P2E" processes or other types of multiple patterning processes) may be used to form different sub-regions. Device. The region 50N may similarly include sub-regions in which different n-type devices are formed. In some embodiments, the area 50N or the area 50P may include only one area or may include two or more sub-areas. Sub-regions can be physically separated from other sub-regions, and any number of device components can be placed between sub-regions.

在第3圖中,在基底50中形成鰭片52。鰭片52是半導體條。在一些實施例中,可以藉由在基底50中蝕刻出溝槽來在基底50中形成鰭片52。蝕刻可以是任何合適的蝕刻製程,例如反應性離子蝕刻(reactive ion etch,RIE)、中性束蝕刻(neutral beam etch,NBE)、類似的蝕刻製程或前述之組合。蝕刻可以是非等向性的(anisotropic)。In FIG. 3, fins 52 are formed in the base 50. The fin 52 is a semiconductor strip. In some embodiments, the fins 52 may be formed in the substrate 50 by etching trenches in the substrate 50. The etching can be any suitable etching process, such as reactive ion etch (RIE), neutral beam etch (NBE), similar etching processes, or a combination of the foregoing. Etching can be anisotropic.

可以藉由任何合適的方法將鰭片圖案化。舉例來說,鰭片的圖案化可以使用一或多種光學微影(photolithography)製程,包含雙重圖案化或多重圖案化製程。通常而言,雙重圖案化或多重圖案化製程結合光學微影和自對準製程,藉此允許產生的圖案的例如節距(pitches)小於使用單一、直接光學微影製程可獲得的圖案的節距。舉例來說,在一實施例中,在基底上方形成犧牲層,並使用光學微影製程將犧牲層圖案化。使用自對準製程在圖案化的犧牲層旁邊形成間隔物。然後移除犧牲層,接著可以使用剩餘的間隔物將鰭片圖案化。The fins can be patterned by any suitable method. For example, the patterning of the fins may use one or more photolithography processes, including double patterning or multiple patterning processes. Generally speaking, double patterning or multiple patterning processes are combined with optical lithography and self-alignment processes, thereby allowing patterns such as pitches to be produced to be smaller than those of patterns obtainable using a single, direct optical lithography process. distance. For example, in one embodiment, a sacrificial layer is formed on the substrate, and an optical lithography process is used to pattern the sacrificial layer. A self-aligned process is used to form spacers beside the patterned sacrificial layer. The sacrificial layer is then removed, and then the remaining spacers can be used to pattern the fins.

在第4圖中,在基底50上方形成絕緣材料54且位於相鄰的鰭片52之間。絕緣材料54可以是氧化物,例如氧化矽、氮化物、類似的材料或前述之組合,並且絕緣材料54的形成可以藉由高密度電漿化學氣相沉積(high density plasma chemical vapor deposition,HDP-CVD)、可流動式化學氣相沉積(flowable CVD,FCVD)(例如在遠距電漿系統中的以化學氣相沉積為主的材料沉積,以及後固化以使其轉化為另一種材料,例如氧化物)、類似的方法或前述之組合。可以使用藉由任何合適的方法形成的其他絕緣材料。在繪示的實施例中,絕緣材料54是由可流動式化學氣相沉積製程所形成的氧化矽。一旦形成絕緣材料,就可以進行退火製程。在一實施例中,形成絕緣材料54,使得多餘的絕緣材料54覆蓋鰭片52。雖然絕緣材料54被繪示為單層,但一些實施例可以利用多層結構。舉例來說,在一些實施例中,可以先沿著基底50和鰭片52的表面形成襯層(liner)(未繪示)。此後,可以在襯層上方形成填充材料,例如上述那些材料。In FIG. 4, an insulating material 54 is formed on the base 50 and is located between adjacent fins 52. The insulating material 54 can be an oxide, such as silicon oxide, nitride, similar materials, or a combination of the foregoing, and the insulating material 54 can be formed by high density plasma chemical vapor deposition (HDP- CVD), flowable chemical vapor deposition (flowable CVD, FCVD) (such as the deposition of chemical vapor deposition-based materials in remote plasma systems, and post-curing to convert them into another material, such as Oxide), similar methods or a combination of the foregoing. Other insulating materials formed by any suitable method can be used. In the illustrated embodiment, the insulating material 54 is silicon oxide formed by a flowable chemical vapor deposition process. Once the insulating material is formed, an annealing process can be performed. In one embodiment, the insulating material 54 is formed so that the excess insulating material 54 covers the fin 52. Although the insulating material 54 is shown as a single layer, some embodiments may utilize a multilayer structure. For example, in some embodiments, a liner (not shown) may be formed along the surface of the substrate 50 and the fin 52 first. Thereafter, filler materials, such as those described above, may be formed over the liner layer.

在第5圖中,對絕緣材料54施加移除製程以移除鰭片52上方的多餘絕緣材料54。在一些實施例中,可以利用平坦化製程,例如化學機械研磨(chemical mechanical polish ,CMP)、回蝕刻(etch back)製程、前述之組合或類似的製程。平坦化製程暴露出鰭片52,使得在完成平坦化製程之後,絕緣材料54和鰭片52的頂表面是齊平的。In FIG. 5, a removal process is applied to the insulating material 54 to remove the excess insulating material 54 above the fin 52. In some embodiments, a planarization process may be used, such as a chemical mechanical polish (CMP), an etch back process, a combination of the foregoing, or a similar process. The planarization process exposes the fin 52 so that after the planarization process is completed, the insulating material 54 and the top surface of the fin 52 are flush.

在第6圖中,凹蝕絕緣材料54以形成淺溝槽隔離(Shallow Trench Isolation,STI)區(又稱為隔離區)56。凹蝕絕緣材料54,使得區域50N和區域50P中的鰭片52的上部從相鄰的淺溝槽隔離區56之間突出。此外,淺溝槽隔離區56的頂表面可以具有如圖所示之平坦表面、凸表面、凹表面(例如碟狀(dishing))或前述之組合。可以藉由適當的蝕刻將淺溝槽隔離區56的頂表面形成為平坦的、凸的及/或凹的。淺溝槽隔離區56的凹蝕可以使用合適的蝕刻製程,例如對絕緣材料54的材料具有選擇性的蝕刻製程(例如以比鰭片52的材料更快的速率蝕刻絕緣材料54的材料)。舉例來說,以適當的蝕刻製程移除化學氧化物,蝕刻製程例如可以使用稀釋的氫氟酸(dilute hydrofluoric,dHF)。In FIG. 6, the insulating material 54 is etched back to form a shallow trench isolation (STI) region (also referred to as an isolation region) 56. The insulating material 54 is etched back so that the upper portion of the fin 52 in the area 50N and the area 50P protrudes from between adjacent shallow trench isolation regions 56. In addition, the top surface of the shallow trench isolation region 56 may have a flat surface, a convex surface, a concave surface (for example, dishing) as shown in the figure, or a combination thereof. The top surface of the shallow trench isolation region 56 can be formed flat, convex, and/or concave by suitable etching. The etchback of the shallow trench isolation region 56 may use a suitable etching process, for example, an etching process selective to the material of the insulating material 54 (for example, the material of the insulating material 54 is etched at a faster rate than the material of the fin 52). For example, a suitable etching process is used to remove chemical oxides. For example, the etching process may use dilute hydrofluoric (dHF).

參照第2至6圖所描述的製程僅是可以如何形成鰭片52的一個範例。在一些實施例中,可以藉由磊晶成長製程形成鰭片。舉例來說,可以在基底50的頂表面上方形成介電層,並且可以蝕刻出穿過介電層的溝槽以暴露出下方的基底50。可以在溝槽中磊晶成長同質磊晶(Homoepitaxial)結構,並且可以凹蝕介電層使得同質磊晶結構從介電層突出以形成鰭片。另外,在一些實施例中,異質磊晶(heteroepitaxial)結構可用於鰭片52。舉例來說,可以凹蝕第5圖中的鰭片52,並且可以在凹蝕的鰭片52上磊晶成長與鰭片52不同的材料。在這樣的實施例中,鰭片52包含凹蝕的材料以及設置在凹蝕的材料上方的磊晶成長材料。在另一實施例中,可以在基底50的頂表面上方形成介電層,並且可以蝕刻出穿過介電層的溝槽。然後,可以使用不同於基底50的材料在溝槽中磊晶成長異質磊晶結構,並且可以凹蝕介電層,使得異質磊晶結構從介電層突出以形成鰭片52。在一些實施例中,磊晶成長同質磊晶或異質磊晶結構。可以在成長期間原位(in situ)摻雜磊晶成長的材料,其可以免除先前和後續的佈植,雖然可以一起使用原位和佈植摻雜。The process described with reference to FIGS. 2 to 6 is only an example of how the fin 52 can be formed. In some embodiments, the fins can be formed by an epitaxial growth process. For example, a dielectric layer may be formed over the top surface of the substrate 50, and trenches through the dielectric layer may be etched to expose the substrate 50 below. A homoepitaxial structure can be epitaxially grown in the trench, and the dielectric layer can be etched so that the homoepitaxial structure protrudes from the dielectric layer to form a fin. In addition, in some embodiments, a heteroepitaxial structure may be used for the fin 52. For example, the fin 52 in FIG. 5 can be etched, and a material different from the fin 52 can be epitaxially grown on the etched fin 52. In such an embodiment, the fin 52 includes an etched material and an epitaxial growth material disposed on the etched material. In another embodiment, a dielectric layer may be formed over the top surface of the substrate 50, and trenches through the dielectric layer may be etched. Then, a material different from the substrate 50 can be used to epitaxially grow the heteroepitaxial structure in the trench, and the dielectric layer can be etched so that the heteroepitaxial structure protrudes from the dielectric layer to form the fin 52. In some embodiments, the epitaxy grows a homoepitaxial or heteroepitaxial structure. The material for epitaxial growth can be doped in situ during the growth period, which can eliminate the previous and subsequent implantation, although in situ and implant doping can be used together.

更進一步,在區域50N(例如NMOS區域)中磊晶成長的材料不同於區域50P(例如PMOS區域)中的材料可以是有利的。在不同實施例中,鰭片52的上部可以由矽鍺(Six Ge1-x ,其中x可以在0至1的範圍)、碳化矽、純或大致上純的鍺、III-V族化合物半導體、II-VI化合物半導體或類似的材料所形成。舉例來說,用於形成III-V族化合物半導體的可用材料包含但不限於InAs、AlAs、GaAs、InP、GaN、InGaAs、InAlAs、GaSb、AlSb、AlP、GaP和類似的材料。Furthermore, it may be advantageous that the material of the epitaxial growth in the region 50N (eg, the NMOS region) is different from the material in the region 50P (eg, the PMOS region). In various embodiments, the upper portion of the fin 52 may be made of silicon germanium (Si x Ge 1-x , where x can be in the range of 0 to 1), silicon carbide, pure or substantially pure germanium, III-V group compound Semiconductors, II-VI compound semiconductors or similar materials. For example, available materials for forming III-V compound semiconductors include, but are not limited to, InAs, AlAs, GaAs, InP, GaN, InGaAs, InAlAs, GaSb, AlSb, AlP, GaP, and similar materials.

進一步在第6圖中,可以在鰭片52及/或基底50中形成適當的井(未繪示)。在一些實施例中,可以在區域50N中形成P井,並且可以在區域50P中形成N井。在一些實施例中,在區域50N和區域50P兩者中形成P井或N井。Further in Figure 6, suitable wells (not shown) can be formed in the fin 52 and/or the base 50. In some embodiments, a P-well may be formed in the area 50N, and an N-well may be formed in the area 50P. In some embodiments, a P-well or N-well is formed in both the region 50N and the region 50P.

在具有不同井類型的實施例中,可以使用光阻或其他遮罩(未繪示)來實現用於區域50N和區域50P的不同佈植步驟。舉例來說,可以在區域50N中的淺溝槽隔離區56和鰭片52上方形成光阻。將光阻圖案化以暴露出基底50的區域50P,例如PMOS區。可以藉由使用旋塗(spin-on)技術來形成光阻,並且可以使用合適的光學微影技術將光阻圖案化。一旦圖案化光阻,就在區域50P中進行n型雜質佈植,並且光阻可以作為遮罩以大致上防止n型雜質被佈植到例如NMOS區的區域50N中。n型雜質可以是磷、砷或類似的雜質,佈植到區域中的濃度等於或小於1018 cm 3 ,例如約1017 cm 3 至約1018 cm 3 。在佈植之後,例如藉由合適的灰化(ashing)製程來移除光阻。In embodiments with different well types, photoresist or other masks (not shown) can be used to implement different implantation steps for the area 50N and the area 50P. For example, a photoresist may be formed above the shallow trench isolation region 56 and the fin 52 in the region 50N. The photoresist is patterned to expose an area 50P of the substrate 50, such as a PMOS area. The photoresist can be formed by using spin-on technology, and the photoresist can be patterned using a suitable photolithography technology. Once the photoresist is patterned, n-type impurity implantation is performed in the region 50P, and the photoresist can be used as a mask to substantially prevent n-type impurities from implanting in the region 50N such as the NMOS region. The n-type impurities may be phosphorus, arsenic or similar impurities, and the concentration implanted in the region is equal to or less than 10 18 cm 3 , for example, about 10 17 cm 3 to about 10 18 cm 3 . After implantation, the photoresist is removed, for example, by a suitable ashing process.

在佈植區域50P之後,在區域50P中的淺溝槽隔離區56和鰭片52上方形成光阻。將光阻圖案化以暴露出基底50的區域50N,例如NMOS區。光阻的形成可以藉由使用旋塗技術,並且可以使用合適的光學微影技術將光阻圖案化。一旦圖案化光阻,就可以在區域50N中進行p型雜質佈植,並且光阻可以作為遮罩以大致上防止p型雜質被佈植到例如PMOS區的區域50P中。p型雜質可以是硼、BF2 或類似的雜質,佈植到區域中的濃度等於或小於1018 cm 3 ,例如約1017 cm 3 至約1018 cm 3 。在佈植之後,例如可以藉由合適的灰化製程來移除光阻。After implanting the region 50P, a photoresist is formed over the shallow trench isolation region 56 and the fin 52 in the region 50P. The photoresist is patterned to expose the area 50N of the substrate 50, such as the NMOS area. The photoresist can be formed by using spin coating technology, and the photoresist can be patterned using a suitable photolithography technology. Once the photoresist is patterned, p-type impurities can be implanted in the region 50N, and the photoresist can be used as a mask to substantially prevent p-type impurities from being implanted in the region 50P, such as the PMOS region. The p-type impurity may be boron, BF 2 or the like, and the concentration implanted in the region is equal to or less than 10 18 cm 3 , for example, about 10 17 cm 3 to about 10 18 cm 3 . After implanting, the photoresist can be removed by a suitable ashing process, for example.

在佈植區域50N和區域50P之後,可以進行退火以活化佈植的p型及/或n型雜質。在一些實施例中,可以在成長期間原位摻雜磊晶鰭片的成長材料,其可以免除佈植,雖然可以一起使用原位和佈植摻雜。After the implanted regions 50N and 50P, annealing may be performed to activate the implanted p-type and/or n-type impurities. In some embodiments, the growth material of the epitaxial fin can be doped in-situ during the growth period, which can avoid implantation, although in-situ and implant doping can be used together.

在第7圖中,在鰭片52上形成虛設介電層60。虛設介電層60可以是例如氧化矽、氮化矽、前述之組合或類似的材料,並且可以根據合適的技術沉積或熱成長。在虛設介電層60上方形成虛設閘極層62,並且在虛設閘極層62上方形成遮罩層64。可以在虛設介電層60上方沉積虛設閘極層62,然後例如藉由化學機械研磨將虛設閘極層62平坦化。可以在虛設閘極層62上方沉積遮罩層64。虛設閘極層62可以是導電材料,並且可以選自包含多晶矽(polysilicon)、多晶矽鍺(poly-SiGe)、金屬氮化物、金屬矽化物、金屬氧化物和金屬的群組。在一實施例中,沉積非晶矽並使非晶矽再結晶以產生多晶矽。虛設閘極層62的沉積可以藉由物理氣相沉積(physical vapor deposition,PVD)、化學氣相沉積、濺鍍(sputter)沉積或本領域已知且用於沉積導電材料的其他技術。虛設閘極層62可以由對隔離區的蝕刻具有高蝕刻選擇性的其他材料形成。遮罩層64可以包含例如氮化矽、氮氧化矽或類似的材料。在一個範例中,橫跨區域50N和區域50P形成單個虛設閘極層62和單個遮罩層64。在一些實施例中,可以在區域50N和區域50P中形成分開的虛設閘極層,並且可以在區域50N和區域50P中形成分開的遮罩層。應注意的是,繪示的虛設介電層60僅覆蓋鰭片52僅用於說明的目的。在一些實施例中,可以沉積虛設介電層60,使得虛設介電層60覆蓋淺溝槽隔離區56、在虛設閘極層62和淺溝槽隔離區56之間延伸。In FIG. 7, a dummy dielectric layer 60 is formed on the fin 52. The dummy dielectric layer 60 may be, for example, silicon oxide, silicon nitride, a combination of the foregoing, or similar materials, and may be deposited or thermally grown according to a suitable technique. A dummy gate layer 62 is formed above the dummy dielectric layer 60 and a mask layer 64 is formed above the dummy gate layer 62. A dummy gate layer 62 may be deposited on the dummy dielectric layer 60, and then the dummy gate layer 62 may be planarized by, for example, chemical mechanical polishing. A mask layer 64 may be deposited on the dummy gate layer 62. The dummy gate layer 62 may be a conductive material, and may be selected from a group including polysilicon, poly-SiGe, metal nitride, metal silicide, metal oxide, and metal. In one embodiment, amorphous silicon is deposited and recrystallized to produce polysilicon. The deposition of the dummy gate layer 62 may be by physical vapor deposition (PVD), chemical vapor deposition, sputter deposition or other techniques known in the art for depositing conductive materials. The dummy gate layer 62 may be formed of other materials having high etching selectivity to the etching of the isolation region. The mask layer 64 may include, for example, silicon nitride, silicon oxynitride, or similar materials. In one example, a single dummy gate layer 62 and a single mask layer 64 are formed across the region 50N and the region 50P. In some embodiments, separate dummy gate layers may be formed in the area 50N and the area 50P, and separate mask layers may be formed in the area 50N and the area 50P. It should be noted that the illustrated dummy dielectric layer 60 only covers the fins 52 for illustrative purposes only. In some embodiments, the dummy dielectric layer 60 may be deposited such that the dummy dielectric layer 60 covers the shallow trench isolation region 56 and extends between the dummy gate layer 62 and the shallow trench isolation region 56.

第8A至9B和11A至11B圖繪示實施例裝置的製造中的各種額外步驟。第8A~9B和11A~B圖繪示在區域50N和區域50P之任何一者中的部件。舉例來說,繪示的結構可以適用於區域50N和區域50P兩者。在伴隨每個圖式的內文中描述區域50N和區域50P的結構上的差異(如果有的話)。Figures 8A to 9B and 11A to 11B illustrate various additional steps in the manufacture of the embodiment device. Figures 8A-9B and 11A-B show components in any one of the area 50N and the area 50P. For example, the illustrated structure can be applied to both the area 50N and the area 50P. The structural differences (if any) of the area 50N and the area 50P are described in the text accompanying each drawing.

在第8A和8B圖中,可以使用合適的光學微影和蝕刻技術來將遮罩層64圖案化以形成遮罩74。然後可以將遮罩74的圖案轉移至虛設閘極層62。也可以藉由合適的蝕刻技術將遮罩74的圖案轉移到虛設介電層60,藉此在虛設介電層60的剩餘部分上方形成虛設閘極72。在一些實施例中(未單獨繪示),可以不將虛設介電層60圖案化。虛設閘極72覆蓋鰭片52的各個通道區58。遮罩74的圖案可用於將每個虛設閘極72與相鄰的虛設閘極物理地隔開。虛設閘極72的長度方向也可以大致上垂直於相應的磊晶鰭片52的長度方向。In FIGS. 8A and 8B, suitable optical lithography and etching techniques may be used to pattern the mask layer 64 to form the mask 74. The pattern of the mask 74 can then be transferred to the dummy gate layer 62. It is also possible to transfer the pattern of the mask 74 to the dummy dielectric layer 60 by a suitable etching technique, thereby forming a dummy gate 72 on the remaining part of the dummy dielectric layer 60. In some embodiments (not separately shown), the dummy dielectric layer 60 may not be patterned. The dummy gate 72 covers each channel region 58 of the fin 52. The pattern of the mask 74 can be used to physically separate each dummy gate 72 from adjacent dummy gates. The length direction of the dummy gate 72 may also be substantially perpendicular to the length direction of the corresponding epitaxial fin 52.

進一步在第8A和8B圖中,在虛設閘極72、遮罩74及/或鰭片52的露出表面上形成第一間隔材料78。第一間隔材料78用於形成第一間隔物80(見第11A~B圖)。在一些實施例中,第一間隔材料78的材料可以是例如氧化物、氮化物、例如氮氧化矽、碳氮氧化矽、碳氧化矽、類似的材料或前述之組合。在一些實施例中,第一間隔材料78的形成可以使用例如熱氧化、化學氣相沉積、電漿輔助化學氣相沉積、原子層沉積、物理氣相沉積、濺鍍或類似的製程。在第8B圖中,第一間隔材料78被繪示為在虛設閘極72和遮罩74上方垂直地延伸並且在鰭片52上方橫向地延伸。在一些實施例中,第一間隔材料78可以包含一或多種材料的多層結構。在一些實施例中,第一間隔材料78可以形成為具有約3 nm至約5 nm的厚度。Further in FIGS. 8A and 8B, a first spacer material 78 is formed on the exposed surfaces of the dummy gate 72, the mask 74, and/or the fin 52. The first spacer material 78 is used to form the first spacer 80 (see FIGS. 11A-B). In some embodiments, the material of the first spacer material 78 may be, for example, oxide, nitride, such as silicon oxynitride, silicon oxycarbonitride, silicon oxycarbide, similar materials, or a combination of the foregoing. In some embodiments, the formation of the first spacer material 78 may use, for example, thermal oxidation, chemical vapor deposition, plasma-assisted chemical vapor deposition, atomic layer deposition, physical vapor deposition, sputtering, or similar processes. In FIG. 8B, the first spacer material 78 is shown as extending vertically above the dummy gate 72 and the mask 74 and extending laterally above the fin 52. In some embodiments, the first spacer material 78 may include a multilayer structure of one or more materials. In some embodiments, the first spacer material 78 may be formed to have a thickness of about 3 nm to about 5 nm.

在一些情況下,可以藉由使用具有較小介電常數(k)的材料來降低裝置(例如鰭式場效電晶體裝置)的寄生電容。舉例來說,使用具有較小介電常數的第一間隔材料78來形成第一間隔物80可以降低鰭式場效電晶體裝置內(例如在閘極電極94和源極/汲極接觸件112之間(見第26A~B圖))的寄生電容。在一些實施例中,第一間隔材料78可以包含介電常數小於約k=3.9(例如約k=3.5或更小)的材料。舉例來說,在一些實施例中,碳氧化矽材料可以用於第一間隔材料78。碳氧化矽具有約k=3.5或更小的介電常數,因此將碳氧化矽用於第一間隔材料78可以降低鰭式場效電晶體裝置內的寄生電容。在一些實施例中,碳氧化矽材料的沉積技術可以使用例如原子層沉積或類似的技術。在一些實施例中,碳氧化矽材料的沉積可以使用約50°C至約80°C的製程溫度以及約5托至約10托的製程壓力。在一些實施例中,可以形成具有約40原子%至約46原子%的矽、具有約45原子%至約50原子%的氧或具有約5原子%至約18原子%的碳氧化矽。在一些實施例中,第一間隔材料78的不同區域或不同層可以包含碳氧化矽的不同組成。In some cases, the parasitic capacitance of the device (for example, a fin-type field effect transistor device) can be reduced by using a material with a smaller dielectric constant (k). For example, using the first spacer material 78 with a smaller dielectric constant to form the first spacer 80 can reduce the size of the fin-type field effect transistor device (for example, between the gate electrode 94 and the source/drain contact 112). (See Figure 26A ~ B)) parasitic capacitance. In some embodiments, the first spacer material 78 may include a material having a dielectric constant of less than about k=3.9 (for example, about k=3.5 or less). For example, in some embodiments, a silicon oxycarbide material may be used for the first spacer material 78. Silicon oxycarbide has a dielectric constant of approximately k=3.5 or less. Therefore, using silicon oxycarbide for the first spacer material 78 can reduce the parasitic capacitance in the fin-type field effect transistor device. In some embodiments, the deposition technique of the silicon oxycarbide material may use, for example, atomic layer deposition or similar techniques. In some embodiments, the deposition of the silicon oxycarbide material may use a process temperature of about 50° C. to about 80° C. and a process pressure of about 5 Torr to about 10 Torr. In some embodiments, silicon oxycarbide having about 40 atomic% to about 46 atomic% of silicon, about 45 atomic% to about 50 atomic% of oxygen, or about 5 atomic% to about 18 atomic% of silicon can be formed. In some embodiments, different regions or different layers of the first spacer material 78 may include different compositions of silicon oxycarbide.

在形成第一間隔材料78之後,可以進行用於輕摻雜的源極/汲極(lightly doped source/drain,LDD)區(未明確繪示)的佈植。在具有不同裝置類型的實施例中,類似於以上在第6圖討論的佈植,可以在區域50N上方形成例如光阻的遮罩,同時暴露出區域50P,並且可以經由第一間隔材料78將適當類型(例如n型或p型)的雜質佈植到區域50P中的鰭片52中。然後可以移除遮罩。隨後,可以在區域50P上方形成例如光阻的遮罩,同時暴露出區域50N,並且可以經由第一間隔材料78將適當類型的雜質佈植到區域50N中的鰭片52中。n型雜質可以是以上在第6圖討論的任何n型雜質或其他n型雜質,並且p型雜質可以是以上在第6圖討論的任何p型雜質或其他p型雜質。輕摻雜的源極/汲極區可以具有約1015 cm 3 至約1016 cm 3 的雜質濃度。可以使用退火來活化佈植的雜質。因為經由第一間隔材料78進行輕摻雜的源極/汲極區摻質的佈植,所以第一間隔材料78的部分(以及因此第一間隔物80的部分)也可能被佈植的雜質摻雜。如此一來,在一些實施例中,第一間隔材料78可以具有比第二間隔材料79(見第9A~B圖)更高的雜質濃度,第二間隔材料79是在佈植雜質之後形成的。After forming the first spacer material 78, a lightly doped source/drain (LDD) region (not explicitly shown) can be implanted. In embodiments with different device types, similar to the implantation discussed above in Fig. 6, a mask such as a photoresist can be formed over the area 50N while exposing the area 50P, and the area 50P can be exposed via the first spacer material 78 Impurities of an appropriate type (for example, n-type or p-type) are implanted in the fin 52 in the region 50P. The mask can then be removed. Subsequently, a mask such as a photoresist may be formed over the area 50P while exposing the area 50N, and appropriate types of impurities may be implanted into the fin 52 in the area 50N via the first spacer material 78. The n-type impurity can be any n-type impurity or other n-type impurities discussed above in Figure 6, and the p-type impurity can be any p-type impurity or other p-type impurities discussed above in Figure 6. The lightly doped source/drain regions may have an impurity concentration of about 10 15 cm 3 to about 10 16 cm 3 . Annealing can be used to activate implanted impurities. Because the lightly doped source/drain region dopants are implanted via the first spacer material 78, the part of the first spacer material 78 (and therefore the part of the first spacer 80) may also be implanted by impurities Doped. In this way, in some embodiments, the first spacer material 78 may have a higher impurity concentration than the second spacer material 79 (see FIGS. 9A to B), and the second spacer material 79 is formed after the impurity is implanted. .

在第9A和9B圖中,在第一間隔材料78上形成第二間隔材料79。第二間隔材料79用於形成第二間隔物81(見第11A~B圖)。在一些實施例中,第二間隔材料79的材料可以是例如氧化物、氮化物、例如氮氧化矽、碳氮氧化矽、碳氧化矽、類似的材料或前述之組合。在一些實施例中,第二間隔材料79的形成可以使用例如化學氣相沉積、電漿輔助化學氣相沉積、原子層沉積、物理氣相沉積、濺鍍或類似的製程。在一些實施例中,第二間隔材料79可以包含一或多種材料的多層結構。在一些實施例中,第二間隔材料79可以形成為具有約3 nm至約5 nm的厚度。因為第二間隔材料79是在佈植雜質之後形成的,所以第二間隔材料79可以具有比第一間隔材料78低的雜質濃度。在一些實施例中,省略(未單獨繪示)第二間隔材料79和第二間隔物81。In FIGS. 9A and 9B, a second spacer material 79 is formed on the first spacer material 78. The second spacer material 79 is used to form the second spacer 81 (see FIGS. 11A to B). In some embodiments, the material of the second spacer material 79 may be, for example, oxide, nitride, such as silicon oxynitride, silicon oxycarbonitride, silicon oxycarbide, similar materials, or a combination of the foregoing. In some embodiments, the formation of the second spacer material 79 may use, for example, chemical vapor deposition, plasma-assisted chemical vapor deposition, atomic layer deposition, physical vapor deposition, sputtering, or similar processes. In some embodiments, the second spacer material 79 may include a multilayer structure of one or more materials. In some embodiments, the second spacer material 79 may be formed to have a thickness of about 3 nm to about 5 nm. Because the second spacer material 79 is formed after impurity is implanted, the second spacer material 79 may have a lower impurity concentration than the first spacer material 78. In some embodiments, the second spacer material 79 and the second spacer 81 are omitted (not shown separately).

類似上述的第一間隔材料78(見第8B圖),藉由以具有較低介電常數的第二間隔材料79形成第二間隔物81(見第11B圖),可以降低裝置(例如鰭式場效電晶體裝置)內的寄生電容。在一些實施例中,第二間隔材料79可以包含碳氧化矽,因此可以具有小於約k=3.9(例如約k=3.5或更小)的介電常數。第二間隔材料79的碳氧化矽材料可以用與先前描述之用於形成第一間隔材料78的碳氧化矽的方式類似的方式形成,但是在其他實施例中,第二間隔材料79可以不同地形成。第二間隔材料79的碳氧化矽的組成可以類似先前對於第一間隔材料78的碳氧化矽的組成。Similar to the above-mentioned first spacer material 78 (see Fig. 8B), by forming the second spacer 81 (see Fig. 11B) with a second spacer material 79 having a lower dielectric constant, the device (for example, fin field Parasitic capacitance within the effective transistor device. In some embodiments, the second spacer material 79 may include silicon oxycarbide, and thus may have a dielectric constant less than about k=3.9 (for example, about k=3.5 or less). The silicon oxycarbide material of the second spacer material 79 may be formed in a manner similar to the manner previously described for forming the silicon oxycarbide of the first spacer material 78, but in other embodiments, the second spacer material 79 may be formed differently. form. The composition of the silicon oxycarbide of the second spacer material 79 may be similar to the composition of the silicon oxycarbide for the first spacer material 78 previously.

在一些實施例中,第一間隔物80的第一間隔材料78和第二間隔物81的第二間隔材料79都可以由碳氧化矽形成。第一間隔材料78和第二間隔材料79可以具有大致相同的碳氧化矽組成或具有不同的組成。舉例來說,第一間隔材料78可以具有約45原子%至約48原子%的氧及/或約12原子%至約15原子%的碳的組成。第二間隔材料79可以具有約47原子%至約50原子%的氧及/或約10原子%至約13原子%的碳的組成。第一間隔材料78或第二間隔材料79可以具有除了這些範例之外的其他組成。在一些情況下,由碳氧化矽形成第一間隔物80的第一間隔材料78和第二間隔物81的第二間隔材料79可以降低寄生電容,相較於由不同材料形成第一間隔物80或第二間隔物81中的一或兩個,例如具有較高介電常數的材料。In some embodiments, both the first spacer material 78 of the first spacer 80 and the second spacer material 79 of the second spacer 81 may be formed of silicon oxycarbide. The first spacer material 78 and the second spacer material 79 may have substantially the same silicon oxycarbide composition or have different compositions. For example, the first spacer material 78 may have a composition of about 45 atomic% to about 48 atomic% of oxygen and/or about 12 atomic% to about 15 atomic% of carbon. The second spacer material 79 may have a composition of about 47 atomic% to about 50 atomic% of oxygen and/or about 10 atomic% to about 13 atomic% of carbon. The first spacer material 78 or the second spacer material 79 may have other compositions than these examples. In some cases, the first spacer material 78 of the first spacer 80 and the second spacer material 79 of the second spacer 81 formed of silicon oxycarbide can reduce the parasitic capacitance, compared to the first spacer 80 formed of different materials. Or one or two of the second spacers 81, for example, a material with a higher dielectric constant.

轉到第10圖,圖表顯示鰭式場效電晶體裝置的寄生電容(在Y軸上)相對於第二間隔物81的介電常數(k)(在X軸上)的百分比變化的模擬數據。寄生電容的變化相對於點121,點121代表介電常數皆為約k=5的第一間隔物80的第一間隔材料78和第二間隔物81的第二間隔材料79。點122表示由介電常數為約k=5的第一間隔材料78和介電常數為約k=4的第二間隔材料79所引起的電容變化。如圖所示,第二間隔材料79的較小介電常數將寄生電容降低約2%。Turning to Figure 10, the graph shows simulated data of the percentage change of the parasitic capacitance (on the Y axis) of the fin-type field effect transistor device with respect to the dielectric constant (k) of the second spacer 81 (on the X axis). The change of the parasitic capacitance is relative to the point 121, and the point 121 represents the first spacer material 78 of the first spacer 80 and the second spacer material 79 of the second spacer 81 each having a dielectric constant of about k=5. Point 122 represents the capacitance change caused by the first spacer material 78 with a dielectric constant of about k=5 and the second spacer material 79 with a dielectric constant of about k=4. As shown in the figure, the smaller dielectric constant of the second spacer material 79 reduces the parasitic capacitance by about 2%.

繼續參照第10圖,點123表示由第一間隔物80的第一間隔材料78的介電常數為約k=5和由介電常數為約k=3.5的碳氧化矽形成的第二間隔物81的第二間隔材料79所引起的電容變化。如圖所示,碳氧化矽的較小介電常數將寄生電容降低約3.5%。點124表示由第一間隔材料78和第二間隔材料79皆由介電常數為約k=3.5的碳氧化矽形成所引起的電容變化。如圖所示,藉由以碳氧化矽形成第一間隔材料78和第二間隔材料79,寄生電容可以降低約6.5%。因此,如第10圖的圖表所示,由碳氧化矽形成第一間隔物80的第一間隔材料78和第二間隔物81的第二間隔材料79兩者都可以降低裝置(例如鰭式場效電晶體裝置)的寄生電容。第10圖所示的圖表和模擬數據是用於說明目的,並且在其他情況下,第一間隔材料78或第二間隔材料79的介電常數可以不同,或者在其他情況下,第一間隔材料78或第二絕緣材料79的各種材料的電容變化可以不同。Continuing to refer to FIG. 10, point 123 indicates that the dielectric constant of the first spacer material 78 of the first spacer 80 is about k=5 and the second spacer is formed of silicon oxycarbide with a dielectric constant of about k=3.5. 81 of the second spacer material 79 caused by the change in capacitance. As shown in the figure, the small dielectric constant of silicon oxycarbide reduces parasitic capacitance by approximately 3.5%. Point 124 represents the capacitance change caused by the formation of both the first spacer material 78 and the second spacer material 79 of silicon oxycarbide with a dielectric constant of approximately k=3.5. As shown in the figure, by forming the first spacer material 78 and the second spacer material 79 with silicon oxycarbide, the parasitic capacitance can be reduced by about 6.5%. Therefore, as shown in the graph of FIG. 10, both the first spacer material 78 of the first spacer 80 and the second spacer material 79 of the second spacer 81 formed of silicon oxycarbide can reduce the device (such as fin field effect). Transistor device) parasitic capacitance. The graph and simulation data shown in Figure 10 are for illustrative purposes, and in other cases, the dielectric constant of the first spacer material 78 or the second spacer material 79 may be different, or in other cases, the first spacer material The capacitance changes of the various materials of 78 or the second insulating material 79 may be different.

轉到第11A和11B圖,形成第一間隔物80、第二間隔物81和側壁間隔物86。側壁間隔物86的形成可以例如藉由在第二間隔材料79上順應性地(conformally)沉積絕緣材料,並且隨後非等向性地蝕刻絕緣材料。在一些實施例中,絕緣材料的非等向性蝕刻也蝕刻第一間隔材料78以形成第一間隔物80,並且蝕刻第二間隔材料79以形成第二間隔物81。第二間隔物81的佈植雜質的濃度可以低於第一間隔物80,如前所述參照第二間隔材料79和第一間隔材料78。在一些實施例中,側壁間隔物86的絕緣材料可以是低介電常數介電材料,例如磷矽酸鹽玻璃(phosphosilicate glass,PSG)、硼磷矽酸鹽玻璃(borophosphosilicate glass,BPSG)、氟化矽酸鹽玻璃(fluorinated silicate glass,FSG)、氮化矽、碳氧化矽、碳化矽、氮碳化矽、類似的材料或前述之組合。側壁間隔物86的材料的形成可以藉由任何合適的方法,例如化學氣相沉積、電漿輔助化學氣相沉積、原子層沉積或類似的方法。在一些實施例中,側壁間隔物86可以具有約3 nm至約5 nm的厚度。Turning to FIGS. 11A and 11B, the first spacer 80, the second spacer 81, and the sidewall spacer 86 are formed. The formation of the sidewall spacers 86 may be, for example, by conformally depositing an insulating material on the second spacer material 79, and then etching the insulating material anisotropically. In some embodiments, the anisotropic etching of the insulating material also etches the first spacer material 78 to form the first spacer 80 and etches the second spacer material 79 to form the second spacer 81. The concentration of implant impurities of the second spacer 81 may be lower than that of the first spacer 80, as described above with reference to the second spacer material 79 and the first spacer material 78. In some embodiments, the insulating material of the sidewall spacer 86 may be a low-k dielectric material, such as phosphosilicate glass (PSG), borophosphosilicate glass (BPSG), fluorine Fluorinated silicate glass (FSG), silicon nitride, silicon oxycarbide, silicon carbide, silicon carbide nitride, similar materials or a combination of the foregoing. The material of the sidewall spacer 86 can be formed by any suitable method, such as chemical vapor deposition, plasma-assisted chemical vapor deposition, atomic layer deposition or similar methods. In some embodiments, the sidewall spacer 86 may have a thickness of about 3 nm to about 5 nm.

轉到第12A至19B圖,根據一些實施例,在鰭片52中形成磊晶源極/汲極區82A~B。第12A~19B圖繪示在子區域50P-1中形成磊晶源極/汲極區82A以及在子區域50P-2中形成磊晶源極/汲極區82B。子區域50P-1和子區域50P-2可以是基底50的區域50P的子區域。可以將區域50N和區域50P中的磊晶源極/汲極區(包含磊晶源極/汲極區82A~B)統稱為此處的磊晶源極/汲極區82。第12A、13A、14A、15A、16A、17A、18A和19A圖係沿第1圖所示之參考剖面C-C繪示,並且第12B、13B、14B、15B、16B、17B、18B和19B圖係沿第1圖所示之參考剖面B-B繪示。在鰭片52中形成磊晶源極/汲極區82,使得每個虛設閘極72被設置在磊晶源極/汲極區82之各自的相鄰對之間。在一些實施例中,源極/汲極區82可以延伸到鰭片52中。在一些實施例中,側壁間隔物86用於將磊晶源極/汲極區82與虛設閘極72隔開適當的橫向距離,使得磊晶源極/汲極區82不會造成所產生的鰭式場效電晶體之後續形成的閘極短路。Turning to FIGS. 12A to 19B, according to some embodiments, epitaxial source/drain regions 82A-B are formed in the fin 52. FIGS. 12A to 19B illustrate the formation of an epitaxial source/drain region 82A in the sub-region 50P-1 and the formation of an epitaxial source/drain region 82B in the sub-region 50P-2. The sub-region 50P-1 and the sub-region 50P-2 may be sub-regions of the region 50P of the substrate 50. The epitaxial source/drain regions (including the epitaxial source/drain regions 82A-B) in the region 50N and the region 50P may be collectively referred to as the epitaxial source/drain regions 82 herein. Figures 12A, 13A, 14A, 15A, 16A, 17A, 18A, and 19A are drawn along the reference section CC shown in Figure 1, and Figures 12B, 13B, 14B, 15B, 16B, 17B, 18B, and 19B are drawn Draw along the reference section BB shown in Figure 1. An epitaxial source/drain region 82 is formed in the fin 52 such that each dummy gate 72 is disposed between respective adjacent pairs of the epitaxial source/drain region 82. In some embodiments, the source/drain region 82 may extend into the fin 52. In some embodiments, the sidewall spacers 86 are used to separate the epitaxial source/drain region 82 and the dummy gate 72 by an appropriate lateral distance, so that the epitaxial source/drain region 82 will not cause The subsequent gate of the fin-type field effect transistor is short-circuited.

轉到第12A~B圖,進行第一濕式清潔製程95A。第一濕式清潔製程95A可以是從表面移除殘留物的濕式化學清潔製程(例如「除渣(descum)」製程)。第一濕式清潔製程95A還可以包含的表面處理,表面處理使氧原子鍵結至側壁間隔物86的表面,這減少了例如氮或氫的物質在隨後的製程步驟中的釋氣(outgassing)。在一些情況下,釋氣(例如NHx 釋氣)會導致在光阻顯影製程期間產生缺陷(有時稱為「光阻毒化(photoresist poison)」)。可以進行第一濕式清潔製程95A以準備用於形成遮罩91A的結構(見第13A~B圖)。Turn to Figures 12A-B to perform the first wet cleaning process 95A. The first wet cleaning process 95A may be a wet chemical cleaning process for removing residues from the surface (for example, a “descum” process). The first wet cleaning process 95A may also include surface treatment. The surface treatment allows oxygen atoms to bond to the surface of the sidewall spacer 86, which reduces outgassing of substances such as nitrogen or hydrogen in subsequent process steps. . In some cases, outgassing (such as NH x outgassing) can cause defects during the photoresist development process (sometimes called "photoresist poison"). The first wet cleaning process 95A can be performed to prepare the structure for forming the mask 91A (see FIGS. 13A-B).

在一些實施例中,第一濕式清潔製程95A可以包含加熱的硫酸(H2 SO4 )和過氧化氫(H2 O2 )的混合物。混合物可以是例如以約2:1至約5:1的莫耳比混合的硫酸和過氧化氫。可以將混合物加熱到約80°C至約180°C的溫度。舉例來說,在第一濕式清潔製程95A期間,此結構可以浸入加熱的混合物中。在此所述的混合物可以移除殘留物,並且也降低在光阻圖案化製程期間與光學微影有關的缺陷的機會,例如由「光阻毒化」所引起的缺陷。In some embodiments, the first wet cleaning process 95A may include a mixture of heated sulfuric acid (H 2 SO 4 ) and hydrogen peroxide (H 2 O 2 ). The mixture may be, for example, sulfuric acid and hydrogen peroxide mixed in a molar ratio of about 2:1 to about 5:1. The mixture can be heated to a temperature of about 80°C to about 180°C. For example, during the first wet cleaning process 95A, the structure can be immersed in the heated mixture. The mixtures described herein can remove residues and also reduce the chance of defects related to optical lithography during the photoresist patterning process, such as defects caused by "photoresist poisoning".

另外,相較於其他清潔技術,例如以電漿為主的技術(例如使用氫電漿、氧電漿等),用於第一濕式清潔製程95A之加熱的硫酸和過氧化氫的混合物對第一間隔物80和第二間隔物81的損傷較小。舉例來說,一些氧電漿清潔技術會耗盡碳的碳氧化矽層,對膜層造成損傷,因此也導致可能的製程問題或缺陷。因此,使用在此所述的混合物可以減少光學微影相關的缺陷(例如「光阻毒化」),而當使用碳氧化矽材料時也導致較少的損傷相關的缺陷。舉例來說,藉由將在此所述的混合物用於第一濕式清潔製程95A,第一間隔物80和第二間隔物81都可以由碳氧化矽材料形成,藉此減少製程問題或缺陷的總體機會。以這種方式,可以實現使用清潔製程(例如改善的光學微影)和使用碳氧化矽材料(例如降低的寄生電容)兩者的益處。In addition, compared to other cleaning technologies, such as plasma-based technologies (such as the use of hydrogen plasma, oxygen plasma, etc.), the heated sulfuric acid and hydrogen peroxide mixture used in the first wet cleaning process 95A The damage of the first spacer 80 and the second spacer 81 is small. For example, some oxygen plasma cleaning technologies will deplete the carbon silicon oxycarbide layer, causing damage to the film, and thus may also cause possible process problems or defects. Therefore, the use of the mixture described herein can reduce the defects related to optical lithography (such as "photoresist poisoning"), and when using silicon oxycarbide materials, it also leads to fewer damage-related defects. For example, by using the mixture described herein in the first wet cleaning process 95A, both the first spacer 80 and the second spacer 81 can be formed of silicon oxycarbide material, thereby reducing process problems or defects Overall opportunity. In this way, the benefits of using a cleaning process (such as improved photolithography) and using silicon oxycarbide materials (such as reduced parasitic capacitance) can be realized.

轉向第13A~B圖,在子區域50P-2上方形成遮罩91A。遮罩91A可以包含單層,或者可以是多層結構(例如雙層結構、三層結構或具有多於三層的結構)。遮罩91A的材料可以包含例如光阻材料、氧化物材料、氮化物材料、其他介電材料、類似的材料或前述之組合。在一些實施例中,遮罩91A包含底部抗反射塗層(bottom anti-reflective coating,BARC)。遮罩91A的形成可以使用一或多種合適的技術,例如旋塗技術、化學氣相沉積、電漿輔助化學氣相沉積、原子層沉積、物理氣相沉積、濺鍍、類似的技術或前述之組合。可以使用適當的光學微影和蝕刻製程來圖案化遮罩91A以暴露出子區域50P-1的一部分。舉例來說,可以使用一或多種濕式蝕刻製程或非等向性乾式蝕刻製程來蝕刻遮罩91A。Turning to FIGS. 13A to B, a mask 91A is formed above the sub-region 50P-2. The mask 91A may include a single layer, or may have a multi-layer structure (for example, a two-layer structure, a three-layer structure, or a structure having more than three layers). The material of the mask 91A may include, for example, photoresist materials, oxide materials, nitride materials, other dielectric materials, similar materials, or a combination of the foregoing. In some embodiments, the mask 91A includes a bottom anti-reflective coating (BARC). The formation of the mask 91A can use one or more suitable techniques, such as spin coating, chemical vapor deposition, plasma-assisted chemical vapor deposition, atomic layer deposition, physical vapor deposition, sputtering, similar techniques or the foregoing combination. A suitable photolithography and etching process can be used to pattern the mask 91A to expose a part of the sub-region 50P-1. For example, one or more wet etching processes or anisotropic dry etching processes can be used to etch the mask 91A.

轉到第14A~B圖,根據一些實施例,在子區域50P-1的鰭片52中形成凹槽84A。可以使用例如非等向性乾式蝕刻製程來形成凹槽84A。在一些情況下,也可以藉由非等向性乾式蝕刻製程來蝕刻第一間隔物80、第二間隔物81或側壁間隔物86的一部分。第14A圖所示之間隔物(又稱為第一間隔物)80、(又稱為第二間隔物)81和(又稱為側壁間隔物)86的範例蝕刻是說明性的,並且在其他實施例中,非等向性乾式蝕刻製程可以不同地蝕刻間隔物80、81或86。舉例來說,在其他實施例中,非等向性乾式蝕刻製程可以蝕刻間隔物80、81和86的一部分不同的量,使得間隔物80、81或86中的一或多個在淺溝槽隔離區56上方延伸高於在間隔物80、81或86中的另一者。這些和其他變化應包含在本發明實施例的範圍內。在一些實施例中,可以控制非等向性乾式蝕刻製程的製程參數,以將凹槽84A或間隔物80、81或86蝕刻成具有想要的特性。製程參數可以包含例如製程氣體混合物、偏壓(voltage bias)、射頻(RF)功率、製程溫度、製程壓力、其他參數或前述之組合。在一些情況下,可以藉由以這種方式控制凹槽84A或間隔物80、81或86的蝕刻來控制在凹槽84A中形成的磊晶源極/汲極區82A(見第18A~B圖)的形狀、體積、尺寸或其他特性。Turning to FIGS. 14A-B, according to some embodiments, a groove 84A is formed in the fin 52 of the sub-region 50P-1. For example, an anisotropic dry etching process may be used to form the groove 84A. In some cases, part of the first spacer 80, the second spacer 81, or the sidewall spacer 86 may also be etched by an anisotropic dry etching process. The example etching of spacers (also called first spacers) 80, (also called second spacers), and (also called sidewall spacers) 86 shown in FIG. 14A is illustrative, and in other In an embodiment, the anisotropic dry etching process can etch the spacers 80, 81, or 86 differently. For example, in other embodiments, the anisotropic dry etching process may etch a portion of the spacers 80, 81, and 86 in different amounts, so that one or more of the spacers 80, 81, or 86 are in the shallow trench The isolation region 56 extends above the other one of the spacers 80, 81, or 86. These and other changes should be included within the scope of the embodiments of the present invention. In some embodiments, the process parameters of the anisotropic dry etching process can be controlled to etch the groove 84A or the spacer 80, 81, or 86 to have desired characteristics. The process parameters may include, for example, process gas mixture, voltage bias, radio frequency (RF) power, process temperature, process pressure, other parameters, or a combination of the foregoing. In some cases, the epitaxial source/drain region 82A formed in the groove 84A can be controlled by controlling the etching of the groove 84A or the spacer 80, 81 or 86 in this way (see Sections 18A to B Figure) shape, volume, size or other characteristics.

轉到第15A~B圖,移除遮罩91A,並且進行第二濕式清潔製程95B。可以使用適當的製程來移除遮罩91A,例如濕式化學製程或乾式製程。在移除遮罩91A之後,進行第二濕式清潔製程95B以移除殘留物並準備用於形成遮罩91B(見第16A~B圖)的結構的表面。在一些實施例中,移除遮罩91A是進行第二濕式清潔製程95B的一部分。第二濕式清潔製程95B可以類似於第一濕式清潔製程95A(見第12A~B圖)。舉例來說,第二濕式清潔製程95B可以使用加熱的硫酸和過氧化氫的混合物。混合物可以具有類似對於第一濕式清潔製程95A所描述的那些組成,並且可以將混合物加熱到類似的溫度。在其他情況下,第二濕式清潔製程95B可以是與第一濕式清潔製程95A所使用的不同的硫酸和過氧化氫的混合物,並且可以被加熱到不同的溫度。類似於第一濕式清潔製程95A,使用加熱的硫酸和過氧化氫的混合物可以減少對碳氧化矽層的損傷,例如第一間隔物80及/或第二間隔物81係由碳氧化矽所形成的實施例。Turn to Figure 15A-B, remove the mask 91A, and perform the second wet cleaning process 95B. The mask 91A can be removed using a suitable process, such as a wet chemical process or a dry process. After the mask 91A is removed, a second wet cleaning process 95B is performed to remove residues and prepare the surface for forming the structure of the mask 91B (see FIGS. 16A-B). In some embodiments, removing the mask 91A is part of performing the second wet cleaning process 95B. The second wet cleaning process 95B may be similar to the first wet cleaning process 95A (see Figures 12A to B). For example, the second wet cleaning process 95B can use a mixture of heated sulfuric acid and hydrogen peroxide. The mixture may have a composition similar to those described for the first wet cleaning process 95A, and the mixture may be heated to a similar temperature. In other cases, the second wet cleaning process 95B may be a different mixture of sulfuric acid and hydrogen peroxide used in the first wet cleaning process 95A, and may be heated to a different temperature. Similar to the first wet cleaning process 95A, the use of a mixture of heated sulfuric acid and hydrogen peroxide can reduce damage to the silicon oxycarbide layer. For example, the first spacer 80 and/or the second spacer 81 are made of silicon oxycarbide. Example of formation.

轉向第16A~B圖,在子區域50P-1上方形成遮罩91B。遮罩91B可以包含單層或可以是多層結構(例如雙層結構、三層結構或具有多於三層的結構)。遮罩91A的材料可以包含例如光阻材料、氧化物材料、氮化物材料,其他介電材料、類似的材料或前述之組合。在一些實施例中,遮罩91B包含底部抗反射塗層(BARC)。遮罩91B的形成可以使用一或多種合適的技術,例如旋塗技術、化學氣相沉積、電漿輔助化學氣相沉積、原子層沉積、物理氣相沉積、濺鍍、類似的技術或前述之組合。可以使用適當的光學微影和蝕刻製程將遮罩91B圖案化以暴露出區域50P-2的一部分。舉例來說,可以使用一或多種濕式蝕刻製程或非等向性乾式蝕刻製程來蝕刻遮罩91B。遮罩91B可以類似於遮罩91A(見第13A~B圖)或不同於遮罩91A。Turning to Figures 16A to B, a mask 91B is formed above the sub-region 50P-1. The mask 91B may include a single layer or may have a multi-layer structure (for example, a two-layer structure, a three-layer structure, or a structure having more than three layers). The material of the mask 91A may include, for example, photoresist materials, oxide materials, nitride materials, other dielectric materials, similar materials, or a combination of the foregoing. In some embodiments, the mask 91B includes a bottom anti-reflective coating (BARC). The formation of the mask 91B may use one or more suitable techniques, such as spin coating, chemical vapor deposition, plasma-assisted chemical vapor deposition, atomic layer deposition, physical vapor deposition, sputtering, similar techniques or the foregoing combination. The mask 91B can be patterned to expose a portion of the area 50P-2 using a suitable photolithography and etching process. For example, one or more wet etching processes or anisotropic dry etching processes can be used to etch the mask 91B. The mask 91B may be similar to the mask 91A (see Figures 13A to B) or different from the mask 91A.

轉向第17A~B圖,根據一些實施例,在子區域50P-2的鰭片52中形成凹槽84B。可以使用例如非等向性乾式蝕刻製程來形成凹槽84B。在一些情況下,也可以藉由非等向性乾式蝕刻製程來蝕刻第一間隔物80、第二間隔物81或側壁間隔物86的一部分。在一些實施例中,可以控制非等向性乾式蝕刻製程的製程參數,以將凹槽84B或間隔物80、81或86蝕刻成具有想要的特性。用於子區域50P-2的蝕刻的製程參數可以不同於用於子區域50P-1的蝕刻的製程參數。製程參數可以包含例如製程氣體混合物、偏壓、RF功率、製程溫度、製程壓力、其他參數或前述之組合。在一些實施例中,可以控制製程參數以使得子區域50P-2中的凹槽84B不同於(例如具有不同的深度、寬度、形狀等)子區域50P-1中的凹槽84A。也可以控制製程參數以使得子區域50P-2中的間隔物80、81或86不同於(例如具有不同的高度、寬度、形狀等)子區域50P中的間隔物80、81或86。這些是範例,並且這些和其他變化應包含在本發明實施例的範圍內。在一些情況下,可以藉由以這種方式控制對凹槽84B或間隔物80、81或86的蝕刻來控制在凹槽84B中形成的磊晶源極/汲極區82B(見第18A~B圖)的形狀、體積、大小或其他特性。藉由在子區域50P-1和子區域50P-2內使用分別和不同的蝕刻製程,每個子區域中的磊晶源極/汲極區可以形成為具有不同的特性。Turning to FIGS. 17A-B, according to some embodiments, a groove 84B is formed in the fin 52 of the sub-region 50P-2. For example, an anisotropic dry etching process may be used to form the groove 84B. In some cases, part of the first spacer 80, the second spacer 81, or the sidewall spacer 86 may also be etched by an anisotropic dry etching process. In some embodiments, the process parameters of the anisotropic dry etching process can be controlled to etch the groove 84B or the spacer 80, 81, or 86 to have desired characteristics. The process parameters used for the etching of the sub-region 50P-2 may be different from the process parameters used for the etching of the sub-region 50P-1. The process parameters may include, for example, process gas mixture, bias voltage, RF power, process temperature, process pressure, other parameters, or a combination of the foregoing. In some embodiments, the process parameters can be controlled so that the groove 84B in the sub-region 50P-2 is different from (for example, has a different depth, width, shape, etc.) the groove 84A in the sub-region 50P-1. The process parameters can also be controlled so that the spacers 80, 81, or 86 in the sub-region 50P-2 are different from (for example, have different heights, widths, shapes, etc.) the spacers 80, 81, or 86 in the sub-region 50P. These are examples, and these and other changes should be included within the scope of the embodiments of the present invention. In some cases, the epitaxial source/drain region 82B formed in the groove 84B can be controlled by controlling the etching of the groove 84B or the spacer 80, 81, or 86 in this manner (see 18A~ B) shape, volume, size or other characteristics. By using separate and different etching processes in the sub-region 50P-1 and the sub-region 50P-2, the epitaxial source/drain regions in each sub-region can be formed to have different characteristics.

轉向第18A~B圖,移除遮罩91B。可以使用適當的製程來移除遮罩91B,例如濕式化學製程或乾式製程。以這種方式,可以準備用於形成磊晶源極/汲極區82A~B(見第19A~B圖)的子區域50P-1和50P-2的源極/汲極區。如第12A~18B圖所述,可以使用多重圖案化製程來不同地蝕刻不同的子區域。在一些實施例中,多重圖案化製程可以是例如第12A~18B圖所述的「2P2E」製程,其中遮蔽第一子區域(例如子區域50P-2)而蝕刻第二子區域(例如子區域50P-1),然後遮蔽第二子區域而蝕刻第一子區域。在其他實施例中,在遮蔽子區域50P-2且蝕刻子區域50P-1之前,可以先遮蔽子區域50P-1且先蝕刻子區域50P-2。藉由依序遮蔽和蝕刻適當的子區域,可以用這種方式使用不同的蝕刻製程來蝕刻多於兩個子區域。另外,藉由使用類似於濕式清潔製程95A~B的濕式清潔製程,可以在每個遮蔽步驟之前進行濕式清潔製程,而對由碳氧化矽形成的膜層的損傷的機會較小。Turn to Figures 18A-B, and remove the mask 91B. The mask 91B can be removed by a suitable process, such as a wet chemical process or a dry process. In this way, the source/drain regions for forming the sub-regions 50P-1 and 50P-2 of the epitaxial source/drain regions 82A-B (see FIGS. 19A-B) can be prepared. As described in FIGS. 12A to 18B, multiple patterning processes can be used to etch different sub-regions differently. In some embodiments, the multiple patterning process can be, for example, the "2P2E" process described in Figures 12A-18B, in which the first sub-region (for example, sub-region 50P-2) is shielded and the second sub-region (for example, sub-region is etched) 50P-1), then mask the second sub-region and etch the first sub-region. In other embodiments, before masking the sub-region 50P-2 and etching the sub-region 50P-1, the sub-region 50P-1 may be first masked and the sub-region 50P-2 may be etched first. By sequentially masking and etching appropriate sub-regions, different etching processes can be used in this way to etch more than two sub-regions. In addition, by using a wet cleaning process similar to the wet cleaning process 95A-B, a wet cleaning process can be performed before each masking step, and there is less chance of damage to the film layer formed of silicon oxycarbide.

轉向第19A~B圖,根據一些實施例,在區域50P中形成磊晶源極/汲極區82。在一些實施例中,可以先進行預清潔製程以從凹槽84A~B中移除氧化物(例如原生氧化物)。預清潔製程可以包含濕式化學製程(例如稀釋的HF)、電漿製程或前述之組合。使用相同的磊晶製程,在子區域50P-1的凹槽84A中形成磊晶源極/汲極區82A,並且在子區域50P-2的凹槽84B中形成磊晶源極/汲極區82B。在一些實施例中,可以使用與磊晶源極/汲極區82A~B相同的磊晶製程在其他子區域(如果有的話)中形成額外的磊晶源極/汲極區。磊晶源極/汲極區82A~B可以包含任何合適的材料,例如適合於p型鰭式場效電晶體。舉例來說,如果鰭片52是矽或SiGe,則磊晶源極/汲極區82A~B可以包含SiGe、SiGeB、Ge、GeSn、其他材料、類似的材料或前述之組合。Turning to FIGS. 19A-B, according to some embodiments, an epitaxial source/drain region 82 is formed in the region 50P. In some embodiments, a pre-cleaning process may be performed first to remove oxides (such as native oxides) from the grooves 84A-B. The pre-cleaning process may include a wet chemical process (such as diluted HF), a plasma process, or a combination of the foregoing. Using the same epitaxial process, an epitaxial source/drain region 82A is formed in the groove 84A of the sub-region 50P-1, and an epitaxial source/drain region is formed in the groove 84B of the sub-region 50P-2 82B. In some embodiments, the same epitaxial process as the epitaxial source/drain regions 82A-B may be used to form additional epitaxial source/drain regions in other sub-regions (if any). The epitaxial source/drain regions 82A-B can comprise any suitable material, for example, suitable for p-type fin field effect transistors. For example, if the fin 52 is silicon or SiGe, the epitaxial source/drain regions 82A-B may include SiGe, SiGeB, Ge, GeSn, other materials, similar materials, or a combination of the foregoing.

在一些實施例中,單個磊晶製程可以在不同的子區域中形成不同的磊晶源極/汲極區。由於在子區域中進行的不同蝕刻製程形成在子區域中的凹槽(例如凹槽84A~B)中的差異或在子區域中的間隔物(例如間隔物80、81或86)中的差異,所以磊晶源極/汲極區可能是不同的。舉例來說,如第19A圖所示,在子區域50P-1的凹槽84A中形成的磊晶源極/汲極區82A在磊晶期間合併在一起,成為單個磊晶源極/汲極區82A,而在子區域50P-2的凹槽84B中形成的磊晶源極/汲極區82B保持不合併。以這種方式,形成磊晶源極/汲極區82A的體積大於磊晶源極/汲極區82B的體積。In some embodiments, a single epitaxial process can form different epitaxial source/drain regions in different sub-regions. The difference in the grooves (for example, grooves 84A-B) formed in the sub-area due to the different etching processes performed in the sub-area or the difference in the spacers (for example, the spacer 80, 81 or 86) in the sub-area , So the epitaxy source/drain regions may be different. For example, as shown in FIG. 19A, the epitaxial source/drain regions 82A formed in the groove 84A of the sub-region 50P-1 are merged together during the epitaxial process to form a single epitaxial source/drain region. Region 82A, and the epitaxial source/drain region 82B formed in the groove 84B of the sub-region 50P-2 remains unmerged. In this way, the volume of the epitaxial source/drain region 82A is formed larger than the volume of the epitaxial source/drain region 82B.

第19A~B圖所示之合併的磊晶源極/汲極區82A和不合併的磊晶源極/汲極區82B是作為使用相同的磊晶製程在不同子區域中形成的不同磊晶源極/汲極區的說明性範例,並且其他變化也應包含在本發明實施例的範圍內。在其他實施例中,在不同子區域中形成的磊晶源極/汲極區可以在其他方式不同,例如高度、寬度、形狀、體積、輪廓等。以這種方式,可以在不同的子區域並使用相同的磊晶製程形成具有不同磊晶源極/汲極區的鰭式場效電晶體裝置。舉例來說,可以在第一子區域(例如子區域50P-1)中形成邏輯裝置,且可以在第二子區域(例如子區域50P-2)中形成靜態隨機存取記憶體(SRAM)裝置。這些只是範例,也可以是其他類型的裝置。The combined epitaxial source/drain region 82A and the uncombined epitaxial source/drain region 82B shown in Figs. 19A to B are different epitaxial cells formed in different sub-regions using the same epitaxial process Illustrative examples of source/drain regions, and other changes should also be included within the scope of the embodiments of the present invention. In other embodiments, the epitaxial source/drain regions formed in different sub-regions may be different in other ways, such as height, width, shape, volume, profile, etc. In this way, fin-type field effect transistor devices with different epitaxial source/drain regions can be formed in different sub-regions and using the same epitaxial process. For example, a logic device may be formed in a first sub-area (for example, sub-area 50P-1), and a static random access memory (SRAM) device may be formed in a second sub-area (for example, sub-area 50P-2) . These are just examples, and other types of devices are also possible.

區域50N(例如NMOS區域)中的磊晶源極/汲極區82的形成可以藉由遮蔽區域50P(例如PMOS區域),並蝕刻區域50N中的鰭片52的源極/汲極區以在鰭片52中形成凹槽。然後,可以在凹槽中磊晶成長區域50N中的磊晶源極/汲極區82。可以在區域50P中形成磊晶源極/汲極區82之前或之後(例如在形成第19A~B圖中所示之形成磊晶源極/汲極區82A~B之前或之後)形成區域50N中的磊晶源極/汲極區82。區域50N的磊晶源極/汲極區82可以包含任何合適的材料,例如適合於n型鰭式場效電晶體。舉例來說,如果鰭片52是矽,則區域50N中的磊晶源極/汲極區82可以包含矽、SiC、SiCP、SiP或類似的材料。區域50N中的磊晶源極/汲極區82可以具有從鰭片52的各個表面升高的表面,可以被合併或不被合併,或者可以具有刻面(facets)。The epitaxial source/drain region 82 in the region 50N (for example, NMOS region) can be formed by shielding the region 50P (for example, PMOS region) and etching the source/drain region of the fin 52 in the region 50N to A groove is formed in the fin 52. Then, the epitaxial source/drain region 82 in the epitaxial growth region 50N can be epitaxially grown in the groove. The region 50N may be formed before or after the epitaxial source/drain regions 82 are formed in the region 50P (for example, before or after the formation of the epitaxial source/drain regions 82A-B shown in Figures 19A-B) In the epitaxial source/drain region 82. The epitaxial source/drain region 82 of the region 50N may include any suitable material, for example, suitable for n-type fin field effect transistors. For example, if the fin 52 is silicon, the epitaxial source/drain region 82 in the region 50N may include silicon, SiC, SiCP, SiP, or similar materials. The epitaxial source/drain region 82 in the region 50N may have a surface raised from each surface of the fin 52, may or may not be merged, or may have facets.

在一些實施例中,區域50N可以包含子區域,並且可以在區域50N中形成磊晶源極/汲極區82之前使用遮蔽和蝕刻分離子區域的多重圖案化製程。多重圖案化製程可以類似於如第12A~18B圖所述之對區域50P的子區域50P-1和50P-2進行的多重圖案化製程。以這種方式,可以使用相同的磊晶製程在不同的子區域中形成不同的磊晶源極/汲極區,因此可以在不同的子區域中形成不同的鰭式場效電晶體裝置(例如SRAM、邏輯裝置等)。在一些實施例中,多重圖案化製程可以包含類似於前述濕式清潔製程95A~B的一或多個濕式清潔製程。以這種方式,碳氧化矽可用於區域50N中的第一間隔物80和第二間隔物81,在多重圖案化製程期間損壞的機會較小。在一些實施例中,可以在區域50N或50P或其子區域中形成磊晶源極/汲極區之後移除側壁間隔物86。可以使用例如非等向性乾式蝕刻來移除側壁間隔物86。In some embodiments, the region 50N may include sub-regions, and a multiple patterning process of masking and etching the sub-regions may be used before forming the epitaxial source/drain regions 82 in the region 50N. The multiple patterning process may be similar to the multiple patterning process performed on the sub-regions 50P-1 and 50P-2 of the region 50P as described in FIGS. 12A-18B. In this way, the same epitaxial process can be used to form different epitaxial source/drain regions in different sub-regions. Therefore, different fin-type field effect transistor devices (such as SRAM) can be formed in different sub-regions. , Logic devices, etc.). In some embodiments, the multiple patterning process may include one or more wet cleaning processes similar to the aforementioned wet cleaning processes 95A-B. In this way, silicon oxycarbide can be used for the first spacer 80 and the second spacer 81 in the region 50N, and there is less chance of damage during the multiple patterning process. In some embodiments, the sidewall spacers 86 may be removed after the epitaxial source/drain regions are formed in the regions 50N or 50P or sub-regions thereof. The sidewall spacers 86 may be removed using, for example, anisotropic dry etching.

可以將磊晶源極/汲極區82及/或鰭片52佈植摻質以形成源極/汲極區,類似於前述用於形成輕摻雜的源極/汲極區的製程,然後進行退火。源極/汲極區的雜質濃度可以為約1019 cm−3 至約1021 cm−3 。用於源極/汲極區的n型及/或p型雜質可以是前述之任何雜質。在一些實施例中,可以在成長期間原位摻雜磊晶源極/汲極區82。The epitaxial source/drain regions 82 and/or fins 52 can be implanted with dopants to form source/drain regions, similar to the aforementioned process for forming lightly doped source/drain regions, and then Perform annealing. The impurity concentration of the source/drain regions may be about 10 19 cm −3 to about 10 21 cm −3 . The n-type and/or p-type impurities used in the source/drain regions may be any of the aforementioned impurities. In some embodiments, the epitaxial source/drain region 82 may be doped in-situ during growth.

轉向第20A和20B圖,在區域50N和區域50P上方沉積層間介電質(ILD)88。第20A~B圖所示之結構是在形成磊晶源極/汲極區82之後的範例結構,並且所述的製程步驟可以適用於前述之任何結構、實施例或裝置。層間介電質88可以由介電材料或半導體材料形成,並且層間介電質88的沉積可以藉由任何合適的方法,例如化學氣相沉積、電漿輔助化學氣相沉積或可流動式化學氣相沉積。介電材料可以包含磷矽酸鹽玻璃(PSG)、硼矽酸鹽玻璃(Boro-Silicate Glass,BSG)、摻雜硼的磷矽酸鹽玻璃(Boron-Doped Phospho-Silicate Glass,BPSG)、未摻雜的矽酸鹽玻璃(undoped Silicate Glass,USG)或類似的材料。半導體材料可包含非晶矽、矽鍺(Six Ge1-x ,其中x可以為約0至1)、純鍺或類似的材料。可以使用藉由任何合適的製程形成之其他絕緣或半導體材料。在一些實施例中,在層間介電質88與磊晶源極/汲極區82、硬遮罩74和側壁間隔物86之間設置接觸蝕刻停止層(contact etch stop layer,CESL)87。接觸蝕刻停止層87可以包含介電材料,例如氮化矽、氧化矽、氮氧化矽、類似的材料或前述之組合。Turning to Figures 20A and 20B, an interlayer dielectric (ILD) 88 is deposited over regions 50N and 50P. The structures shown in FIGS. 20A-B are exemplary structures after forming the epitaxial source/drain regions 82, and the process steps described above can be applied to any of the aforementioned structures, embodiments, or devices. The interlayer dielectric 88 can be formed of a dielectric material or a semiconductor material, and the interlayer dielectric 88 can be deposited by any suitable method, such as chemical vapor deposition, plasma-assisted chemical vapor deposition or flowable chemical vapor. Facies deposition. The dielectric material can include phosphosilicate glass (PSG), borosilicate glass (Boro-Silicate Glass, BSG), boron-doped phosphosilicate glass (Boron-Doped Phospho-Silicate Glass, BPSG), Doped silicate glass (undoped Silicate Glass, USG) or similar materials. The semiconductor material may include amorphous silicon, silicon germanium (Si x Ge 1-x , where x may be about 0 to 1), pure germanium, or similar materials. Other insulating or semiconductor materials formed by any suitable process can be used. In some embodiments, a contact etch stop layer (CESL) 87 is provided between the interlayer dielectric 88 and the epitaxial source/drain region 82, the hard mask 74 and the sidewall spacers 86. The contact etch stop layer 87 may include a dielectric material, such as silicon nitride, silicon oxide, silicon oxynitride, similar materials, or a combination of the foregoing.

在第21A和21B圖中,可以進行例如化學機械研磨的平坦化製程以使層間介電質88的頂表面與虛設閘極72的頂表面齊平。平坦化製程也可以移除虛設閘極72上的遮罩74,並且還可以沿著遮罩74的側壁移除第一間隔物80、第二間隔物81和側壁間隔物86的一部分。在平坦化製程之後,虛設閘極72、第一間隔物80、第二間隔物81、側壁間隔物86和層間介電質88的頂表面是齊平的。因此,經由層間介電質88暴露出虛設閘極72的頂表面。In FIGS. 21A and 21B, a planarization process such as chemical mechanical polishing may be performed to make the top surface of the interlayer dielectric 88 and the top surface of the dummy gate 72 flush. The planarization process can also remove the mask 74 on the dummy gate 72, and can also remove part of the first spacer 80, the second spacer 81 and the sidewall spacer 86 along the sidewall of the mask 74. After the planarization process, the top surfaces of the dummy gate 72, the first spacer 80, the second spacer 81, the sidewall spacer 86, and the interlayer dielectric 88 are flush. Therefore, the top surface of the dummy gate 72 is exposed through the interlayer dielectric 88.

在第22A和22B圖中,在一或多個蝕刻步驟中移除虛設閘極72和位於露出的虛設閘極72正下方的虛設介電層60的一部分,藉此形成凹槽90。在一些實施例中,藉由非等向性乾式蝕刻製程移除虛設閘極72。舉例來說,蝕刻製程可以包含使用一或多種製程氣體的乾式蝕刻製程,製程氣體選擇性地蝕刻虛設閘極72而不蝕刻層間介電質88或閘極間隔物86。每個凹槽90暴露出相應的鰭片52的通道區。通道區58設置於磊晶源極/汲極區82的相鄰對之間。在移除期間,當蝕刻虛設閘極72時,虛設介電層60可以作為蝕刻停止層。然後,可以在移除虛設閘極72之後可選地(optionally)移除虛設介電層60。In FIGS. 22A and 22B, the dummy gate 72 and a portion of the dummy dielectric layer 60 directly under the exposed dummy gate 72 are removed in one or more etching steps, thereby forming the groove 90. In some embodiments, the dummy gate 72 is removed by an anisotropic dry etching process. For example, the etching process may include a dry etching process using one or more process gases. The process gases selectively etch the dummy gate 72 without etching the interlayer dielectric 88 or the gate spacer 86. Each groove 90 exposes the channel area of the corresponding fin 52. The channel region 58 is disposed between adjacent pairs of epitaxial source/drain regions 82. During the removal, when the dummy gate 72 is etched, the dummy dielectric layer 60 may serve as an etch stop layer. Then, the dummy dielectric layer 60 may be optionally removed after the dummy gate 72 is removed.

在第23A和23B圖中,根據一些實施例,形成閘極介電層92和閘極電極94以替換閘極。第24圖繪示第23B圖的詳細示意圖,如所表示的。閘極介電層92順應性地沉積於凹槽90中,例如在鰭片52的頂表面和側壁上以及在第一間隔物80的側壁上。閘極介電層92也可以形成於層間介電質88的頂表面上。根據一些實施例,閘極介電層92包含氧化矽、氮化矽或前述之多層結構。在一些實施例中,閘極介電層92是高介電常數介電材料,並且在這些實施例中,閘極介電層92可以具有大於約7.0的介電常數值,並且可以包含金屬氧化物或Hf、Al、Zr、La、Mg、Ba、Ti、Pb及前述之組合的矽酸鹽。閘極介電層92的形成方法可以包含分子束沉積(Molecular-Beam Deposition,MBD)、原子層沉積、電漿輔助化學氣相沉積和類似的方法。在虛設閘極介電質(又稱為虛設介電質)60的一部分留在凹槽90內的實施例中,閘極介電層92包含虛設閘極介電質60的材料(例如氧化矽)。In FIGS. 23A and 23B, according to some embodiments, a gate dielectric layer 92 and a gate electrode 94 are formed to replace the gate. Figure 24 is a detailed schematic diagram of Figure 23B, as shown. The gate dielectric layer 92 is compliantly deposited in the groove 90, for example, on the top surface and sidewalls of the fin 52 and on the sidewalls of the first spacer 80. The gate dielectric layer 92 may also be formed on the top surface of the interlayer dielectric 88. According to some embodiments, the gate dielectric layer 92 includes silicon oxide, silicon nitride, or the aforementioned multilayer structure. In some embodiments, the gate dielectric layer 92 is a high dielectric constant dielectric material, and in these embodiments, the gate dielectric layer 92 may have a dielectric constant value greater than about 7.0, and may include metal oxide Or silicates of Hf, Al, Zr, La, Mg, Ba, Ti, Pb and combinations of the foregoing. The formation method of the gate dielectric layer 92 may include molecular-beam deposition (MBD), atomic layer deposition, plasma-assisted chemical vapor deposition, and similar methods. In the embodiment where part of the dummy gate dielectric (also called dummy dielectric) 60 is left in the groove 90, the gate dielectric layer 92 includes the material of the dummy gate dielectric 60 (such as silicon oxide) ).

閘極電極94分別沉積於閘極介電層92上方,並填充凹槽90的剩餘部分。閘極電極94可以是含金屬的材料,例如TiN、TiO、TaN、TaC、Co、Ru、Al、W、前述之組合或前述之多層結構。舉例來說,雖然在第23B圖中繪示單層閘極電極94,但是閘極電極94可以包含任何數量的襯層94A、任何數量的功函數調整層94B和填充材料94C,如第24圖所示。在填充閘極電極94之後,可以進行例如化學機械研磨製程的平坦化製程,以移除閘極電極94的材料和閘極介電層92的多餘部分,這些多餘部分位於層間介電質88的頂表面上方。閘極電極94和閘極介電層92的材料的剩餘部分因此形成所得到的鰭式場效電晶體的替換閘極。可以將閘極電極94和閘極介電層92統稱為「閘極堆疊」。閘極和閘極堆疊可以沿著鰭片52的通道區58的側壁延伸。The gate electrodes 94 are respectively deposited on the gate dielectric layer 92 and fill the remaining part of the groove 90. The gate electrode 94 may be a metal-containing material, such as TiN, TiO, TaN, TaC, Co, Ru, Al, W, a combination of the foregoing, or the foregoing multilayer structure. For example, although a single-layer gate electrode 94 is shown in FIG. 23B, the gate electrode 94 may include any number of liner layers 94A, any number of work function adjustment layers 94B, and filling materials 94C, as shown in FIG. 24 Shown. After the gate electrode 94 is filled, a planarization process such as a chemical mechanical polishing process can be performed to remove the material of the gate electrode 94 and the excess part of the gate dielectric layer 92, which are located on the interlayer dielectric 88 Above the top surface. The remaining part of the material of the gate electrode 94 and the gate dielectric layer 92 thus forms a replacement gate for the resulting fin field effect transistor. The gate electrode 94 and the gate dielectric layer 92 can be collectively referred to as a "gate stack". The gate and gate stack may extend along the sidewalls of the channel region 58 of the fin 52.

區域50N和區域50P中的閘極介電層92的形成可以同時發生,使得每個區域中的閘極介電層92係由相同的材料形成,並且閘極電極94的形成可以同時發生,使得每個區域中的閘極電極94係由相同的材料形成。在一些實施例中,每個區域中的閘極介電層92可以由不同的製程形成,使得閘極介電層92可以是不同的材料,及/或每個區域中的閘極電極94可以由不同的製程形成,使得閘極電極94可以是不同的材料。當使用不同的製程時,可以使用各種遮罩步驟來遮蔽和露出適當的區域。The formation of the gate dielectric layer 92 in the region 50N and the region 50P can occur simultaneously, so that the gate dielectric layer 92 in each region is formed of the same material, and the formation of the gate electrode 94 can occur simultaneously, so that The gate electrode 94 in each region is formed of the same material. In some embodiments, the gate dielectric layer 92 in each region can be formed by a different process, so that the gate dielectric layer 92 can be a different material, and/or the gate electrode 94 in each region can be It is formed by different processes, so that the gate electrode 94 can be made of different materials. When using different processes, various masking steps can be used to cover and expose the appropriate area.

在第25A和25B圖中,在層間介電質88上方沉積層間介電質108。在一實施例中,層間介電質108是由可流動式化學氣相沉積方法形成的可流動膜。在一些實施例中,層間介電質108係由介電材料形成,例如磷矽酸鹽玻璃、硼矽酸鹽玻璃、摻雜硼的磷矽酸鹽玻璃、未摻雜的矽酸鹽玻璃或類似的材料,並且層間介電質108的沉積可以藉由任何適當的方法,例如化學氣相沉積、電漿輔助化學氣相沉積或類似的方法。In FIGS. 25A and 25B, an interlayer dielectric 108 is deposited on the interlayer dielectric 88. In one embodiment, the interlayer dielectric 108 is a flowable film formed by a flowable chemical vapor deposition method. In some embodiments, the interlayer dielectric 108 is formed of a dielectric material, such as phosphosilicate glass, borosilicate glass, boron-doped phosphosilicate glass, undoped silicate glass or Similar materials, and the interlayer dielectric 108 can be deposited by any suitable method, such as chemical vapor deposition, plasma-assisted chemical vapor deposition or similar methods.

在第26A和26B圖中,根據一些實施例,經由層間介電質108和層間介電質88形成接觸件110和(又稱為源極/汲極接觸件)112。在一些實施例中,可以在形成接觸件112之前進行退火製程,以在磊晶源極/汲極區82和接觸件112之間的界面處形成矽化物。接觸件110物理性和電性連接至閘極電極94,並且接觸件112物理性和電性連接至磊晶源極/汲極區82。第26A~B圖以相同的剖面繪示接觸件110和112;然而,在其他實施例中,接觸件110和112可以設置在不同的剖面中。此外,第26A~B圖中的接觸件110和112的位置僅是說明性的,而非用以任何方式限制本發明實施例。舉例來說,接觸件110可以如圖所示與鰭片52垂直對準,或者可以設置在閘極電極94上的不同位置。此外,可以在形成接觸件110之前、同時或之後形成接觸件112。In FIGS. 26A and 26B, according to some embodiments, the contacts 110 and (also referred to as source/drain contacts) 112 are formed through the interlayer dielectric 108 and the interlayer dielectric 88. In some embodiments, an annealing process may be performed before forming the contact 112 to form a silicide at the interface between the epitaxial source/drain region 82 and the contact 112. The contact 110 is physically and electrically connected to the gate electrode 94, and the contact 112 is physically and electrically connected to the epitaxial source/drain region 82. 26A-B show the contact members 110 and 112 in the same cross-section; however, in other embodiments, the contact members 110 and 112 may be arranged in different cross-sections. In addition, the positions of the contacts 110 and 112 in Figures 26A-B are only illustrative, and are not intended to limit the embodiment of the present invention in any way. For example, the contact 110 may be vertically aligned with the fin 52 as shown, or may be arranged at a different position on the gate electrode 94. In addition, the contact 112 may be formed before, at the same time, or after the contact 110 is formed.

轉到第27圖,圖表繪示量測由碳氧化矽材料形成的第一間隔物80和第二間隔物81中碳濃度的實驗數據。第27圖顯示在不同的製程步驟(指定為步驟A、B、C和D)之後測量的碳濃度。在第27圖中,點125A~D顯示第一樣品的碳濃度,點126A~D顯示第二樣品的碳濃度,以及點127A~D顯示第三樣品的碳濃度。如以下更詳細描述的,第一濕式清潔製程95A和第二濕式清潔製程95B用於清潔第一樣品(點125A~D)和第二樣品(點126A~D),但氧電漿製程用於清潔第三樣品(點127A~D)。製程步驟A對應於在形成第一間隔物80和第二間隔物81之後的步驟,因此點125A、126A和127A顯示樣品的初始碳濃度(例如第11A~B圖所示)。Turning to FIG. 27, the graph shows experimental data for measuring the carbon concentration in the first spacer 80 and the second spacer 81 made of silicon oxycarbide material. Figure 27 shows the carbon concentration measured after the different process steps (designated as steps A, B, C, and D). In Figure 27, points 125A to D show the carbon concentration of the first sample, points 126A to D show the carbon concentration of the second sample, and points 127A to D show the carbon concentration of the third sample. As described in more detail below, the first wet cleaning process 95A and the second wet cleaning process 95B are used to clean the first sample (points 125A to D) and the second sample (points 126A to D), but the oxygen plasma The process is used to clean the third sample (points 127A to D). The process step A corresponds to the step after the first spacer 80 and the second spacer 81 are formed, so points 125A, 126A, and 127A show the initial carbon concentration of the sample (for example, as shown in Figures 11A to B).

製程步驟B對應於已進行第12A~18B圖所述之2P2E多重圖案化製程之後的步驟。然而,第一樣品(點125A~D)和第二樣品(點126A~D)使用前述之第一濕式清潔製程95A和第二濕式清潔95B,但第三樣品(點127A~D)單獨使用氧電漿製程而不是第一濕式清潔製程95A和第二濕式清潔製程95B。如點125B和126B所示,在第一樣品和第二樣品上進行的濕式清潔製程95A~B將第一樣品和第二樣品的第一間隔物80和第二間隔物81的碳濃度降低到初始碳濃度(點125A和126A)的約50%。如點127B所示,對第三樣品進行的氧電漿製程將第一間隔物80和第二間隔物81的碳濃度降低到小於初始碳濃度的約10%(點127A)。碳濃度的降低表示第一間隔物80和第二間隔物81受到氧電漿製程的損傷增加。因此,第27圖顯示,使用濕式清潔製程95A~B可以使碳氧化矽材料減少的碳濃度小於其他類型的清潔製程。第27圖所示之數據是說明性範例,並且在其他情況下,使用濕式清潔製程95A~B可能減少更多或更少碳濃度。Process step B corresponds to the step after the 2P2E multiple patterning process described in Figures 12A to 18B has been performed. However, the first sample (points 125A to D) and the second sample (points 126A to D) use the aforementioned first wet cleaning process 95A and second wet cleaning 95B, but the third sample (points 127A to D) The oxygen plasma process is used alone instead of the first wet cleaning process 95A and the second wet cleaning process 95B. As indicated by points 125B and 126B, the wet cleaning processes 95A-B performed on the first sample and the second sample remove the carbon of the first spacer 80 and the second spacer 81 of the first sample and the second sample. The concentration is reduced to about 50% of the initial carbon concentration (points 125A and 126A). As shown at point 127B, the oxygen plasma process performed on the third sample reduces the carbon concentration of the first spacer 80 and the second spacer 81 to less than about 10% of the initial carbon concentration (point 127A). The decrease in the carbon concentration indicates that the first spacer 80 and the second spacer 81 are damaged by the oxygen plasma process. Therefore, Figure 27 shows that the use of wet cleaning processes 95A-B can reduce the carbon concentration of the silicon oxycarbide material less than other types of cleaning processes. The data shown in Figure 27 is an illustrative example, and in other cases, the use of wet cleaning processes 95A-B may reduce the carbon concentration by more or less.

製程步驟C對應於已進行如第19A~B圖所述之預清潔製程之前的步驟。如圖所示,第一樣品(點125C)、第二樣品(點126C)和第三樣品(點127C)保持與製程步驟B大致相同的碳濃度。製程步驟D對應已形成如第19A~B圖所述之磊晶源極/汲極區82A~B之前的步驟。如圖所示,第一樣品(點125D)、第二樣品(點126D)和第三樣品(點127D)保持與製程步驟B和製程步驟C大致相同的碳濃度。因此,在一些情況下,在進行濕式清潔製程95A~B之後,額外的製程不會進一步降低碳濃度。Process step C corresponds to the step before the pre-cleaning process as described in Figures 19A-B has been performed. As shown in the figure, the first sample (point 125C), the second sample (point 126C), and the third sample (point 127C) maintain approximately the same carbon concentration as in process step B. The process step D corresponds to the steps before the epitaxial source/drain regions 82A-B are formed as described in FIGS. 19A-B. As shown in the figure, the first sample (point 125D), the second sample (point 126D), and the third sample (point 127D) maintain approximately the same carbon concentration as process step B and process step C. Therefore, in some cases, after performing the wet cleaning processes 95A-B, additional processes will not further reduce the carbon concentration.

在此描述的實施例可以實現一些優點。藉由使用包含加熱的硫酸和過氧化氫的混合物的濕式清潔製程,可以將碳氧化矽材料用於鰭式場效電晶體裝置的一部分,而損傷碳氧化矽材料的風險較小。舉例來說,碳氧化矽材料可以用於在製程期間形成於虛設閘極的側壁上的一個、兩個或更多個間隔物。因為碳氧化矽具有相對低的介電常數,所以在鰭式場效電晶體裝置內使用碳氧化矽(例如作為間隔物的材料)可以降低鰭式場效電晶體裝置的寄生電容。舉例來說,可以降低金屬閘極與源極/汲極接觸件之間的寄生電容。藉由降低寄生電容,可以改善鰭式場效電晶體裝置的效能,特別是在較高頻率操作下。另外,在此所述之濕式清潔製程混合物的使用可以允許除了多重圖案化技術之外更可靠地使用碳氧化矽。舉例來說,藉由對不同的裝置使用選擇性遮蔽和不同的蝕刻製程,可以用相同的磊晶步驟使用多重圖案化來形成具有不同的磊晶區域的裝置。這可以減少總體製程步驟、提高製程效率,並降低製造成本,同時還提供使用碳氧化矽的好處。The embodiments described herein can achieve some advantages. By using a wet cleaning process that includes a mixture of heated sulfuric acid and hydrogen peroxide, the silicon oxycarbide material can be used as a part of the fin-type field-effect transistor device, and the risk of damaging the silicon oxycarbide material is small. For example, a silicon oxycarbide material can be used for one, two or more spacers formed on the sidewall of the dummy gate during the process. Because silicon oxycarbide has a relatively low dielectric constant, the use of silicon oxycarbide (for example, as a spacer material) in the fin field effect transistor device can reduce the parasitic capacitance of the fin field effect transistor device. For example, the parasitic capacitance between the metal gate and the source/drain contacts can be reduced. By reducing the parasitic capacitance, the performance of the fin-type field-effect transistor device can be improved, especially under higher frequency operation. In addition, the use of the wet cleaning process mixture described herein may allow more reliable use of silicon oxycarbide in addition to multiple patterning techniques. For example, by using selective masking and different etching processes for different devices, multiple patterning can be used with the same epitaxial step to form devices with different epitaxial regions. This can reduce overall process steps, improve process efficiency, and reduce manufacturing costs, while also providing the benefits of using silicon oxycarbide.

在一實施例中,一種方法包含在基底上方形成第一鰭片和第二鰭片,在第一鰭片上方形成第一虛設閘極結構並在第二鰭片上方形成第二虛設閘極結構,在第一鰭片上、在第二鰭片上、在第一虛設閘極結構上和在第二虛設閘極結構上沉積碳氧化矽的第一層,經由碳氧化矽材料的第一層將雜質佈植至第一鰭片中和第二鰭片中,在佈植之後,在碳氧化矽材料的第一層上方沉積碳氧化矽材料的第二層,在沉積碳氧化矽材料的第二層之後,對第一鰭片和第二鰭片進行濕式清潔製程,在第二鰭片和第二虛設閘極結構上方形成第一遮罩,凹蝕鄰近第一虛設閘極結構的第一鰭片以在第一鰭片中形成第一凹槽,在凹蝕第一鰭片之後,對第一鰭片和第二鰭片進行濕式清潔製程,在第一鰭片和第一虛設閘極結構上方形成第二遮罩,凹蝕鄰近第二虛設閘極結構的第二鰭片以在第二鰭片中形成第二凹槽,以及進行磊晶製程以同時形成第一凹槽中的第一磊晶源極/汲極區和第二凹槽中的第二磊晶源極/汲極區。在一實施例中,此方法包含對碳氧化矽材料的第一層進行非等向性蝕刻製程以在第一虛設閘極結構上形成第一間隔物,以及對碳氧化矽材料的第二層進行非等向性蝕刻製程以在第二虛設閘極上形成第二間隔物。在一實施例中,碳氧化矽材料的第一層的雜質濃度高於碳氧化矽材料的第二層。在一實施例中,濕式清潔製程包含使用加熱的硫酸和過氧化氫的混合物。在一實施例中,硫酸和過氧化氫的混合物係以2:1至5:1的莫耳比混合。在一實施例中,加熱的混合物的溫度為80°C至180°C。在一實施例中,此方法包含在碳氧化矽材料的第二層上方形成側壁間隔物,側壁間隔物包含不同於碳氧化矽材料的介電材料。在一實施例中,至少兩個第一磊晶源極/汲極區合併在一起。在一實施例中,第一凹槽具有第一深度且第二凹槽具有不同於第一深度的第二深度。In one embodiment, a method includes forming a first fin and a second fin above a substrate, forming a first dummy gate structure above the first fin, and forming a second dummy gate structure above the second fin , Deposit a first layer of silicon oxycarbide on the first fin, on the second fin, on the first dummy gate structure, and on the second dummy gate structure, and remove impurities through the first layer of silicon oxycarbide material Planted in the first and second fins. After planting, deposit a second layer of silicon oxycarbide material on top of the first layer of silicon oxycarbide material, and deposit the second layer of silicon oxycarbide material Afterwards, a wet cleaning process is performed on the first fin and the second fin, a first mask is formed on the second fin and the second dummy gate structure, and the first fin adjacent to the first dummy gate structure is etched To form a first groove in the first fin. After the first fin is etched, a wet cleaning process is performed on the first fin and the second fin, and the first fin and the first dummy gate A second mask is formed above the structure, the second fin adjacent to the second dummy gate structure is etched back to form a second groove in the second fin, and an epitaxial process is performed to simultaneously form the first groove in the first groove An epitaxial source/drain region and a second epitaxial source/drain region in the second groove. In one embodiment, the method includes performing an anisotropic etching process on the first layer of silicon oxycarbide material to form a first spacer on the first dummy gate structure, and applying the second layer of silicon oxycarbide material An anisotropic etching process is performed to form a second spacer on the second dummy gate. In one embodiment, the impurity concentration of the first layer of silicon oxycarbide material is higher than that of the second layer of silicon oxycarbide material. In one embodiment, the wet cleaning process includes using a heated mixture of sulfuric acid and hydrogen peroxide. In one embodiment, the mixture of sulfuric acid and hydrogen peroxide is mixed at a molar ratio of 2:1 to 5:1. In one embodiment, the temperature of the heated mixture is 80°C to 180°C. In one embodiment, the method includes forming sidewall spacers over the second layer of silicon oxycarbide material, the sidewall spacers including a dielectric material different from the silicon oxycarbide material. In one embodiment, at least two first epitaxial source/drain regions are merged together. In an embodiment, the first groove has a first depth and the second groove has a second depth different from the first depth.

在一實施例中,一種方法包含將基底圖案化以形成多個第一鰭片和多個第二鰭片,在多個第一鰭片上形成多個第一虛設閘極結構,在多個第二鰭片上形成多個第二虛設閘極結構,在多個第一虛設閘極結構上形成多個第一間隔結構,在多個第二虛設閘極結構上形成多個第二間隔結構,其中多個第一間隔結構和多個第二間隔結構包含低介電常數介電材料,在多個第一鰭片中形成第一凹槽,包含進行第一濕式除渣製程以及進行第一非等向性蝕刻製程以在多個第一鰭片中形成第一凹槽,在多個第一鰭片中形成第一凹槽之後,在多個第二鰭片中形成第二凹槽,包含進行第二濕式除渣製程以及進行第二非等向性蝕刻製程以在多個第二鰭片中形成第二凹槽,以及在第一凹槽中磊晶成長第一源極/汲極結構並且在第二凹槽中磊晶成長第二源極/汲極結構。在一實施例中,藉由相同的磊晶成長製程同時形成第一源極/汲極結構和第二源極/汲極結構。在一實施例中,第一非等向性蝕刻製程不同於第二非等向性蝕刻製程。在一實施例中,低介電常數介電材料是碳氧化矽。在一實施例中,形成多個第一間隔結構包含使用第一沉積製程來沉積低介電常數介電材料的第一層,對低介電常數介電材料的第一層進行佈植製程,以及在進行佈植製程之後,使用第二沉積製程來沉積低介電常數介電材料的第二層。在一實施例中,進行第一濕式除渣製程包含將硫酸和過氧化氫的混合物加熱至80°C至180°C的溫度。在一實施例中,第一源極/汲極結構的體積大於第二源極/汲極結構。在一實施例中,第一非等向性蝕刻製程蝕刻多個第一間隔結構多於第二非等向性蝕刻製程蝕刻多個第二間隔結構。In one embodiment, a method includes patterning a substrate to form a plurality of first fins and a plurality of second fins, forming a plurality of first dummy gate structures on the plurality of first fins, and forming a plurality of first dummy gate structures on the plurality of first fins. A plurality of second dummy gate structures are formed on the two fins, a plurality of first spacer structures are formed on the plurality of first dummy gate structures, and a plurality of second spacer structures are formed on the plurality of second dummy gate structures, wherein The plurality of first spacing structures and the plurality of second spacing structures include a low-k dielectric material, forming first grooves in the plurality of first fins, including performing a first wet deslagging process and performing a first non-slag removal process. The isotropic etching process is used to form first grooves in the plurality of first fins, after forming the first grooves in the plurality of first fins, forming second grooves in the plurality of second fins, including Perform a second wet deslagging process and perform a second anisotropic etching process to form second grooves in the plurality of second fins, and epitaxially grow first source/drain electrodes in the first grooves Structure and epitaxially grow a second source/drain structure in the second groove. In one embodiment, the first source/drain structure and the second source/drain structure are simultaneously formed by the same epitaxial growth process. In one embodiment, the first anisotropic etching process is different from the second anisotropic etching process. In one embodiment, the low-k dielectric material is silicon oxycarbide. In one embodiment, forming a plurality of first spacer structures includes using a first deposition process to deposit a first layer of a low-k dielectric material, and performing a planting process on the first layer of a low-k dielectric material. And after the implantation process, a second deposition process is used to deposit a second layer of low-k dielectric material. In one embodiment, performing the first wet deslagging process includes heating a mixture of sulfuric acid and hydrogen peroxide to a temperature of 80°C to 180°C. In an embodiment, the volume of the first source/drain structure is larger than that of the second source/drain structure. In one embodiment, the first anisotropic etching process etches a plurality of first spacer structures more than the second anisotropic etching process etches a plurality of second spacer structures.

在一實施例中,一種方法包含形成從基底延伸的第一鰭片,在第一鰭片的側壁上方並沿著第一鰭片的側壁形成第一閘極堆疊,沿著第一閘極堆疊的側壁形成第一間隔物,第一間隔物包含碳氧化矽的第一組成,沿著第一間隔物的側壁形成第二間隔物,第二間隔物包含碳氧化矽的第二組成,沿著第二間隔物的側壁形成第三間隔物,第三間隔物包含氮化矽,以及在第一鰭片中並鄰近第三間隔物形成第一磊晶源極/汲極區。在一實施例中,此方法包含形成從基底延伸的第二鰭片,在第二鰭片的側壁上方並沿著第二鰭片的側壁形成第二閘極堆疊,沿著第二閘極堆疊的側壁形成第四間隔物,第四間隔物包含碳氧化矽的第一組成,沿著第四間隔物的側壁形成第五間隔物,第五間隔物包含碳氧化矽的第二組成,沿著第五間隔物的側壁形成第六間隔物,第六間隔物包含氮化矽,以及在第二鰭片中並鄰近第六間隔物形成第二磊晶源極/汲極區,其中第二磊晶源極/汲極區的體積不同於第一磊晶源極/汲極區的體積。在一實施例中,第一鰭片包含矽鍺。In one embodiment, a method includes forming a first fin extending from a substrate, forming a first gate stack above and along the sidewall of the first fin, and along the first gate stack The sidewalls of the first spacers form a first spacer, the first spacers include the first composition of silicon oxycarbide, and the second spacers are formed along the sidewalls of the first spacers. The second spacers include the second composition of silicon oxycarbide. The sidewall of the second spacer forms a third spacer, the third spacer includes silicon nitride, and a first epitaxial source/drain region is formed in the first fin and adjacent to the third spacer. In one embodiment, the method includes forming a second fin extending from the substrate, forming a second gate stack above and along the sidewall of the second fin, and along the second gate stack The sidewall of the fourth spacer includes the first composition of silicon oxycarbide, and the fifth spacer is formed along the sidewall of the fourth spacer. The fifth spacer includes the second composition of silicon oxycarbide. The sidewall of the fifth spacer forms a sixth spacer, the sixth spacer includes silicon nitride, and a second epitaxy source/drain region is formed in the second fin and adjacent to the sixth spacer, wherein the second epitaxy The volume of the source/drain region is different from the volume of the first epitaxial source/drain region. In one embodiment, the first fin includes silicon germanium.

以上概述數個實施例之部件,使得發明所屬技術領域中具有通常知識者可以更加理解本發明實施例的面向。發明所屬技術領域中具有通常知識者應該理解,他們能以本發明實施例為基礎,設計或修改其他製程和結構,以達到與在此介紹的實施例相同之目的及/或優勢。發明所屬技術領域中具有通常知識者也應該理解,此類等效的結構並未悖離本發明實施例的精神與範圍,且他們能在不違背本發明實施例的精神與範圍下,做各式各樣的改變、置換或修改。The components of several embodiments are summarized above, so that those with ordinary knowledge in the technical field to which the invention belongs can better understand the aspects of the embodiments of the invention. Those with ordinary knowledge in the technical field to which the invention pertains should understand that they can design or modify other processes and structures based on the embodiments of the present invention to achieve the same purpose and/or advantages as the embodiments described herein. Those with ordinary knowledge in the technical field to which the invention pertains should also understand that such equivalent structures do not depart from the spirit and scope of the embodiments of the present invention, and they can do everything without departing from the spirit and scope of the embodiments of the present invention. Various changes, substitutions or modifications.

50:基底 50N,50P:區域 50P-1,50P-2:子區域 51:分隔物 52:鰭片 54:絕緣材料 56:隔離區 60:虛設介電層 62:虛設閘極層 64:遮罩層 72:虛設閘極 74,91A,91B:遮罩 78:第一間隔材料 79:第二間隔材料 80:第一間隔物 81:第二間隔物 82:源極/汲極區 84A,84B,90:凹槽 86:側壁間隔物 87:接觸蝕刻停止層 88,108:層間介電質 92:閘極介電層 94:閘極電極 94A:襯層 94B:功函數調整層 94C:填充材料 95A:第一濕式清潔製程 95B:第二濕式清潔製程 110:接觸件 112:源極/汲極接觸件 121,122,123,124,125A,125B,125C,125D,126A,126B,126C,126D,127A,127B,127C,127D:點 A,B,C,D:步驟 A-A,B-B,C-C:剖面50: Base 50N, 50P: area 50P-1, 50P-2: sub-area 51: divider 52: Fins 54: insulating material 56: Quarantine 60: dummy dielectric layer 62: dummy gate layer 64: Mask layer 72: dummy gate 74, 91A, 91B: mask 78: first spacer material 79: second spacer material 80: first spacer 81: second spacer 82: source/drain region 84A, 84B, 90: groove 86: Sidewall spacer 87: contact etch stop layer 88, 108: Interlayer dielectric 92: gate dielectric layer 94: gate electrode 94A: Lining 94B: Work function adjustment layer 94C: Filling material 95A: The first wet cleaning process 95B: The second wet cleaning process 110: Contact 112: source/drain contacts 121,122,123,124,125A,125B,125C,125D,126A,126B,126C,126D,127A,127B,127C,127D: point A, B, C, D: steps A-A, B-B, C-C: section

藉由以下的詳細描述配合所附圖式,可以更加理解本發明實施例的內容。需強調的是,根據產業上的標準慣例,許多部件並未按照比例繪製。事實上,為了能清楚地討論,各種部件的尺寸可能被任意地增加或減少。 第1圖根據一些實施例以三維示意圖繪示鰭式場效電晶體的範例。 第2、3、4、5、6、7、8A、8B、9A和9B圖是根據一些實施例之鰭式場效電晶體的製造過程的中間階段的剖面示意圖。 第10圖是根據一些實施例顯示鰭式場效電晶體裝置的寄生電容相對於鰭式場效電晶體裝置的間隔物的介電常數的變化的模擬數據的圖表。 第11A和11B圖是根據一些實施例之在鰭式場效電晶體的製造過程的中間階段的剖面示意圖。 第12A和12B圖是根據一些實施例之在鰭式場效電晶體的製造過程的中間階段的第一濕式清潔製程的剖面示意圖。 第13A、13B、14A和14B圖是根據一些實施例之在鰭式場效電晶體的製造過程的中間階段的剖面示意圖。 第15A和15B圖是根據一些實施例之在鰭式場效電晶體的製造過程的中間階段的第二濕式清潔製程的剖面示意圖。 第16A、16B、17A、17B、18A和18B圖是根據一些實施例之在鰭式場效電晶體的製造過程的中間階段的剖面示意圖。 第19A和19B圖是根據一些實施例之在鰭式場效電晶體的製造過程的中間階段形成磊晶源極/汲極區的剖面示意圖。 第20A、20B、21A、21B、22A、22B、23A、23B、24、25A、25B、26A和26B圖是根據一些實施例之在鰭式場效電晶體的製造過程的中間階段的剖面示意圖。 第27圖是根據一些實施例繪示之鰭式場效電晶體裝置的間隔層的碳濃度的變化的實驗數據的圖表。The content of the embodiments of the present invention can be better understood through the following detailed description in conjunction with the accompanying drawings. It should be emphasized that according to industry standard practice, many parts are not drawn to scale. In fact, in order to be able to discuss clearly, the size of various components may be arbitrarily increased or decreased. FIG. 1 illustrates an example of a fin-type field effect transistor in a three-dimensional schematic diagram according to some embodiments. Figures 2, 3, 4, 5, 6, 7, 8A, 8B, 9A, and 9B are schematic cross-sectional views of intermediate stages of the manufacturing process of the fin-type field effect transistor according to some embodiments. FIG. 10 is a graph showing simulation data of the variation of the parasitic capacitance of the fin-type field effect transistor device with respect to the dielectric constant of the spacer of the fin-type field effect transistor device according to some embodiments. 11A and 11B are schematic cross-sectional views of an intermediate stage of the manufacturing process of the fin-type field effect transistor according to some embodiments. 12A and 12B are schematic cross-sectional views of the first wet cleaning process in the middle stage of the manufacturing process of the fin field effect transistor according to some embodiments. FIGS. 13A, 13B, 14A, and 14B are schematic cross-sectional views at an intermediate stage of the manufacturing process of the fin field effect transistor according to some embodiments. 15A and 15B are schematic cross-sectional views of the second wet cleaning process in the middle stage of the manufacturing process of the fin field effect transistor according to some embodiments. FIGS. 16A, 16B, 17A, 17B, 18A, and 18B are schematic cross-sectional views of an intermediate stage of the manufacturing process of the fin field effect transistor according to some embodiments. FIGS. 19A and 19B are schematic cross-sectional views of forming epitaxial source/drain regions in the middle stage of the manufacturing process of the fin field effect transistor according to some embodiments. Figures 20A, 20B, 21A, 21B, 22A, 22B, 23A, 23B, 24, 25A, 25B, 26A, and 26B are schematic cross-sectional views of an intermediate stage of the fin field effect transistor manufacturing process according to some embodiments. FIG. 27 is a graph of experimental data showing changes in the carbon concentration of the spacer layer of the fin-type field effect transistor device according to some embodiments.

50:基底 50: Base

52:鰭片 52: Fins

82:源極/汲極區 82: source/drain region

88,108:層間介電質 88, 108: Interlayer dielectric

94:閘極電極 94: gate electrode

110:接觸件 110: Contact

112:源極/汲極接觸件 112: source/drain contacts

Claims (20)

一種半導體裝置的製造方法,包括: 在一基底上方形成一第一鰭片和一第二鰭片; 在該第一鰭片上方形成一第一虛設閘極結構並在該第二鰭片上方形成一第二虛設閘極結構; 在該第一鰭片上、在該第二鰭片上、在該第一虛設閘極結構上和在該第二虛設閘極結構上沉積碳氧化矽的一第一層; 經由該碳氧化矽材料的該第一層將雜質佈植至該第一鰭片中和該第二鰭片中; 在該佈植之後,在該碳氧化矽材料的該第一層上方沉積該碳氧化矽材料的一第二層; 在沉積該碳氧化矽材料的該第二層之後,對該第一鰭片和該第二鰭片進行一濕式清潔製程; 在該第二鰭片和該第二虛設閘極結構上方形成一第一遮罩; 凹蝕鄰近該第一虛設閘極結構的該第一鰭片以在該第一鰭片中形成複數個第一凹槽; 在凹蝕該第一鰭片之後,對該第一鰭片和該第二鰭片進行該濕式清潔製程; 在該第一鰭片和該第一虛設閘極結構上方形成一第二遮罩; 凹蝕鄰近該第二虛設閘極結構的該第二鰭片以在該第二鰭片中形成複數個第二凹槽;以及 進行一磊晶製程以同時形成該些第一凹槽中的複數個第一磊晶源極/汲極區和該些第二凹槽中的複數個第二磊晶源極/汲極區。A method for manufacturing a semiconductor device includes: Forming a first fin and a second fin above a base; Forming a first dummy gate structure above the first fin and forming a second dummy gate structure above the second fin; Depositing a first layer of silicon oxycarbide on the first fin, on the second fin, on the first dummy gate structure, and on the second dummy gate structure; Implanting impurities into the first fin and the second fin through the first layer of the silicon oxycarbide material; After the implantation, deposit a second layer of the silicon oxycarbide material on the first layer of the silicon oxycarbide material; After depositing the second layer of the silicon oxycarbide material, performing a wet cleaning process on the first fin and the second fin; Forming a first mask over the second fin and the second dummy gate structure; Etching the first fin adjacent to the first dummy gate structure to form a plurality of first grooves in the first fin; Performing the wet cleaning process on the first fin and the second fin after etching the first fin; Forming a second mask over the first fin and the first dummy gate structure; Etching the second fin adjacent to the second dummy gate structure to form a plurality of second grooves in the second fin; and An epitaxial process is performed to simultaneously form a plurality of first epitaxial source/drain regions in the first grooves and a plurality of second epitaxial source/drain regions in the second grooves. 如請求項1之半導體裝置的製造方法,更包括: 對該碳氧化矽材料的該第一層進行一非等向性蝕刻製程以在該第一虛設閘極結構上形成複數個第一間隔物,以及對該碳氧化矽材料的該第二層進行該非等向性蝕刻製程以在該第二虛設閘極上形成複數個第二間隔物。For example, the manufacturing method of the semiconductor device of claim 1, further including: Perform an anisotropic etching process on the first layer of the silicon oxycarbide material to form a plurality of first spacers on the first dummy gate structure, and perform the second layer of the silicon oxycarbide material The anisotropic etching process forms a plurality of second spacers on the second dummy gate. 如請求項1之半導體裝置的製造方法,其中該碳氧化矽材料的該第一層的雜質濃度高於該碳氧化矽材料的該第二層。The method of manufacturing a semiconductor device according to claim 1, wherein the impurity concentration of the first layer of the silicon oxycarbide material is higher than that of the second layer of the silicon oxycarbide material. 如請求項1之半導體裝置的製造方法,其中該濕式清潔製程包括使用一加熱的硫酸和過氧化氫的混合物。The method for manufacturing a semiconductor device according to claim 1, wherein the wet cleaning process includes using a heated mixture of sulfuric acid and hydrogen peroxide. 如請求項4之半導體裝置的製造方法,其中該硫酸和過氧化氫的混合物係以2:1至5:1的莫耳比混合。The method for manufacturing a semiconductor device according to claim 4, wherein the mixture of sulfuric acid and hydrogen peroxide is mixed at a molar ratio of 2:1 to 5:1. 如請求項4之半導體裝置的製造方法,其中該加熱的混合物的溫度為80°C至180°C。The method for manufacturing a semiconductor device according to claim 4, wherein the temperature of the heated mixture is 80°C to 180°C. 如請求項1之半導體裝置的製造方法,更包括在該碳氧化矽材料的該第二層上方形成複數個側壁間隔物,該些側壁間隔物包括不同於該碳氧化矽材料的介電材料。According to claim 1, the method of manufacturing a semiconductor device further includes forming a plurality of sidewall spacers on the second layer of the silicon oxycarbide material, the sidewall spacers including a dielectric material different from the silicon oxycarbide material. 如請求項1之半導體裝置的製造方法,其中至少兩個第一磊晶源極/汲極區合併在一起。The method for manufacturing a semiconductor device according to claim 1, wherein at least two first epitaxial source/drain regions are merged together. 如請求項1之半導體裝置的製造方法,其中該些第一凹槽具有一第一深度且該些第二凹槽具有不同於該第一深度的一第二深度。The method for manufacturing a semiconductor device according to claim 1, wherein the first grooves have a first depth and the second grooves have a second depth different from the first depth. 一種半導體裝置的製造方法,包括: 將一基底圖案化以形成複數個第一鰭片和複數個第二鰭片; 在該些第一鰭片上形成複數個第一虛設閘極結構; 在該些第二鰭片上形成複數個第二虛設閘極結構; 在該些第一虛設閘極結構上形成複數個第一間隔結構; 在該些第二虛設閘極結構上形成複數個第二間隔結構,其中該些第一間隔結構和該些第二間隔結構包括一低介電常數介電材料; 在該些第一鰭片中形成複數個第一凹槽,包括: 進行一第一濕式除渣製程;以及 進行一第一非等向性蝕刻製程以在該些第一鰭片中形成該些第一凹槽; 在該些第一鰭片中形成該些第一凹槽之後,在該些第二鰭片中形成複數個第二凹槽,包括: 進行一第二濕式除渣製程;以及 進行一第二非等向性蝕刻製程以在該些第二鰭片中形成該些第二凹槽;以及 在該些第一凹槽中磊晶成長複數個第一源極/汲極結構並且在該些第二凹槽中磊晶成長複數個第二源極/汲極結構。A method for manufacturing a semiconductor device includes: Patterning a substrate to form a plurality of first fins and a plurality of second fins; Forming a plurality of first dummy gate structures on the first fins; Forming a plurality of second dummy gate structures on the second fins; Forming a plurality of first spacer structures on the first dummy gate structures; Forming a plurality of second spacer structures on the second dummy gate structures, wherein the first spacer structures and the second spacer structures include a low-k dielectric material; A plurality of first grooves are formed in the first fins, including: Performing a first wet deslagging process; and Performing a first anisotropic etching process to form the first grooves in the first fins; After forming the first grooves in the first fins, forming a plurality of second grooves in the second fins includes: Performing a second wet deslagging process; and Performing a second anisotropic etching process to form the second grooves in the second fins; and A plurality of first source/drain structures are epitaxially grown in the first grooves and a plurality of second source/drain structures are epitaxially grown in the second grooves. 如請求項10之半導體裝置的製造方法,其中藉由相同的磊晶成長製程同時形成該些第一源極/汲極結構和該些第二源極/汲極結構。The method for manufacturing a semiconductor device according to claim 10, wherein the first source/drain structures and the second source/drain structures are simultaneously formed by the same epitaxial growth process. 如請求項10之半導體裝置的製造方法,其中該第一非等向性蝕刻製程不同於該第二非等向性蝕刻製程。The method for manufacturing a semiconductor device according to claim 10, wherein the first anisotropic etching process is different from the second anisotropic etching process. 如請求項10之半導體裝置的製造方法,其中該低介電常數介電材料是碳氧化矽。The method for manufacturing a semiconductor device according to claim 10, wherein the low-k dielectric material is silicon oxycarbide. 如請求項10之半導體裝置的製造方法,其中形成該些第一間隔結構包括: 使用一第一沉積製程來沉積該低介電常數介電材料的一第一層; 對該低介電常數介電材料的該第一層進行一佈植製程;以及 在進行該佈植製程之後,使用一第二沉積製程來沉積該低介電常數介電材料的一第二層。According to claim 10, the method of manufacturing a semiconductor device, wherein forming the first spacer structures includes: Using a first deposition process to deposit a first layer of the low-k dielectric material; Performing an implantation process on the first layer of the low-k dielectric material; and After performing the implantation process, a second deposition process is used to deposit a second layer of the low-k dielectric material. 如請求項10之半導體裝置的製造方法,其中進行該第一濕式除渣製程包括將硫酸和過氧化氫的混合物加熱至80°C至180°C的溫度。The method for manufacturing a semiconductor device according to claim 10, wherein performing the first wet deslagging process includes heating a mixture of sulfuric acid and hydrogen peroxide to a temperature of 80°C to 180°C. 如請求項10之半導體裝置的製造方法,其中該些第一源極/汲極結構的體積大於該些第二源極/汲極結構。The manufacturing method of a semiconductor device according to claim 10, wherein the volume of the first source/drain structures is larger than that of the second source/drain structures. 如請求項10之半導體裝置的製造方法,其中該第一非等向性蝕刻製程蝕刻該些第一間隔結構多於該第二非等向性蝕刻製程蝕刻該些第二間隔結構。The method for manufacturing a semiconductor device according to claim 10, wherein the first anisotropic etching process etches the first spacer structures more than the second anisotropic etching process etches the second spacer structures. 一種半導體裝置的製造方法,包括: 形成從一基底延伸的一第一鰭片; 在該第一鰭片的側壁上方並沿著該第一鰭片的側壁形成一第一閘極堆疊; 沿著該第一閘極堆疊的側壁形成一第一間隔物,該第一間隔物包括一碳氧化矽的第一組成; 沿著該第一間隔物的側壁形成一第二間隔物,該第二間隔物包括一碳氧化矽的第二組成; 沿著該第二間隔物的側壁形成一第三間隔物,該第三間隔物包括氮化矽;以及 在該第一鰭片中並鄰近該第三間隔物形成一第一磊晶源極/汲極區。A method for manufacturing a semiconductor device includes: Forming a first fin extending from a base; Forming a first gate stack above and along the sidewall of the first fin; Forming a first spacer along the sidewall of the first gate stack, the first spacer including a first composition of silicon oxycarbide; A second spacer is formed along the sidewall of the first spacer, and the second spacer includes a second composition of silicon oxycarbide; Forming a third spacer along the sidewall of the second spacer, the third spacer including silicon nitride; and A first epitaxial source/drain region is formed in the first fin and adjacent to the third spacer. 如請求項18之半導體裝置的製造方法,更包括: 形成從該基底延伸的一第二鰭片; 在該第二鰭片的側壁上方並沿著該第二鰭片的側壁形成一第二閘極堆疊; 沿著該第二閘極堆疊的側壁形成一第四間隔物,該第四間隔物包括該碳氧化矽的第一組成; 沿著該第四間隔物的側壁形成一第五間隔物,該第五間隔物包括該碳氧化矽的第二組成; 沿著該第五間隔物的側壁形成一第六間隔物,該第六間隔物包括氮化矽;以及 在該第二鰭片中並鄰近該第六間隔物形成一第二磊晶源極/汲極區,其中該第二磊晶源極/汲極區的體積不同於該第一磊晶源極/汲極區的體積。For example, the manufacturing method of the semiconductor device of claim 18 further includes: Forming a second fin extending from the base; Forming a second gate stack above and along the sidewall of the second fin; A fourth spacer is formed along the sidewall of the second gate stack, the fourth spacer includes the first composition of the silicon oxycarbide; A fifth spacer is formed along the sidewall of the fourth spacer, and the fifth spacer includes the second composition of the silicon oxycarbide; A sixth spacer is formed along the sidewall of the fifth spacer, the sixth spacer includes silicon nitride; and A second epitaxial source/drain region is formed in the second fin adjacent to the sixth spacer, wherein the volume of the second epitaxial source/drain region is different from that of the first epitaxial source /Volume of the drain region. 如請求項18之半導體裝置的製造方法,其中該第一鰭片包括矽鍺。The method of manufacturing a semiconductor device according to claim 18, wherein the first fin includes silicon germanium.
TW108134817A 2018-09-28 2019-09-26 Method for forming semiconductor device TWI725557B (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862738881P 2018-09-28 2018-09-28
US62/738,881 2018-09-28
US16/458,437 US11205597B2 (en) 2018-09-28 2019-07-01 Semiconductor device and method
US16/458,437 2019-07-01

Publications (2)

Publication Number Publication Date
TW202025261A true TW202025261A (en) 2020-07-01
TWI725557B TWI725557B (en) 2021-04-21

Family

ID=69946442

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108134817A TWI725557B (en) 2018-09-28 2019-09-26 Method for forming semiconductor device

Country Status (3)

Country Link
KR (1) KR102284473B1 (en)
CN (1) CN110970489B (en)
TW (1) TWI725557B (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11562910B2 (en) 2021-03-19 2023-01-24 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method for forming thereof

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11410930B2 (en) 2020-04-28 2022-08-09 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
TWI769683B (en) * 2020-04-29 2022-07-01 台灣積體電路製造股份有限公司 Semiconductor structure and method of manufacturing thereof

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102004021052B3 (en) * 2004-04-29 2005-12-29 Infineon Technologies Ag Method for fabricating trench DRAM memory cells and trench DRAM memory cell array with curved channel bridge field effect transistors (CFET)
KR100642747B1 (en) * 2004-06-22 2006-11-10 삼성전자주식회사 Fabricating method of CMOS transistor and CMOS transistor fabricated by the same method
US7892932B2 (en) * 2008-03-25 2011-02-22 International Business Machines Corporation Semiconductor devices having tensile and/or compressive strain and methods of manufacturing and design structure
US7977174B2 (en) * 2009-06-08 2011-07-12 Globalfoundries Inc. FinFET structures with stress-inducing source/drain-forming spacers and methods for fabricating the same
US9455200B2 (en) * 2014-08-11 2016-09-27 Taiwan Semiconductor Manufacturing Company, Ltd. Method for semiconductor device fabrication
KR20160059861A (en) * 2014-11-19 2016-05-27 삼성전자주식회사 Semiconductor device and method for fabricating the same
US9577070B2 (en) * 2014-11-26 2017-02-21 Taiwan Semiconductor Manufacturing Company, Ltd. Gate spacers and methods of forming
US9818872B2 (en) * 2015-06-30 2017-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
US10163704B2 (en) * 2015-12-29 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
US10170367B2 (en) * 2016-11-29 2019-01-01 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10008497B2 (en) * 2016-11-29 2018-06-26 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US10115808B2 (en) * 2016-11-29 2018-10-30 Taiwan Semiconductor Manufacturing Company, Ltd. finFET device and methods of forming

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11562910B2 (en) 2021-03-19 2023-01-24 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method for forming thereof
TWI809447B (en) * 2021-03-19 2023-07-21 台灣積體電路製造股份有限公司 Semiconductor structure and method for forming thereof

Also Published As

Publication number Publication date
TWI725557B (en) 2021-04-21
KR20200037110A (en) 2020-04-08
CN110970489B (en) 2023-05-23
KR102284473B1 (en) 2021-08-03
CN110970489A (en) 2020-04-07

Similar Documents

Publication Publication Date Title
US20210233771A1 (en) FinFET Device and Method of Forming
US11205597B2 (en) Semiconductor device and method
KR102093297B1 (en) Semiconductor device and method
US11908750B2 (en) Semiconductor device and method
US10868131B2 (en) Gaseous spacer and methods of forming same
US11031298B2 (en) Semiconductor device and method
US11901455B2 (en) Method of manufacturing a FinFET by implanting a dielectric with a dopant
TWI725557B (en) Method for forming semiconductor device
KR102234118B1 (en) Non-conformal oxide liner and manufacturing methods thereof
US20220384276A1 (en) Semiconductor Device and Method
US20220352371A1 (en) Semiconductor Device and Method
US10867860B2 (en) Methods of forming FinFET device
US20200135474A1 (en) Semiconductor Device and Method of Forming
US20190252245A1 (en) Contact Plugs and Methods of Forming Same
US20210313450A1 (en) FinFET Device and Method
US11557518B2 (en) Gapfill structure and manufacturing methods thereof
KR102546906B1 (en) Finfet device and method
TWI787817B (en) Manufacture method of semiconductor device
US20230230885A1 (en) Semiconductor Device and Method