KR20200037110A - Semiconductor device and method - Google Patents

Semiconductor device and method Download PDF

Info

Publication number
KR20200037110A
KR20200037110A KR1020190120956A KR20190120956A KR20200037110A KR 20200037110 A KR20200037110 A KR 20200037110A KR 1020190120956 A KR1020190120956 A KR 1020190120956A KR 20190120956 A KR20190120956 A KR 20190120956A KR 20200037110 A KR20200037110 A KR 20200037110A
Authority
KR
South Korea
Prior art keywords
spacer
forming
fin
recess
dummy gate
Prior art date
Application number
KR1020190120956A
Other languages
Korean (ko)
Other versions
KR102284473B1 (en
Inventor
웨이-춘 탄
이-시에 웡
테-엔 쳉
융-후이 린
웨이-켄 린
웨이-양 리
치-훙 니엔
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US16/458,437 external-priority patent/US11205597B2/en
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Publication of KR20200037110A publication Critical patent/KR20200037110A/en
Application granted granted Critical
Publication of KR102284473B1 publication Critical patent/KR102284473B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02052Wet cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • H01L21/26513Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors of electrically active species
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • H01L21/30608Anisotropic liquid etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/3115Doping the insulating layers
    • H01L21/31155Doping the insulating layers by ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823468MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823864Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0684Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape, relative sizes or dispositions of the semiconductor regions or junctions between the regions
    • H01L29/0688Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape, relative sizes or dispositions of the semiconductor regions or junctions between the regions characterised by the particular shape of a junction between semiconductor regions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66234Bipolar junction transistors [BJT]
    • H01L29/66325Bipolar junction transistors [BJT] controlled by field-effect, e.g. insulated gate bipolar transistors [IGBT]
    • H01L29/66333Vertical insulated gate bipolar transistors
    • H01L29/66348Vertical insulated gate bipolar transistors with a recessed gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7855Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with at least two independent gates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/266Bombardment with radiation with high-energy radiation producing ion implantation using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823878Complementary field-effect transistors, e.g. CMOS isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/36Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the concentration or distribution of impurities in the bulk material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Plasma & Fusion (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Inorganic Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

According to the present invention, provided is a method comprising the steps of: forming a first fin extending from a substrate; forming a first gate stack over the first fin and along a sidewall of the first fin; forming a first spacer along a sidewall of the first gate stack, wherein the first spacer includes a first composition of silicon oxide carbide; forming a second spacer along a sidewall of the first spacer, wherein the second spacer includes a second composition of silicon oxide carbide; forming a third spacer along a sidewall of the second spacer, wherein the third spacer includes silicon nitride; and forming a first epitaxial source/drain region within the first fin and adjacent to the third spacer.

Description

반도체 디바이스 및 방법{SEMICONDUCTOR DEVICE AND METHOD}Semiconductor device and method {SEMICONDUCTOR DEVICE AND METHOD}

[우선권 청구 및 상호-참조][Priority claim and cross-reference]

본 특허 출원은, 2018년 9월 28일에 출원되고 발명의 명칭이 "Semiconductor Device and Method(반도체 디바이스 및 방법)"인 미국 가특허 출원 제62/738,881호에 대한 우선권을 청구하며, 이 미국 가특허 출원은 마치 복제되는 것과 같이 그 전체가 본 명세서에 참조로서 통합된다.This patent application claims priority to U.S. Provisional Patent Application No. 62 / 738,881, filed on September 28, 2018 and entitled "Semiconductor Device and Method." The patent application is hereby incorporated by reference in its entirety as if to be reproduced.

반도체 디바이스는 예를 들어 개인용 컴퓨터, 휴대폰, 디지털 카메라 및 기타 전자 장비와 같은 다양한 전자 애플리케이션에 사용된다. 반도체 디바이스는 전형적으로 반도체 기판 위에 절연체 또는 유전체층, 도전층 및 반도체 물질층을 순차적으로 퇴적하고, 리소그래피를 사용하여 다양한 물질층을 패터닝하여 회로 구성 요소 및 소자를 형성함으로써 제조된다.Semiconductor devices are used in a variety of electronic applications, such as, for example, personal computers, cell phones, digital cameras, and other electronic equipment. Semiconductor devices are typically manufactured by sequentially depositing an insulator or dielectric layer, a conductive layer, and a layer of semiconductor material over a semiconductor substrate, and patterning the various material layers using lithography to form circuit components and devices.

반도체 산업은 최소 피처 크기의 지속적인 감소로 다양한 전자 구성 요소(예를 들어, 트랜지스터, 다이오드, 저항기, 커패시터 등)의 집적 밀도를 계속하여 개선하여, 더 많은 구성 요소가 소정의 영역에 통합될 수 있게 한다. 그러나 최소 피처 크기가 줄어들면 해결해야 할 추가적인 문제가 발생한다.The semiconductor industry continues to improve the integration density of various electronic components (e.g., transistors, diodes, resistors, capacitors, etc.) with continuous reduction in minimum feature size, allowing more components to be integrated into a given area do. However, when the minimum feature size is reduced, there are additional problems to be solved.

본 개시의 양태는 첨부 도면과 함께 다음의 상세한 설명을 읽으면 가장 잘 이해할 수 있다. 본 산업계에서의 표준 관행에 따라, 다양한 피처는 일정한 비율로 도시되지 않았다는 점에 유의한다. 실제로, 다양한 피처의 치수는 설명의 명료성을 위해 임의로 증가 또는 감소될 수 있다. 또한, 도면은 본 발명의 실시예의 예로서 예시적인 것이며 제한하려는 것이 아니다.
도 1은 일부 실시예에 따른 FinFET의 예를 3차원도로 도시한 것이다.
도 2, 도 3, 도 4, 도 5, 도 6, 도 7, 도 8a, 도 8b, 도 9a 및 도 9b는 일부 실시예에 따른 FinFET의 제조 과정의 중간 단계의 단면도이다.
도 10은 일부 실시예에 따른 FinFET 디바이스의 스페이서의 유전 상수에 대한 FinFET 디바이스의 기생 커패시턴스의 변화의 시뮬레이션 데이터를 도시한 그래프이다.
도 11a 및 도 11b는 일부 실시예에 따른 FinFET의 제조 과정의 중간 단계의 단면도이다.
도 12a 및 도 12b는 일부 실시예에 따른 FinFET의 제조 과정의 중간 단계에서 제1 습식 세정 공정의 단면도이다.
도 13a, 도 13b, 도 14a 및 도 14b는 일부 실시예에 따른 FinFET의 제조 과정의 중간 단계의 단면도이다.
도 15a 및 도 15b는 일부 실시예에 따른 FinFET의 제조 과정의 중간 단계에서의 제2 습식 세정 공정의 단면도이다.
도 16a, 도 16b, 도 17a, 도 17b, 도 18a 및 도 18b는 일부 실시예에 따른 FinFET의 제조 과정의 중간 단계의 단면도이다.
도 19a 및 도 19b는 일부 실시예에 따른 FinFET의 제조 과정의 중간 단계에서 에피택셜 소스/드레인 영역의 형성의 단면도이다.
도 20a, 도 20b, 도 21a, 도 21b, 도 22a, 도 22b, 도 23a, 도 23b, 도 24, 도 25a, 도 25b, 도 26a 및 도 26b는 일부 실시예에 따른 FinFET의 제조 과정의 중간 단계의 단면도이다.
도 27은 일부 실시예에 따른 FinFET 디바이스의 스페이서층의 탄소 농도 변화의 실험 데이터를 나타내는 그래프이다.
Aspects of the present disclosure may be best understood by reading the following detailed description in conjunction with the accompanying drawings. Note that, in accordance with standard practice in the industry, various features are not drawn to scale. Indeed, the dimensions of the various features can be arbitrarily increased or decreased for clarity of explanation. In addition, the drawings are illustrative and not intended to be limiting as examples of embodiments of the invention.
1 shows an example of a FinFET according to some embodiments in a three-dimensional view.
2, 3, 4, 5, 6, 7, 8A, 8B, 9A, and 9B are cross-sectional views of intermediate steps in the manufacturing process of a FinFET in accordance with some embodiments.
10 is a graph showing simulation data of a change in parasitic capacitance of a FinFET device with respect to a dielectric constant of a spacer of a FinFET device according to some embodiments.
11A and 11B are cross-sectional views of an intermediate step in the manufacturing process of a FinFET according to some embodiments.
12A and 12B are cross-sectional views of a first wet cleaning process at an intermediate stage in the manufacturing process of a FinFET in accordance with some embodiments.
13A, 13B, 14A, and 14B are cross-sectional views of an intermediate step in the manufacturing process of a FinFET in accordance with some embodiments.
15A and 15B are cross-sectional views of a second wet cleaning process at an intermediate stage in the manufacturing process of a FinFET in accordance with some embodiments.
16A, 16B, 17A, 17B, 18A, and 18B are cross-sectional views of an intermediate step in the manufacturing process of a FinFET in accordance with some embodiments.
19A and 19B are cross-sectional views of the formation of an epitaxial source / drain region in an intermediate step in the manufacturing process of a FinFET in accordance with some embodiments.
20A, 20B, 21A, 21B, 22A, 22B, 23A, 23B, 24, 25A, 25B, 26A, and 26B are in the middle of a FinFET manufacturing process according to some embodiments It is a cross-section of steps.
27 is a graph showing experimental data of a carbon concentration change in a spacer layer of a FinFET device according to some embodiments.

아래의 개시는 본 개시의 상이한 피처를 구현하기 위한 많은 상이한 실시예 또는 예시를 제공한다. 본 개시를 간단히 하기 위해 구성 요소 및 배치 중 소정의 예가 이하에 설명된다. 물론, 이는 단지 예일뿐이며, 한정하려는 의도가 아니다. 예컨대, 다음의 설명에서 제2 피처 상의 또는 제2 피처 위의 제1 피처의 형성은, 제1 및 제2 피처가 직접 접촉하여 형성되는 실시예를 포함할 수도 있고, 또한 부가적인 피처가 제1 및 제2 피처 사이에 형성되어 제1 및 제2 피처가 직접 접촉하지 않는 실시예를 포함할 수도 있다. 또한, 본 개시는 다양한 예에서 참조 번호 및/또는 문자를 반복할 수 있다. 이러한 반복은 단순성 및 명료성을 위한 것이며, 논의되는 다양한 실시예 및/또는 구성 간의 관계를 그 자체로 나타내지 않는다.The disclosure below provides many different embodiments or examples for implementing different features of the disclosure. To simplify the present disclosure, certain examples of components and arrangements are described below. Of course, this is only an example and is not intended to be limiting. For example, in the following description, the formation of the first feature on or over the second feature may include embodiments in which the first and second features are formed in direct contact, and additional features may also be included in the first feature. And an embodiment formed between the second features so that the first and second features are not in direct contact. In addition, the present disclosure may repeat reference numbers and / or letters in various examples. This repetition is for simplicity and clarity and does not itself represent the relationship between the various embodiments and / or configurations discussed.

또한, "밑에(beneath)", "아래에(below)", "하부의(lower)", "위에(above)", "상부의(upper)" 등과 같은 공간적으로 상대적인 용어가, 도면에 도시되는 하나의 소자 또는 피처와 다른 소자(들) 또는 피처(들) 간의 관계를 설명하는 데 있어서, 설명의 편의를 위해 사용될 수도 있다. 공간적으로 상대적인 용어는, 도면에 나타난 방향 외에도, 사용 또는 동작 중인 디바이스의 상이한 방향을 망라한다. 장비는 다른 방향으로(90도 회전되거나 다른 방향으로 회전) 배치될 수 있고, 본 개시에서 사용되는 공간적으로 상대적인 서술어는 이에 따라 마찬가지로 해석될 수 있다.Also, spatially relative terms such as "beneath", "below", "lower", "above", "upper", etc. are shown in the drawings. In describing a relationship between one element or feature being different from another element (s) or feature (s), it may be used for convenience of description. The spatially relative terms encompass, in addition to the directions shown in the figures, different directions of the device being used or in operation. The equipment can be placed in different directions (rotated 90 degrees or rotated in different directions), and the spatially relative predicates used in the present disclosure can be interpreted accordingly.

다양한 실시예는 FinFET 디바이스에서 게이트 스페이서를 형성하고 에피택셜 소스/드레인 영역을 형성하기 위한 공정을 제공한다. 일부 실시예에서, 실리콘 산화탄화물과 같은 저-k 물질이 게이트 스페이서의 일부 또는 전부에 사용될 수 있다. 게이트 스페이서에 실리콘 산화탄화물을 사용하면 FinFET 디바이스에서 기생 커패시턴스를 줄일 수 있다. 또한, 디바이스 영역을 선택적으로 마스킹하고 각각의 디바이스 영역에서 에피택셜 소스/드레인 영역을 위해 리세스를 개별적으로 에칭하는 것은 동일한 에피택셜 형성 공정을 사용하여 각 디바이스 영역에서 상이한 에피택셜 소스/드레인 영역을 동시에 형성할 수 있다. 따라서, 상이한 유형의 디바이스들을 위한 에피택셜 소스/드레인 영역들이, 각 유형의 디바이스에 대한 특성을 가지도록 동시에 형성될 수 있다. 가열된 황산 및 과산화수소의 습식 화학 공정을 사용하여, 각각의 다중 패터닝 단계 전에 표면을 세정 및 준비함으로써, 실리콘 산화탄화물층에 대한 손상이 감소될 수 있다. 따라서, 실리콘 산화탄화물의 이점 및 다중 패터닝의 이점 둘 다가, 처리 결함의 가능성이 낮은 공정 흐름에서 달성될 수 있다.Various embodiments provide a process for forming a gate spacer and forming an epitaxial source / drain region in a FinFET device. In some embodiments, low-k materials such as silicon oxide carbide can be used for some or all of the gate spacers. The use of silicon oxide carbide for the gate spacer can reduce parasitic capacitance in FinFET devices. Also, selectively masking the device regions and individually etching the recesses for the epitaxial source / drain regions in each device region uses the same epitaxial formation process to create different epitaxial source / drain regions in each device region. It can be formed at the same time. Thus, epitaxial source / drain regions for different types of devices can be formed simultaneously to have properties for each type of device. By using a wet chemical process of heated sulfuric acid and hydrogen peroxide, the damage to the silicon carbide layer can be reduced by cleaning and preparing the surface before each multiple patterning step. Thus, both the benefits of silicon carbide and the benefits of multiple patterning can be achieved in process flows with low probability of processing defects.

도 1은 일부 실시예에 따른 FinFET의 예를 3차원도로 도시한 것이다. FinFET은 기판(50)(예를 들어, 반도체 기판) 상의 핀(52)을 포함한다. 격리 영역(56)은 기판(50)에 배치되고, 핀(52)은 이웃하는 격리 영역(56) 사이로부터 위로 돌출된다. 격리 영역(56)은 기판(50)으로부터 분리된 것으로 설명/도시되지만, 본 개시에서 사용되는 용어 "기판"은 격리 영역을 포함하는 반도체 기판, 또는 반도체 기판만을 지칭하는 데 사용될 수 있다. 또한, 핀(52)은 기판(50)과 단일의 연속적인 물질로 도시되어 있지만, 핀(52) 및/또는 기판(50)은 단일 물질 또는 복수의 물질을 포함할 수 있다. 게이트 유전체층(92)은 핀(52)의 측벽을 따라 그리고 상부 표면 위에 위치하고, 게이트 전극(94)은 게이트 유전체층(92) 위에 위치한다. 소스/드레인 영역(82)은 게이트 유전체층(92) 및 게이트 전극(94)을 기준으로 핀(52)의 양측에 배치된다.1 shows an example of a FinFET according to some embodiments in a three-dimensional view. The FinFET includes fins 52 on a substrate 50 (eg, a semiconductor substrate). The isolation region 56 is disposed on the substrate 50, and the pins 52 protrude upward from between adjacent isolation regions 56. Although the isolation region 56 is described / shown as being separated from the substrate 50, the term “substrate” as used in the present disclosure may be used to refer to only a semiconductor substrate or semiconductor substrate comprising an isolation region. Further, the pin 52 is shown as a single continuous material with the substrate 50, but the pin 52 and / or the substrate 50 may include a single material or a plurality of materials. The gate dielectric layer 92 is located along the sidewalls of the fin 52 and over the top surface, and the gate electrode 94 is positioned over the gate dielectric layer 92. The source / drain regions 82 are disposed on both sides of the fin 52 with respect to the gate dielectric layer 92 and the gate electrode 94.

도 1은 이하의 도면에서 사용되는 기준 단면을 추가로 도시한다. 단면(A-A)은 게이트 전극(94)의 종축을 따라 그리고 예를 들어 FinFET의 소스/드레인 영역(82) 사이의 전류 흐름 방향에 수직인 방향으로 위치한다. 단면(B-B)은 단면(A-A)에 수직하고, 핀(52)의 종축을 따라 그리고 예를 들어 FinFET의 소스/드레인 영역(82) 사이의 전류 흐름의 방향으로 위치한다. 단면(C-C)은 단면(A-A)에 평행하고 FinFET의 소스/드레인 영역을 통해 연장된다. 후속 도면은 명확성을 위해 이들 기준 단면을 참조한다.1 further shows a reference cross-section used in the following figures. The cross-sections A-A are located along the longitudinal axis of the gate electrode 94 and in a direction perpendicular to the direction of current flow, for example between the source / drain regions 82 of the FinFET. The cross section B-B is perpendicular to the cross section A-A and is located along the longitudinal axis of the fin 52 and in the direction of current flow, for example between the source / drain regions 82 of the FinFET. Section C-C is parallel to section A-A and extends through the source / drain regions of the FinFET. Subsequent drawings refer to these reference sections for clarity.

본 개시에서 논의된 일부 실시예는 게이트 라스트 공정을 사용하여 형성되는 FinFET의 컨텍스트에서 논의된다. 다른 실시예에서, 게이트 우선 공정이 사용될 수 있다. 또한, 일부 실시예는 평면형 FET와 같은 평면형 디바이스에 사용되는 양태를 고려한다.Some embodiments discussed in this disclosure are discussed in the context of FinFETs formed using a gate last process. In other embodiments, a gate priority process can be used. Also, some embodiments contemplate aspects used in planar devices such as planar FETs.

도 2 내지 도 9b 및 도 11a 내지 도 26b는 일부 실시예에 따른 FinFET의 제조 과정의 중간 단계의 단면도이다. 도 2 내지 도 7은, 다중 핀/FinFET을 제외하고, 도 1에 도시된 기준 단면(A-A)을 도시한다. 도 8a 내지 도 9b, 도 11a 및 도 11b 및 도 20a 내지 도 26b에서, 다중 핀/FinFET을 제외하고, "a" 명칭으로 끝나는 도면은 도 1에 도시된 기준 단면(A-A)을 따라 도시되고, "b" 명칭으로 끝나는 도면은 도 1에 도시된 유사한 단면(B-B)을 따라 도시된다. 도 12a 내지 도 19b에서, 다중 핀/FinFET을 제외하고, "a" 명칭으로 끝나는 도면은 도 1에 도시된 기준 단면(C-C)을 따라 도시되고, "b" 명칭으로 끝나는 도면은 도 1에 도시된 유사한 단면(B-B)을 따라 도시된다. 도 24는, 다중 핀/FinFET을 제외하고, 도 1에 도시된 기준 단면(B-B)을 따라 도시된다.2 to 9B and FIGS. 11A to 26B are cross-sectional views of intermediate steps of a manufacturing process of a FinFET according to some embodiments. 2 to 7 show the reference cross-section A-A shown in FIG. 1, with the exception of multiple pins / FinFETs. 8A to 9B, 11A and 11B, and 20A to 26B, except for the multi-pin / FinFET, the drawing ending with the name "a" is shown along the reference section AA shown in FIG. 1, Drawings ending with the name "b" are shown along similar cross-section BB shown in FIG. 1. 12A to 19B, except for the multi-pin / FinFET, the drawing ending with the name "a" is shown along the reference cross-section CC shown in FIG. 1, and the drawing ending with the "b" name is shown in FIG. A similar cross-section BB is shown. FIG. 24 is shown along the reference cross-section B-B shown in FIG. 1, with the exception of multiple fins / FinFETs.

도 2에서, 기판(50)이 제공된다. 기판(50)은, (예를 들어, p형 또는 n형 도펀트로) 도핑되거나 도핑되지 않을 수 있는, 벌크 반도체, 반도체 상 인슐레이터(Semiconductor-On-Insulator; SOI) 기판 등과 같은 반도체 기판일 수 있다. 기판(50)은 실리콘 웨이퍼와 같은 웨이퍼일 수 있다. 일반적으로, SOI 기판은 절연체층 상에 형성되는 반도체 물질의 층이다. 절연체층은 예를 들어 매립 산화물(Buried Oxide; BOX)층, 실리콘 산화물층 등일 수 있다. 절연체층은 기판, 전형적으로 실리콘 기판 또는 유리 기판 상에 제공된다. 다층 또는 구배 기판과 같은 다른 기판도 사용될 수 있다. 일부 실시예에서, 기판(50)의 반도체 물질은 실리콘; 게르마늄; 실리콘 탄화물, 갈륨 비소, 갈륨 인화물, 인듐 인화물, 인듐 비화물 및/또는 인듐 안티몬화물을 포함하는 화합물 반도체; SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP 및/또는 GaInAsP를 포함하는 합금 반도체; 또는 이들의 조합을 포함할 수 있다.In Figure 2, a substrate 50 is provided. The substrate 50 may be a semiconductor substrate such as a bulk semiconductor, a semiconductor-on-insulator (SOI) substrate, or the like, which may or may not be doped (eg, with a p-type or n-type dopant). . The substrate 50 may be a wafer such as a silicon wafer. Generally, an SOI substrate is a layer of semiconductor material formed on an insulator layer. The insulator layer may be, for example, a buried oxide (BOX) layer, a silicon oxide layer, or the like. The insulator layer is provided on a substrate, typically a silicon substrate or a glass substrate. Other substrates such as multilayer or gradient substrates can also be used. In some embodiments, the semiconductor material of the substrate 50 is silicon; germanium; Compound semiconductors including silicon carbide, gallium arsenide, gallium phosphide, indium phosphide, indium arsenide and / or indium antimonide; Alloy semiconductors including SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP and / or GaInAsP; Or combinations thereof.

기판(50)은 영역(50N) 및 영역(50P)을 갖는다. 영역(50N)은 NMOS 트랜지스터와 같은 n형 디바이스, 예를 들어 n형 FinFET을 형성하기 위한 것일 수 있다. 영역(50P)은 PMOS 트랜지스터와 같은 p형 디바이스, 예를 들어 p형 FinFET을 형성하기 위한 것일 수 있다. 영역(50N)은 (디바이더(51)에 의해 도시된 바와 같이) 영역(50P)으로부터 물리적으로 분리될 수 있고, 임의의 수의 디바이스 피처(예를 들어, 다른 능동 디바이스, 도핑 영역, 격리 구조물 등)가 영역(50N)과 영역(50P) 사이에 배치될 수 있다. 일부 실시예에서, 영역(50N) 및 영역(50P) 둘 다가 동일한 유형의 디바이스를 형성하는 데 사용된다(예를 들어 두 영역 다가 n형 디바이스 또는 p형 디바이스를 위한 영역임).The substrate 50 has a region 50N and a region 50P. The region 50N may be for forming an n-type device, such as an NMOS transistor, for example, an n-type FinFET. The region 50P may be for forming a p-type device such as a PMOS transistor, for example, a p-type FinFET. Region 50N can be physically separated from region 50P (as shown by divider 51), and can be any number of device features (eg, other active devices, doped regions, isolation structures, etc.) ) May be disposed between the region 50N and the region 50P. In some embodiments, both region 50N and region 50P are used to form the same type of device (eg both regions are for n-type devices or p-type devices).

일부 실시예에서, 둘 이상의 유형의 n형 디바이스가 영역(50N)에 형성될 수 있거나, 또는 둘 이상의 유형의 p형 디바이스가 영역(50P)에 형성될 수 있다. 예를 들어, 일부 실시예에서, 영역(50P)은 제1 p형 디바이스(예를 들어, 제1 설계의 p형 FinFET)가 형성되는 서브 영역(50P-1) 및 제2 p형 디바이스(예를 들어, 제2 설계의 p형 FinFET)가 형성되는 서브 영역(50P-2)을 포함할 수 있다. (예를 들어, 도 12a 내지 도 19b와 관련하여 후술하는 실시예를 참조한다.) 일부 실시예에서, 상이한 서브 영역 내의 상이한 디바이스는 다중 패터닝 공정(예를 들어, "2P2E" 공정 또는 다른 유형의 다중 패터닝 공정)을 사용하여 형성될 수 있다. 영역(50N)은 상이한 n형 디바이스들이 형성되는 서브 영역들을 유사하게 포함할 수 있다. 일부 실시예에서, 영역(50N) 또는 영역(50P)은 하나의 영역만을 포함하거나 둘 이상의 서브 영역을 포함할 수 있다. 서브 영역은 다른 서브 영역으로부터 물리적으로 분리될 수 있으며, 임의의 수의 디바이스 피처가 서브 영역 사이에 배치될 수 있다.In some embodiments, two or more types of n-type devices may be formed in the region 50N, or two or more types of p-type devices may be formed in the region 50P. For example, in some embodiments, the region 50P includes a sub-region 50P-1 where a first p-type device (eg, a p-type FinFET of the first design) is formed and a second p-type device (eg For example, a sub-region 50P-2 on which a second type p-type FinFET) is formed may be included. (See, for example, the embodiments described below in connection with FIGS. 12A-19B.) In some embodiments, different devices within different sub-regions may have multiple patterning processes (eg, “2P2E” processes or other types of processes). Multiple patterning process). The region 50N may similarly include sub-regions in which different n-type devices are formed. In some embodiments, the region 50N or the region 50P may include only one region or two or more sub-regions. The sub-regions may be physically separated from other sub-regions, and any number of device features may be disposed between the sub-regions.

도 3에서, 핀(52)이 기판(50)에 형성된다. 핀(52)은 반도체 스트립이다. 일부 실시예에서, 핀(52)은 기판(50)의 트렌치를 에칭함으로써 기판(50)에 형성될 수 있다. 에칭은 반응성 이온 에칭(Reactive Ion Etch; RIE), 중성 빔 에칭(Neutral Beam Etch; NBE) 등, 또는 이들의 조합과 같은 임의의 허용 가능한 에칭 공정일 수 있다. 에칭은 이방성일 수 있다.In Fig. 3, a pin 52 is formed on the substrate 50. The pin 52 is a semiconductor strip. In some embodiments, fins 52 may be formed on the substrate 50 by etching the trench of the substrate 50. The etching can be any acceptable etching process, such as reactive ion etching (RIE), neutral beam etching (NBE), or a combination thereof. Etching can be anisotropic.

핀은 임의의 적절한 방법에 의해 패터닝될 수 있다. 예를 들어, 핀은 이중 패터닝 또는 다중 패터닝 공정을 포함하는 하나 이상의 포토리소그래피 공정을 사용하여 패터닝될 수 있다. 일반적으로, 이중 패터닝 또는 다중 패터닝 공정은 포토리소그래피와 자체 정렬 공정을 결합하여, 단일 직접 포토리소그래피 공정을 사용하여 얻을 수 있는 것보다 작은 피치를 갖는 패턴을 만들 수 있다. 예를 들어, 일 실시예에서, 희생층이 기판 위에 형성되고, 포토리소그래피 공정을 사용하여 패터닝된다. 스페이서는 자체 정렬 공정을 사용하여, 패터닝된 희생층과 함께 형성된다. 이어서 희생층을 제거하고, 나머지 스페이서를 사용하여 핀을 패터닝할 수 있다.The pin can be patterned by any suitable method. For example, the pins can be patterned using one or more photolithography processes, including dual patterning or multiple patterning processes. In general, a double patterning or multiple patterning process can combine a photolithography and self-alignment process to create a pattern with a smaller pitch than can be achieved using a single direct photolithography process. For example, in one embodiment, a sacrificial layer is formed over the substrate and patterned using a photolithography process. The spacer is formed with a patterned sacrificial layer using a self-aligning process. The sacrificial layer can then be removed and the pins can be patterned using the remaining spacers.

도 4에서, 절연 물질(54)이 기판(50) 위에 그리고 이웃하는 핀(52) 사이에 형성된다. 절연 물질(54)은 실리콘 산화물과 같은 산화물, 질화물 등, 또는 이들의 조합일 수 있고, 고밀도 플라즈마 화학 기상 증착(High Density Plasma Chemical Vapor Deposition; HDP-CVD), 유동성 CVD(Flowable CVD; FCVD)(예를 들어, 원격 플라즈마 시스템에서 CVD 기반 물질을 퇴적시키고, 이를 사후 경화에 의해 산화물과 같은 다른 물질로 변환시킴) 등 또는 이들의 조합에 의해 형성될 수 있다. 임의의 허용 가능한 공정에 의해 형성되는 다른 절연 물질이 사용될 수 있다. 도시된 실시예에서, 절연 물질(54)은 FCVD 공정에 의해 형성되는 실리콘 산화물이다. 절연 물질이 일단 형성되면 어닐링 공정이 수행될 수 있다. 일 실시예에서, 절연 물질(54)은 과잉의 절연 물질(54)이 핀(52)을 덮도록 형성된다. 절연 물질(54)은 단일층으로서 도시되어 있지만, 일부 실시예는 다중층을 이용할 수 있다. 예를 들어, 일부 실시예에서, 라이너(도시하지 않음)가 먼저 기판(50) 및 핀(52)의 표면을 따라 형성될 수 있다. 그 후에, 위에서 논의된 것과 같은 충전 물질이 라이너 위에 형성될 수 있다.In FIG. 4, an insulating material 54 is formed over the substrate 50 and between neighboring fins 52. The insulating material 54 may be an oxide, nitride, etc., such as silicon oxide, or a combination thereof, High Density Plasma Chemical Vapor Deposition (HDP-CVD), Flowable CVD (FCVD) ( For example, it can be formed by depositing a CVD-based material in a remote plasma system and converting it to another material, such as oxide, by post-cure), or a combination thereof. Other insulating materials formed by any acceptable process can be used. In the illustrated embodiment, the insulating material 54 is silicon oxide formed by an FCVD process. Once the insulating material is formed, an annealing process can be performed. In one embodiment, insulating material 54 is formed such that excess insulating material 54 covers fin 52. The insulating material 54 is shown as a single layer, but some embodiments may use multiple layers. For example, in some embodiments, a liner (not shown) may first be formed along the surface of the substrate 50 and pin 52. Thereafter, a filling material as discussed above can be formed over the liner.

도 5에서, 제거 공정이 절연 물질(54)에 적용되어, 핀(52) 위에서 과잉 절연 물질(54)을 제거한다. 일부 실시예에서, 화학적 기계적 연마(Chemical Mechanical Polish; CMP), 에치 백 공정, 이들의 조합 등의 평탄화 공정이 이용될 수 있다. 평탄화 공정은 핀(52)을 노출시켜, 평탄화 공정이 완료된 후 핀(52) 및 절연 물질(54)의 상부 표면이 수평이 되도록 한다.In FIG. 5, a removal process is applied to the insulating material 54 to remove excess insulating material 54 over the fins 52. In some embodiments, a planarization process such as Chemical Mechanical Polish (CMP), etch back process, combinations thereof, and the like can be used. The planarization process exposes the fins 52 so that the top surfaces of the fins 52 and the insulating material 54 are level after the planarization process is completed.

도 6에서, 절연 물질(54)은 리세싱되어, 얕은 트렌치 격리(Shallow Trench Isolation; STI) 영역(56)을 형성한다. 영역(50N) 및 영역(50P)에서 핀(52)의 상부가 이웃하는 STI 영역(56) 사이로부터 돌출되도록, 절연 물질(54)이 리세싱된다. 또한, STI 영역(56)의 상부 표면은 도시된 바와 같은 평평한 표면, 볼록한 표면, 오목한 표면(예를 들어 디싱), 또는 이들의 조합을 가질 수 있다. STI 영역(56)의 상부 표면은 적절한 에칭에 의해 평탄하고, 볼록하고, 그리고/또는 오목하게 형성될 수 있다. STI 영역(56)은, 예를 들어 절연 물질(54)의 재료에 선택적으로 허용 가능한 에칭 공정을 사용하여 (예를 들어, 핀(52)의 재료보다 빠른 속도로 절연 물질(54)의 재료를 에칭하여) 리세싱될 수 있다. 예를 들어, 희석한 불화 수소(dilute hydrofluoric; dHF) 산을 사용하는 적절한 에칭 공정으로 화학 산화물이 제거될 수 있다.In FIG. 6, the insulating material 54 is recessed to form a shallow trench isolation (STI) region 56. The insulating material 54 is recessed such that the top of the pin 52 in the region 50N and the region 50P protrudes between neighboring STI regions 56. In addition, the top surface of the STI region 56 can have a flat surface, convex surface, concave surface (eg dishing), or a combination thereof, as shown. The top surface of the STI region 56 can be formed flat, convex, and / or concave by appropriate etching. The STI region 56 uses, for example, an etching process that is selectively acceptable for the material of the insulating material 54 (eg, the material of the insulating material 54 at a faster rate than the material of the fin 52). By etching). For example, chemical oxides can be removed by a suitable etching process using dilute hydrofluoric (dHF) acid.

도 2 내지 도 6과 관련하여 설명된 공정은 핀(52)이 형성되는 방법의 일례일 뿐이다. 일부 실시예에서, 핀은 에피택셜 성장 공정에 의해 형성될 수 있다. 예를 들어, 유전체층이 기판(50)의 상부 표면 위에 형성될 수 있고, 트렌치가 유전체층을 통해 에칭되어 아래에 위치하는 기판(50)을 노출시킬 수 있다. 호모에피택셜 구조물은 트렌치에서 에피택셜 성장할 수 있고, 유전체층이 리세싱되어 호모에피택셜 구조물이 유전체층으로부터 돌출되어 핀을 형성하도록 한다. 또한, 일부 실시예에서, 헤테로에피택셜 구조물이 핀(52)에 사용될 수 있다. 예를 들어, 도 5의 핀(52)이 리세싱될 수 있고, 핀(52)과는 상이한 물질이 리세싱된 핀(52) 위에 에피택셜 성장할 수 있다. 이러한 실시예에서, 핀(52)은 리세싱된 물질뿐만 아니라 리세싱된 물질 위에 배치된 에피택셜 성장 물질을 포함한다. 또 다른 실시예에서, 유전체층이 기판(50)의 상부 표면 위에 형성될 수 있고, 트렌치가 유전체층을 통해 에칭될 수 있다. 다음으로, 헤테로에피택셜 구조물이 기판(50)과는 상이한 물질을 사용하여 트렌치에서 에피택셜 성장될 수 있고, 유전체층이 리세싱되어 헤테로에피택셜 구조물이 유전체층으로부터 돌출되어 핀(52)을 형성하도록 할 수 있다. 호모에피택셜 또는 헤테로에피택셜 구조물이 에피택셜 성장하는 일부 실시예에서, 에피택셜 성장 물질은 성장하는 동안 인시츄(in situ) 도핑될 수 있으며, 인시츄 및 주입(implantation) 도핑이 함께 사용될 수 있지만, 이로 인해 사전 및 후속 주입을 배제할 수 있다.The process described with respect to FIGS. 2-6 is only an example of how the fin 52 is formed. In some embodiments, fins may be formed by an epitaxial growth process. For example, a dielectric layer can be formed over the top surface of the substrate 50, and a trench can be etched through the dielectric layer to expose the underlying substrate 50. The homoepitaxial structure can grow epitaxially in the trench, and the dielectric layer is recessed so that the homoepitaxial structure protrudes from the dielectric layer to form a fin. Also, in some embodiments, a heteroepitaxial structure can be used for the fin 52. For example, the pin 52 of FIG. 5 may be recessed, and a material different from the pin 52 may be epitaxially grown on the recessed pin 52. In this embodiment, the pin 52 includes a recessed material as well as an epitaxially grown material disposed over the recessed material. In another embodiment, a dielectric layer can be formed over the top surface of the substrate 50 and a trench can be etched through the dielectric layer. Next, the heteroepitaxial structure can be epitaxially grown in the trench using a different material than the substrate 50, and the dielectric layer is recessed so that the heteroepitaxial structure protrudes from the dielectric layer to form the fin 52. You can. In some embodiments where the homoepitaxial or heteroepitaxial structure is epitaxially grown, the epitaxially grown material may be doped in situ during growth, and in situ and implantation doping may be used together. In this way, pre- and subsequent infusions can be excluded.

또한, 영역(50P)(예를 들어, PMOS 영역)의 물질과는 상이한, 영역(50N)(예를 들어, NMOS 영역)의 물질을 에피택셜 성장시키는 것이 유리할 수 있다. 다양한 실시예에서, 핀(52)의 상부는 실리콘 게르마늄(SixGe1-x, 여기서 x는 0 내지 1의 범위일 수 있음), 실리콘 탄화물, 순수한 또는 실질적으로 순수한 게르마늄, III-V 화합물 반도체, II-VI 화합물 반도체 등으로 형성될 수 있다. 예를 들어, III-V 화합물 반도체를 형성하기 위해 이용 가능한 물질은 InAs, AlAs, GaAs, InP, GaN, InGaAs, InAlAs, GaSb, AlSb, AlP, GaP 등을 포함하지만, 이에 제한되지는 않는다.Also, it may be advantageous to epitaxially grow a material in the region 50N (eg, NMOS region) that is different from the material in the region 50P (eg, PMOS region). In various embodiments, the top of fin 52 is silicon germanium (Si x Ge 1-x , where x can range from 0 to 1), silicon carbide, pure or substantially pure germanium, III-V compound semiconductor , II-VI compound semiconductors, and the like. For example, materials available for forming III-V compound semiconductors include, but are not limited to, InAs, AlAs, GaAs, InP, GaN, InGaAs, InAlAs, GaSb, AlSb, AlP, GaP, and the like.

또한, 도 6에서, 적절한 웰(도시하지 않음)이 핀(52) 및/또는 기판(50)에 형성될 수 있다. 일부 실시예에서, P 웰이 영역(50N)에 형성될 수 있고, N 웰이 영역(50P)에 형성될 수 있다. 일부 실시예에서, P 웰 또는 N 웰은 영역(50N) 및 영역(50P) 둘 다에 형성된다.Further, in FIG. 6, an appropriate well (not shown) can be formed in the fin 52 and / or the substrate 50. In some embodiments, a P well may be formed in the region 50N, and an N well may be formed in the region 50P. In some embodiments, P wells or N wells are formed in both region 50N and region 50P.

상이한 웰 유형을 갖는 실시예에서, 영역(50N) 및 영역(50P)에 대한 상이한 주입 단계가 포토레지스트 또는 다른 마스크(도시하지 않음)를 사용하여 달성될 수 있다. 예를 들어, 영역(50N)에서 핀(52) 및 STI 영역(56) 위에 포토레지스트가 형성될 수 있다. 포토레지스트는 PMOS 영역과 같은, 기판(50)의 영역(50P)을 노출시키도록 패터닝된다. 포토레지스트는 스핀-온 기술을 사용하여 형성될 수 있고 허용 가능한 포토리소그래피 기술을 사용하여 패터닝될 수 있다. 포토레지스트가 패터닝되면, n형 불순물의 주입이 영역(50P)에서 수행되고, 포토레지스트는 n형 불순물이 NMOS 영역과 같은 영역(50N)으로 주입되는 것을 실질적으로 방지하는 마스크로서 작용할 수 있다. n형 불순물은 1018 cm-3 이하, 예컨대 약 1017 cm-3 내지 약 1018 cm-3의 농도로 영역에 주입되는 인, 비소 등일 수 있다. 주입 후에, 포토레지스트는 예를 들어 허용 가능한 애싱 공정에 의해 제거된다.In embodiments with different well types, different implantation steps for region 50N and region 50P may be achieved using a photoresist or other mask (not shown). For example, photoresist may be formed on the fin 52 and the STI region 56 in the region 50N. The photoresist is patterned to expose an area 50P of the substrate 50, such as a PMOS area. Photoresists can be formed using spin-on techniques and patterned using acceptable photolithography techniques. When the photoresist is patterned, implantation of n-type impurities is performed in the region 50P, and the photoresist can act as a mask that substantially prevents n-type impurities from being implanted into the region 50N, such as the NMOS region. The n-type impurity may be phosphorus, arsenic or the like injected into the region at a concentration of 10 18 cm -3 or less, such as about 10 17 cm -3 to about 10 18 cm -3 . After implantation, the photoresist is removed, for example, by an acceptable ashing process.

영역(50P)의 주입 후에, 영역(50P)의 핀(52) 및 STI 영역(56) 위에 포토레지스트가 형성된다. 포토레지스트는 NMOS 영역과 같은, 기판(50)의 영역(50N)을 노출시키도록 패터닝된다. 포토레지스트는 스핀-온 기술을 사용하여 형성될 수 있고 허용 가능한 포토리소그래피 기술을 사용하여 패터닝될 수 있다. 포토레지스트가 패터닝되면, p형 불순물의 주입이 영역(50N)에서 수행되고, 포토레지스트는 p형 불순물이 PMOS 영역과 같은 영역(50P)으로 주입되는 것을 실질적으로 방지하는 마스크로서 작용할 수 있다. p형 불순물은 1018 cm-3 이하, 예컨대 약 1017 cm-3 내지 약 1018 cm-3의 농도로 영역에 주입되는 붕소, BF2 등일 수 있다. 주입 후에, 포토레지스트는 예를 들어 허용 가능한 애싱 공정에 의해 제거된다.After implantation of region 50P, photoresist is formed over fin 52 and STI region 56 of region 50P. The photoresist is patterned to expose the region 50N of the substrate 50, such as the NMOS region. Photoresists can be formed using spin-on techniques and patterned using acceptable photolithography techniques. When the photoresist is patterned, implantation of p-type impurities is performed in the region 50N, and the photoresist can act as a mask that substantially prevents p-type impurities from being injected into the region 50P, such as the PMOS region. The p-type impurity may be boron, BF 2 or the like injected into the region at a concentration of 10 18 cm -3 or less, such as about 10 17 cm -3 to about 10 18 cm -3 . After implantation, the photoresist is removed, for example, by an acceptable ashing process.

영역(50N) 및 영역(50P)의 주입 후에, 어닐링이 수행되어 주입된 p형 및/또는 n형 불순물을 활성화시킬 수 있다. 일부 실시예에서, 에피택셜 성장 물질은 성장하는 동안 인시츄 도핑될 수 있으며, 인시츄 및 주입 도핑이 함께 사용될 수 있지만, 이로 인해 주입을 배제할 수 있다.After implantation of the regions 50N and 50P, annealing may be performed to activate the implanted p-type and / or n-type impurities. In some embodiments, the epitaxial growth material can be in-situ doped during growth, and in-situ and infusion doping can be used together, but this can exclude infusion.

도 7에서, 더미 유전체층(60)이 핀(52) 상에 형성된다. 더미 유전체층(60)은 예를 들어 실리콘 산화물, 실리콘 질화물, 또는 이들의 조합 등일 수 있고, 허용 가능한 기술에 따라 퇴적되거나 열적으로 성장할 수 있다. 더미 게이트층(62)은 더미 유전체층(60) 위에 형성되고, 마스크층(64)은 더미 게이트층(62) 위에 형성된다. 더미 게이트층(62)은 더미 유전체층(60) 위에 퇴적된 후에, CMP 등에 의해 평탄화될 수 있다. 마스크층(64)이 더미 게이트층(62) 위에 퇴적될 수 있다. 더미 게이트층(62)은 전도성 물질일 수 있고, 다결정 실리콘(polysilicon), 다결정 실리콘-게르마늄(poly-SiGe), 금속 질화물, 금속 실리사이드, 금속 산화물 및 금속을 포함하는 그룹으로부터 선택될 수 있다. 일 실시예에서, 비정질 실리콘이 퇴적되고 재결정화되어 폴리실리콘을 생성한다. 더미 게이트층(62)은 물리 기상 증착(Physical Vapor Deposition; PVD), CVD, 스퍼터 퇴적, 또는 당해 기술 분야에서 공지되고 전도성 물질을 퇴적시키기 위해 사용되는 그 밖의 기술에 의해 퇴적될 수 있다. 더미 게이트층(62)은 격리 영역의 에칭으로부터 높은 에칭 선택성을 갖는 다른 물질로 만들어질 수 있다. 마스크층(64)은 예를 들어 실리콘 질화물, 실리콘 산화질화물 등을 포함할 수 있다. 본 예시에서, 단일 더미 게이트층(62) 및 단일 마스크층(64)이 영역(50N) 및 영역(50P)에 걸쳐 형성된다. 일부 실시예에서, 영역(50N) 및 영역(50P)에는 별도의 더미 게이트층이 형성될 수 있고, 영역(50N) 및 영역(50P)에는 별도의 마스크층이 형성될 수 있다. 더미 유전체층(60)은 단지 예시의 목적으로 핀(52)만을 덮는 것으로 도시된다. 일부 실시예에서, 더미 유전체층(60)은, 더미 유전체층(60)이 더미 게이트층(62)과 STI 영역(56) 사이에서 연장되어 STI 영역(56)을 덮도록 퇴적될 수 있다.In FIG. 7, a dummy dielectric layer 60 is formed on the fin 52. The dummy dielectric layer 60 may be, for example, silicon oxide, silicon nitride, or a combination thereof, and may be deposited or thermally grown depending on acceptable techniques. The dummy gate layer 62 is formed on the dummy dielectric layer 60, and the mask layer 64 is formed on the dummy gate layer 62. After the dummy gate layer 62 is deposited on the dummy dielectric layer 60, it may be planarized by CMP or the like. The mask layer 64 may be deposited on the dummy gate layer 62. The dummy gate layer 62 may be a conductive material, and may be selected from the group comprising polysilicon, polycrystalline silicon-germanium, metal nitride, metal silicide, metal oxide, and metal. In one embodiment, amorphous silicon is deposited and recrystallized to produce polysilicon. The dummy gate layer 62 may be deposited by Physical Vapor Deposition (PVD), CVD, sputter deposition, or other techniques known in the art and used to deposit conductive materials. The dummy gate layer 62 may be made of another material having high etch selectivity from etching of the isolation region. The mask layer 64 may include, for example, silicon nitride, silicon oxynitride, or the like. In this example, a single dummy gate layer 62 and a single mask layer 64 are formed over the region 50N and the region 50P. In some embodiments, separate dummy gate layers may be formed in the regions 50N and 50P, and separate mask layers may be formed in the regions 50N and 50P. The dummy dielectric layer 60 is shown to cover only the fin 52 for illustrative purposes. In some embodiments, dummy dielectric layer 60 may be deposited such that dummy dielectric layer 60 extends between dummy gate layer 62 and STI region 56 to cover STI region 56.

도 8a 내지 도 9b, 도 11a 및 도 11b는 디바이스 실시예의 제조 과정에서 다양한 추가 단계를 도시한다. 도 8a 내지 도 9b, 도 11a 및 도 11b는 영역(50N) 및 영역(50P) 중 하나의 피처를 설명한다. 예를 들어, 도시된 구조물은 영역(50N) 및 영역(50P) 둘 다에 적용 가능할 수 있다. 영역(50N) 및 영역(50P)의 구조물에서의 차이점(있는 경우)은 각 도면에 동반하는 텍스트에 기술된다.8A-9B, 11A and 11B illustrate various additional steps in the manufacturing process of a device embodiment. 8A to 9B, 11A, and 11B describe features of one of the region 50N and the region 50P. For example, the illustrated structure may be applicable to both region 50N and region 50P. The differences (if any) in the structures of the regions 50N and 50P are described in the text accompanying each figure.

도 8a 및 8b에서, 마스크층(64)은 마스크(74)를 형성하기 위해 허용 가능한 포토리소그래피 및 에칭 기술을 사용하여 패터닝될 수 있다. 다음으로, 마스크(74)의 패턴이 더미 게이트층(62)으로 전사될 수 있다. 일부 실시예에서, 마스크(74)의 패턴은 또한 허용 가능한 에칭 기술에 의해 더미 유전체층(60)으로 전사될 수 있고, 더미 유전체층(60)의 나머지 부분 위에 더미 게이트(72)를 형성할 수 있다. 일부 실시예(별도로 도시되지 않음)에서, 더미 유전체층(60)은 패터닝되지 않을 수 있다. 더미 게이트(72)는 핀(52)의 각각의 채널 영역(58)을 덮는다. 마스크(74)의 패턴은 각각의 더미 게이트(72)를 인접한 더미 게이트로부터 물리적으로 분리하는 데 사용될 수 있다. 더미 게이트(72)는 또한 각각의 에피택셜 핀(52)의 길이 방향에 실질적으로 수직인 길이 방향을 가질 수 있다.8A and 8B, the mask layer 64 can be patterned using acceptable photolithography and etching techniques to form the mask 74. Next, the pattern of the mask 74 may be transferred to the dummy gate layer 62. In some embodiments, the pattern of the mask 74 can also be transferred to the dummy dielectric layer 60 by an acceptable etching technique, forming a dummy gate 72 over the rest of the dummy dielectric layer 60. In some embodiments (not shown separately), dummy dielectric layer 60 may not be patterned. The dummy gate 72 covers each channel region 58 of the fin 52. The pattern of the mask 74 can be used to physically separate each dummy gate 72 from adjacent dummy gates. The dummy gate 72 may also have a longitudinal direction substantially perpendicular to the longitudinal direction of each epitaxial fin 52.

또한, 도 8a 및 8b에서, 제1 스페이서 물질(78)은 더미 게이트(72), 마스크(74) 및/또는 핀(52)의 노출된 표면 상에 형성된다. 제1 스페이서 물질(78)은 제1 스페이서(80)(도 11a 및 도 11b 참조)를 형성하는 데 사용된다. 일부 실시예에서, 제1 스페이서 물질(78)은 산화물, 질화물, 실리콘 산화질화물, 실리콘 산화탄화질화물, 실리콘 산화탄화물 등 또는 이들의 조합과 같은 물질일 수 있다. 일부 실시예에서, 제1 스페이서 물질(78)은 열 산화, CVD, PE-CVD, ALD, PVD, 스퍼터링 등과 같은 공정을 사용하여 형성될 수 있다. 도 8b에서, 제1 스페이서 물질(78)은 수직으로 연장되어 더미 게이트(72) 및 마스크(74) 위에 위치하고, 핀(52) 위에서 측 방향으로 연장되는 것으로 도시된다. 일부 실시예에서, 제1 스페이서 물질(78)은 하나 이상의 물질의 다층을 포함할 수 있다. 일부 실시예에서, 제1 스페이서 물질(78)은 약 3 nm 내지 약 5 nm의 두께를 갖도록 형성될 수 있다.8A and 8B, the first spacer material 78 is formed on the exposed surfaces of the dummy gate 72, mask 74 and / or fin 52. The first spacer material 78 is used to form the first spacer 80 (see FIGS. 11A and 11B). In some embodiments, the first spacer material 78 may be a material such as oxide, nitride, silicon oxynitride, silicon oxycarbonitride, silicon oxycarbide, or the like, or combinations thereof. In some embodiments, the first spacer material 78 can be formed using processes such as thermal oxidation, CVD, PE-CVD, ALD, PVD, sputtering, and the like. In FIG. 8B, the first spacer material 78 is shown extending vertically over the dummy gate 72 and mask 74 and extending laterally over the fin 52. In some embodiments, the first spacer material 78 can include multiple layers of one or more materials. In some embodiments, the first spacer material 78 can be formed to have a thickness of about 3 nm to about 5 nm.

일부 경우에, 더 작은 유전 상수(k)를 갖는 물질을 사용함으로써 디바이스(예를 들어, FinFET 디바이스)의 기생 커패시턴스가 감소될 수 있다. 예를 들어, 제1 스페이서(80)를 형성하기 위해 더 작은 유전 상수를 갖는 제1 스페이서 물질(78)을 사용하면, 예를 들어 게이트 전극(94)과 소스/드레인 콘택트(112)(도 26a 및 도 26b 참조) 사이의 FinFET 디바이스에서 기생 커패시턴스를 감소시킬 수 있다. 일부 실시예에서, 제1 스페이서 물질(78)은 약 k=3.9 미만, 예컨대 약 k=3.5 이하의 유전 상수를 갖는 물질을 포함할 수 있다. 예를 들어, 일부 실시예에서, 실리콘 산화탄화물 물질이 제1 스페이서 물질(78)로 사용될 수 있다. 실리콘 산화탄화물은 약 k=3.5 이하의 유전 상수를 가지므로, 제1 스페이서 물질(78)로 실리콘 산화탄화물을 사용하면 FinFET 디바이스 내의 기생 커패시턴스를 감소시킬 수 있다. 일부 실시예에서, 실리콘 산화탄화물 물질은 ALD 등과 같은 기술을 사용하여 퇴적될 수 있다. 일부 실시예에서, 실리콘 산화탄화물 물질은 약 50℃ 내지 약 80℃의 공정 온도 및 약 5 torr 내지 약 10 torr의 공정 압력을 사용하여 퇴적될 수 있다. 일부 실시예에서, 실리콘 산화탄화물은 약 40원자% 내지 약 46원자%의 실리콘, 약 45원자% 내지 약 50원자%의 산소, 또는 약 5원자% 내지 약 18원자%의 탄소를 갖도록 형성될 수 있다. 일부 실시예에서, 제1 스페이서 물질(78)의 상이한 영역 또는 상이한 층은 실리콘 산화탄화물의 상이한 조성물을 함유할 수 있다.In some cases, parasitic capacitance of a device (eg, a FinFET device) can be reduced by using a material having a smaller dielectric constant (k). For example, if a first spacer material 78 having a smaller dielectric constant is used to form the first spacer 80, for example, the gate electrode 94 and the source / drain contact 112 (FIG. 26A) And FIG. 26B) can reduce parasitic capacitance in the FinFET device. In some embodiments, first spacer material 78 may include a material having a dielectric constant less than about k = 3.9, such as about k = 3.5 or less. For example, in some embodiments, a silicon oxide carbide material can be used as the first spacer material 78. Since silicon carbide has a dielectric constant of about k = 3.5 or less, the use of silicon oxide as the first spacer material 78 can reduce parasitic capacitance in the FinFET device. In some embodiments, silicon carbide materials can be deposited using techniques such as ALD. In some embodiments, the silicon carbide material can be deposited using a process temperature from about 50 ° C to about 80 ° C and a process pressure from about 5 torr to about 10 torr. In some embodiments, the silicon oxide carbide may be formed to have about 40 atomic% to about 46 atomic% silicon, about 45 atomic% to about 50 atomic% oxygen, or about 5 atomic% to about 18 atomic% carbon. have. In some embodiments, different regions or different layers of the first spacer material 78 may contain different compositions of silicon oxide carbide.

제1 스페이서 물질(78)의 형성 후에, 경도핑 소스/드레인(Lightly Doped Source/Drain; LDD) 영역(명확하게 도시하지 않음)을 위한 주입이 수행될 수 있다. 상이한 디바이스 유형을 갖는 실시예에서, 도 6에서 전술한 주입과 유사하게, 영역(50P)을 노광하는 동안, 포토레지스트와 같은 마스크가 영역(50N) 위에 형성될 수 있고, 적절한 유형(예를 들어, n형 또는 p형)의 불순물이 제1 스페이서 물질(78)을 통해 영역(50P) 내의 핀(52)에 주입될 수 있다. 이후에, 마스크는 제거될 수 있다. 이어서, 영역(50N)을 노광하는 동안, 포토레지스트와 같은 마스크가 영역(50P) 위에 형성될 수 있고, 적절한 유형의 불순물이 제1 스페이서 물질(78)을 통해 영역(50N) 내의 핀(52)에 주입될 수 있다. 이후에, 마스크는 제거될 수 있다. n형 불순물은 도 6에서 전술한 n형 불순물 중 하나이거나 다른 n형 불순물일 수 있으며, p형 불순물은 도 6에서 전술한 p형 불순물 중 하나이거나 다른 p형 불순물일 수 있다. 경도핑 소스/드레인 영역은 약 1015 cm-3 내지 약 1016 cm-3의 불순물 농도를 가질 수 있다. 주입된 불순물을 활성화시키기 위해 어닐링이 사용될 수 있다. LDD 도펀트 주입은 제1 스페이서 물질(78)을 통해 수행되기 때문에, 제1 스페이서 물질(78)의 일부 (및 제1 스페이서(80)의 일부)는 또한 주입된 불순물로 도핑될 수 있다. 이와 같이, 일부 실시예에서, 제1 스페이서 물질(78)은 불순물이 주입된 후에 형성되는 제2 스페이서 물질(79)(도 9a 및 도 9b 참조)보다 더 높은 농도의 불순물을 가질 수 있다.After formation of the first spacer material 78, implantation for Lightly Doped Source / Drain (LDD) regions (not explicitly shown) may be performed. In embodiments with different device types, similar to the implantation described above in FIG. 6, while exposing area 50P, a mask, such as a photoresist, can be formed over area 50N, and of the appropriate type (eg , n-type or p-type impurities may be injected into the fin 52 in the region 50P through the first spacer material 78. Thereafter, the mask can be removed. Subsequently, while exposing the region 50N, a mask, such as a photoresist, may be formed over the region 50P, and an appropriate type of impurity may pass through the first spacer material 78 to pin 52 in the region 50N. Can be injected into. Thereafter, the mask can be removed. The n-type impurity may be one of the n-type impurities described in FIG. 6 or another n-type impurity, and the p-type impurity may be one of the p-type impurities described in FIG. 6 or another p-type impurity. The harding source / drain region may have an impurity concentration of about 10 15 cm -3 to about 10 16 cm -3 . Annealing can be used to activate the implanted impurities. Since the LDD dopant implantation is performed through the first spacer material 78, a portion of the first spacer material 78 (and a portion of the first spacer 80) can also be doped with implanted impurities. As such, in some embodiments, the first spacer material 78 may have a higher concentration of impurities than the second spacer material 79 (see FIGS. 9A and 9B) formed after implantation of impurities.

도 9a 및 9b에서, 제2 스페이서 물질(79)이 제1 스페이서 물질(78) 상에 형성된다. 제2 스페이서 물질(79)은 제2 스페이서(81)(도 11a 및 도 11b 참조)를 형성하기 위해 사용된다. 일부 실시예에서, 제2 스페이서 물질(79)은 산화물, 질화물, 실리콘 산화질화물, 실리콘 산화탄화질화물, 실리콘 산화탄화물 등 또는 이들의 조합과 같은 물질일 수 있다. 일부 실시예에서, 제2 스페이서 물질(79)은 CVD, PE-CVD, ALD, PVD, 스퍼터링 등과 같은 공정을 사용하여 형성될 수 있다. 일부 실시예에서, 제2 스페이서 물질(79)은 하나 이상의 물질의 다층을 포함할 수 있다. 일부 실시예에서, 제2 스페이서 물질(79)은 약 3 nm 내지 약 5 nm의 두께를 갖도록 형성될 수 있다. 제2 스페이서 물질(79)은 불순물의 주입 후에 형성되기 때문에, 제2 스페이서 물질(79)은 제1 스페이서 물질(78)보다 낮은 불순물의 농도를 가질 수 있다. 일부 실시예에서, 제2 스페이서 물질(79) 및 제2 스페이서(81)는 생략된다(별도로 도시되지 않음).9A and 9B, a second spacer material 79 is formed on the first spacer material 78. The second spacer material 79 is used to form the second spacer 81 (see FIGS. 11A and 11B). In some embodiments, the second spacer material 79 may be a material such as oxide, nitride, silicon oxynitride, silicon oxycarbonitride, silicon oxycarbide, or the like, or a combination thereof. In some embodiments, the second spacer material 79 may be formed using processes such as CVD, PE-CVD, ALD, PVD, sputtering, and the like. In some embodiments, the second spacer material 79 can include multiple layers of one or more materials. In some embodiments, the second spacer material 79 may be formed to have a thickness of about 3 nm to about 5 nm. Since the second spacer material 79 is formed after implantation of impurities, the second spacer material 79 may have a lower impurity concentration than the first spacer material 78. In some embodiments, second spacer material 79 and second spacer 81 are omitted (not shown separately).

전술한 제1 스페이서 물질(78)(도 8b 참조)과 유사하게, 낮은 유전 상수를 갖는 제2 스페이서 물질(79)로부터 제2 스페이서(81)(도 11b 참조)를 형성함으로써, 디바이스(예를 들어, FinFET 디바이스)에서 기생 커패시턴스가 줄어들 수 있다. 일부 실시예에서, 제2 스페이서 물질(79)은 실리콘 산화탄화물을 포함할 수 있고, 따라서 약 k=3.9 미만, 예컨대 약 k=3.5 이하의 유전 상수를 가질 수 있다. 제2 스페이서 물질(79)의 실리콘 산화탄화물 물질은 제1 스페이서 물질(78)의 실리콘 산화탄화물을 형성하기 위해 전술한 것과 유사한 방식으로 형성될 수 있지만, 다른 실시예에서는 제2 스페이서 물질(79)이 상이하게 형성될 수 있다. 제2 스페이서 물질(79)의 실리콘 산화탄화물의 조성물은 제1 스페이서 물질(78)의 실리콘 산화탄화물에 대해 전술한 것과 유사할 수 있다.Similar to the first spacer material 78 described above (see FIG. 8B), by forming the second spacer 81 (see FIG. 11B) from the second spacer material 79 having a low dielectric constant, a device (eg, For example, parasitic capacitance may be reduced in a FinFET device). In some embodiments, the second spacer material 79 may include silicon oxide carbide, and thus may have a dielectric constant less than about k = 3.9, such as about k = 3.5 or less. The silicon carbide material of the second spacer material 79 can be formed in a similar manner to that described above to form the silicon carbide of the first spacer material 78, but in other embodiments the second spacer material 79 This can be formed differently. The composition of silicon oxide of the second spacer material 79 may be similar to that described above for silicon oxide of the first spacer material 78.

일부 실시예에서, 제1 스페이서(80)의 제1 스페이서 물질(78) 및 제2 스페이서(81)의 제2 스페이서 물질(79) 둘 다는 실리콘 산화탄화물로 형성될 수 있다. 제1 스페이서 물질(78) 및 제2 스페이서 물질(79)은 대략 동일한 실리콘 산화탄화물 조성을 갖거나 상이한 조성을 가질 수 있다. 예를 들어, 제1 스페이서 물질(78)은 약 45원자% 내지 약 48원자%의 산소 및/또는 약 12원자% 내지 약 15원자%의 탄소의 조성을 가질 수 있다. 제2 스페이서 물질(79)은 약 47원자% 내지 약 50원자%의 산소 및/또는 약 10원자% 내지 약 13원자%의 탄소의 조성을 가질 수 있다. 제1 스페이서 물질(78) 또는 제2 스페이서 물질(79)은 이들 예 이외의 다른 조성을 가질 수 있다. 일부 경우에, 실리콘 산화탄화물로 제1 스페이서(80)의 제1 스페이서 물질(78) 및 제2 스페이서(81)의 제2 스페이서 물질(79) 둘 다를 형성하는 것은, 더 높은 유전 상수를 가지는 물질 등의 상이한 물질로 제1 스페이서(80) 또는 제2 스페이서(81) 중 하나 또는 둘 다를 형성하는 것보다 기생 커패시턴스를 더 감소시킬 수 있다.In some embodiments, both the first spacer material 78 of the first spacer 80 and the second spacer material 79 of the second spacer 81 may be formed of silicon oxide carbide. The first spacer material 78 and the second spacer material 79 may have approximately the same silicon oxide carbide composition or may have different compositions. For example, the first spacer material 78 may have a composition of about 45 atomic% to about 48 atomic% oxygen and / or about 12 atomic% to about 15 atomic% carbon. The second spacer material 79 may have a composition of about 47 atomic% to about 50 atomic% oxygen and / or about 10 atomic% to about 13 atomic% carbon. The first spacer material 78 or the second spacer material 79 may have a composition other than these examples. In some cases, forming both the first spacer material 78 of the first spacer 80 and the second spacer material 79 of the second spacer 81 with silicon oxide carbide is a material having a higher dielectric constant. The parasitic capacitance may be further reduced than forming one or both of the first spacer 80 or the second spacer 81 with different materials, such as.

도 10을 참조하면, 그래프는 제2 스페이서(81)의 유전 상수(k)(X축)에 대한 FinFET 디바이스의 기생 커패시턴스 변화율(Y축)의 시뮬레이션 데이터를 도시한다. 기생 커패시턴스의 변화는 약 k=5의 유전 상수를 갖는 제1 스페이서(80)의 제1 스페이서 물질(78)과 제2 스페이서(81)의 제2 스페이서 물질(79) 둘 다를 나타내는 포인트(121)에 대한 것이다. 포인트(122)는 약 k=5의 유전 상수를 갖는 제1 스페이서 물질(78) 및 약 k=4의 유전 상수를 갖는 제2 스페이서 물질(79)로 인한 커패시턴스의 변화를 나타낸다. 도시된 바와 같이, 제2 스페이서 물질(79)의 더 작은 유전 상수는 기생 커패시턴스를 약 2% 감소시킨다.Referring to FIG. 10, the graph shows simulation data of a rate of change of parasitic capacitance of the FinFET device (Y-axis) with respect to the dielectric constant k of the second spacer 81 (X-axis). The change in parasitic capacitance is a point 121 representing both the first spacer material 78 of the first spacer 80 and the second spacer material 79 of the second spacer 81 having a dielectric constant of about k = 5. It is about. Point 122 represents the change in capacitance due to the first spacer material 78 having a dielectric constant of about k = 5 and the second spacer material 79 having a dielectric constant of about k = 4. As shown, the smaller dielectric constant of the second spacer material 79 reduces the parasitic capacitance by about 2%.

다시 도 10을 참조하면, 포인트(123)는 약 k=5의 유전 상수를 갖는 제1 스페이서(80)의 제1 스페이서 물질(78) 및 약 k=3.5의 유전 상수를 갖는 실리콘 산화탄화물로 형성되는 제2 스페이서(81)의 제2 스페이서 물질(79)로 인한 커패시턴스의 변화를 나타낸다. 도시된 바와 같이, 실리콘 산화탄화물의 더 작은 유전 상수는 기생 커패시턴스를 약 3.5% 감소시킨다. 포인트(124)는 약 k=3.5의 유전 상수를 갖는 실리콘 산화탄화물로 형성되는 제1 스페이서 물질(78) 및 제2 스페이서 물질(79) 둘 다로 인한 커패시턴스의 변화를 나타낸다. 도시된 바와 같이, 실리콘 산화탄화물로부터 제1 스페이서 물질(78) 및 제2 스페이서 물질(79) 둘 다를 형성함으로써, 기생 커패시턴스가 약 6.5% 감소될 수 있다. 따라서, 도 10의 그래프에 도시된 바와 같이, 실리콘 산화탄화물로 제1 스페이서(80)의 제1 스페이서 물질(78) 및 제2 스페이서(81)의 제2 스페이서 물질(79) 둘 다를 형성하는 것은 FinFET 디바이스와 같은 디바이스의 기생 커패시턴스를 감소시킬 수 있다. 도 10에 도시된 그래프 및 시뮬레이션 데이터는 예시를 위한 것이며, 다른 경우에 제1 스페이서 물질(78) 또는 제2 스페이서 물질(79)의 유전 상수는 상이하거나, 다른 경우에 제1 스페이서 물질(78) 및 제2 스페이서 물질(79)의 다양한 물질에 대한 커패시턴스의 변화가 상이할 수 있다.Referring again to FIG. 10, point 123 is formed of a first spacer material 78 of a first spacer 80 having a dielectric constant of about k = 5 and silicon oxide carbide having a dielectric constant of about k = 3.5. It represents a change in capacitance due to the second spacer material 79 of the second spacer 81. As shown, the smaller dielectric constant of silicon oxide reduces parasitic capacitance by about 3.5%. Point 124 represents the change in capacitance due to both the first spacer material 78 and the second spacer material 79 formed of silicon oxide having a dielectric constant of about k = 3.5. As shown, by forming both the first spacer material 78 and the second spacer material 79 from silicon oxide carbide, the parasitic capacitance can be reduced by about 6.5%. Thus, as shown in the graph of FIG. 10, forming both the first spacer material 78 of the first spacer 80 and the second spacer material 79 of the second spacer 81 with silicon oxide carbide It can reduce parasitic capacitance of devices such as FinFET devices. The graphs and simulation data shown in FIG. 10 are for illustration, and in other cases, the dielectric constant of the first spacer material 78 or the second spacer material 79 is different, or in other cases, the first spacer material 78 And a change in capacitance of the second spacer material 79 for various materials.

도 11a 및 도 11b를 참조하면, 제1 스페이서(80), 제2 스페이서(81) 및 측벽 스페이서(86)가 형성된다. 측벽 스페이서(86)는 예를 들어, 제2 스페이서 물질(79) 위에 절연 물질을 컨포멀하게 퇴적하고 이어서 절연 물질을 이방성으로 에칭함으로써 형성될 수 있다. 일부 실시예에서, 절연 물질의 이방성 에칭은 또한 제1 스페이서 물질(78)을 에칭하여 제1 스페이서(80)를 형성하고 제2 스페이서 물질(79)을 에칭하여 제2 스페이서(81)를 형성한다. 제2 스페이서 물질(79) 및 제1 스페이서 물질(78)에 대해 전술한 바와 같이, 제2 스페이서(81)는 제1 스페이서(80)보다 주입 불순물의 농도가 낮을 수 있다. 일부 실시예에서, 측벽 스페이서(86)의 절연 물질은, 포스포실리케이트 유리(Phosphosilicate Glass; PSG), 보로포스포실리케이트 유리(BoroPhosphoSilicate Glass; BPSG), 불화 실리케이트 유리(Fluorinated Silicate Glass; FSG), 실리콘 질화물, 실리콘 산화탄화물, 실리콘 탄화물, 실리콘 탄화질화물 등 또는 이들의 조합과 같은 저-k 유전체 물질일 수 있다. 측벽 스페이서(86)의 물질은 CVD, PE-CVD, ALD 등과 같은 임의의 적절한 방법에 의해 형성될 수 있다. 일부 실시예에서, 측벽 스페이서(86)는 약 3 nm 내지 약 5 nm의 두께를 가질 수 있다.11A and 11B, a first spacer 80, a second spacer 81, and sidewall spacers 86 are formed. The sidewall spacers 86 may be formed, for example, by conformally depositing an insulating material over the second spacer material 79 and then anisotropically etching the insulating material. In some embodiments, anisotropic etching of the insulating material also etches the first spacer material 78 to form the first spacer 80 and etches the second spacer material 79 to form the second spacer 81. . As described above for the second spacer material 79 and the first spacer material 78, the second spacer 81 may have a lower concentration of implanted impurities than the first spacer 80. In some embodiments, the insulating material of the sidewall spacer 86 is phosphosilicate glass (PSG), borophosphosilicate glass (BoroPhosphoSilicate Glass; BPSG), fluorinated silicate glass (FSG), silicon It may be a low-k dielectric material such as nitride, silicon oxide carbide, silicon carbide, silicon carbonitride, or the like, or a combination thereof. The material of the sidewall spacer 86 can be formed by any suitable method, such as CVD, PE-CVD, ALD, and the like. In some embodiments, sidewall spacers 86 may have a thickness of about 3 nm to about 5 nm.

도 12a 내지 도 19b를 참조하면, 일부 실시예에 따라, 에피택셜 소스/드레인 영역(82A 및 82B)이 핀(52)에 형성된다. 도 12a 내지 도 19b는 서브 영역(50P-1)에서 에피택셜 소스/드레인 영역(82A)의 형성 및 서브 영역(50P-2)에서 에피택셜 소스/드레인 영역(82B)의 형성을 도시한다. 서브 영역(50P-1) 및 서브 영역(50P-2)은 기판(50)의 영역(50P)의 서브 영역일 수 있다. 영역(50N) 및 영역(50P)의 에피택셜 소스/드레인 영역(에피택셜 소스/드레인 영역(82A 및 82B) 포함)은 본 개시에서 에피택셜 소스/드레인 영역(82)으로 총괄적으로 지칭될 수 있다. 도 12A, 도 13a, 도 14a, 도 15a, 도 16a, 도 17a, 도 18a 및 도 19a는 도 1에 도시된 기준 단면(C-C)을 따라 도시되고, 도 12B, 도 13b, 도 14b, 도 15b, 도 16b, 도 17b, 도 18b 및 도 19b는 도 1에 도시된 기준 단면(B-B)을 따라 도시된다. 에피택셜 소스/드레인 영역(82)은 각각의 더미 게이트(72)가 에피택셜 소스/드레인 영역(82)의 각각의 이웃한 쌍 사이에 배치되도록 핀(52)에 형성된다. 일부 실시예에서, 에피택셜 소스/드레인 영역(82)이 핀(52)으로 연장된다. 일부 실시예에서, 에피택셜 소스/드레인 영역(82)이 이후 결과적으로 생성되는 FinFET의 게이트를 단락시키지 않도록, 측벽 스페이서(86)가 적절한 측 방향 거리만큼 더미 게이트(72)로부터 에피택셜 소스/드레인 영역(82)을 분리하는 데 사용된다.12A-B, epitaxial source / drain regions 82A and 82B are formed in fin 52, in accordance with some embodiments. 12A-19B show the formation of epitaxial source / drain regions 82A in sub-regions 50P-1 and the formation of epitaxial source / drain regions 82B in sub-regions 50P-2. The sub-region 50P-1 and the sub-region 50P-2 may be sub-regions of the region 50P of the substrate 50. Region 50N and epitaxial source / drain regions of region 50P (including epitaxial source / drain regions 82A and 82B) may be collectively referred to as epitaxial source / drain regions 82 in this disclosure. . 12A, 13A, 14A, 15A, 16A, 17A, 18A, and 19A are shown along the reference cross-section CC shown in FIG. 1, and FIGS. 12B, 13B, 14B, and 15B , FIGS. 16B, 17B, 18B and 19B are shown along the reference cross-section BB shown in FIG. 1. An epitaxial source / drain region 82 is formed in the fin 52 such that each dummy gate 72 is disposed between each neighboring pair of epitaxial source / drain regions 82. In some embodiments, epitaxial source / drain regions 82 extend to fins 52. In some embodiments, sidewall spacers 86 are epitaxial source / drain from dummy gate 72 by an appropriate lateral distance so that epitaxial source / drain region 82 does not short-circuit the resulting resulting FinFET gate. Used to separate region 82.

도 12a 및 도 12b를 참조하면, 제1 습식 세정 공정(95A)이 수행된다. 제1 습식 세정 공정(95B)은 표면으로부터 잔여물을 제거하는 습식 화학 세정 공정(예를 들어, "디스컴(descum)"공정)일 수 있다. 제1 습식 세정 공정(95A)은 또한 산소 원자가 측벽 스페이서(86)의 표면에 결합되도록 하는 표면 처리를 포함할 수 있으며, 이는 후속 공정 단계 동안 질소 또는 수소와 같은 종의 가스 방출을 감소시킨다. 일부 경우에, 가스 제거(예를 들어, NHx 가스 제거)는 포토레지스트 현상 동안 결함(때로는 "포토레지스트 포이즌"이라고 함)을 야기할 수 있다. 마스크(91A)(도 13a 및 도 13b 참조)의 형성을 위한 구조물을 준비하기 위해 제1 습식 세정 공정(95A)이 수행될 수 있다.12A and 12B, a first wet cleaning process 95A is performed. The first wet cleaning process 95B may be a wet chemical cleaning process (eg, a “descum” process) that removes residue from the surface. The first wet scrubbing process 95A may also include a surface treatment that allows oxygen atoms to bond to the surface of the sidewall spacers 86, which reduces gas evolution of species such as nitrogen or hydrogen during subsequent process steps. In some cases, gas removal (eg, NH x gas removal) can cause defects (sometimes referred to as "photoresist poisons") during photoresist development. A first wet cleaning process 95A may be performed to prepare a structure for formation of the mask 91A (see FIGS. 13A and 13B).

일부 실시예에서, 제1 습식 세정 공정(95A)은 황산(H2SO4)과 과산화수소(H2O2)의 가열된 혼합물을 포함할 수 있다. 혼합물은 예를 들어 약 2:1 내지 약 5:1의 몰비로 혼합된 황산 및 과산화수소일 수 있다. 혼합물은 약 80℃ 내지 약 180℃의 온도로 가열될 수 있다. 제1 습식 세정 공정(95A) 동안, 구조물은 예를 들어 가열된 혼합물에 침지될 수 있다. 본 개시에 기술된 이러한 혼합물은 잔여물을 제거할 수 있고, 또한 "포토레지스트 포이즌"으로 인한 결함과 같은, 포토레지스트 패터닝 동안의 포토리소그래피 관련 결함의 가능성을 감소시킬 수 있다.In some embodiments, the first wet cleaning process 95A can include a heated mixture of sulfuric acid (H 2 SO 4 ) and hydrogen peroxide (H 2 O 2 ). The mixture can be, for example, sulfuric acid and hydrogen peroxide mixed in a molar ratio of about 2: 1 to about 5: 1. The mixture can be heated to a temperature of about 80 ° C to about 180 ° C. During the first wet cleaning process 95A, the structure can be immersed, for example, in a heated mixture. Such mixtures described in this disclosure can remove residues and also reduce the likelihood of photolithography-related defects during photoresist patterning, such as defects due to “photoresist poisons”.

또한, 제1 습식 세정 공정(95A)에 사용되는 황산 및 과산화수소의 가열된 혼합물은 플라즈마 기반 기술(예를 들어, 수소 플라즈마, 산소 플라즈마 등을 사용하는 것)과 같은 다른 세정 기술보다 제1 스페이서(80) 및 제2 스페이서(81)를 덜 손상시킬 수 있다. 예를 들어, 일부 산소 플라즈마 세정 기술은 탄소의 실리콘 산화탄화물층을 고갈시켜서 층에 손상을 줄 수 있고, 따라서 가능한 처리 문제 또는 결함을 또한 야기할 수 있다. 따라서, 본 개시에 기술된 혼합물의 사용은 실리콘 산화탄화물 물질이 사용될 때 포토리소그래피 관련 결함(예를 들어, "포토레지스트 포이즌")을 감소시킬 뿐만 아니라, 손상 관련 결함을 감소시킬 수 있다. 예를 들어, 제1 습식 세정 공정(95A)에 대해 본 개시에 기술된 혼합물을 사용함으로써, 제1 스페이서(80) 및 제2 스페이서(81) 둘 다는 처리 문제 또는 결함의 전반적인 가능성이 감소된 실리콘 산화탄화물 물질로 형성될 수 있다. 이러한 방식으로, 세정 공정(예를 들어, 개선된 포토리소그래피) 및 실리콘 산화탄화물 물질(예를 들어, 감소된 기생 커패시턴스) 둘 다를 사용하는 이점이 실현될 수 있다.In addition, the heated mixture of sulfuric acid and hydrogen peroxide used in the first wet cleaning process 95A may have a first spacer (such as a plasma based technology (e.g., using hydrogen plasma, oxygen plasma, etc.)) than other cleaning technologies. 80) and the second spacer 81 may be less damaged. For example, some oxygen plasma cleaning techniques can deplete the silicon oxide carbide layer of carbon, thereby damaging the layer, thus also causing possible processing problems or defects. Thus, the use of the mixtures described in this disclosure can reduce photolithography-related defects (eg, “photoresist poisons”) as well as damage-related defects when silicon oxide carbide materials are used. For example, by using the mixture described in the present disclosure for the first wet cleaning process 95A, both the first spacer 80 and the second spacer 81 are silicones with reduced overall probability of treatment problems or defects It may be formed of an oxide carbide material. In this way, the advantage of using both a cleaning process (eg, improved photolithography) and a silicon oxide carbide material (eg, reduced parasitic capacitance) can be realized.

도 13a 및 도 13b를 참조하면, 마스크(91A)가 서브 영역(50P-2) 위에 형성된다. 마스크(91A)는 단일층을 포함하거나 다층 구조물(예를 들어, 이중층 구조물, 삼중층 구조물 또는 세 개 초과의 층을 갖는 구조물)일 수 있다. 마스크(91A)는 포토레지스트 물질, 산화물 물질, 질화물 물질, 그 밖의 유전체 물질 등, 또는 이들의 조합과 같은 물질을 포함할 수 있다. 일부 실시예에서, 마스크(91A)는 바닥 반사 방지 코팅(Bottom Anti-Reflective Coating; BARC)을 포함한다. 마스크(91A)는 스핀-온 기술, CVD, PE-CVD, ALD, PVD, 스퍼터링 등 또는 이들의 조합과 같은 하나 이상의 적절한 기술을 사용하여 형성될 수 있다. 마스크(91A)는 적절한 포토리소그래피 및 에칭 공정을 사용하여 서브 영역(50P-1)의 일부를 노출시키도록 패터닝될 수 있다. 예를 들어, 마스크(91A)를 에칭하도록 하나 이상의 습식 에칭 공정 또는 이방성 건식 에칭 공정이 사용될 수 있다.13A and 13B, a mask 91A is formed on the sub-region 50P-2. The mask 91A may include a single layer or may be a multi-layer structure (eg, a double-layer structure, a triple-layer structure, or a structure having more than three layers). The mask 91A may include a material such as a photoresist material, oxide material, nitride material, other dielectric material, or a combination thereof. In some embodiments, the mask 91A includes a bottom anti-reflective coating (BARC). The mask 91A can be formed using one or more suitable techniques, such as spin-on technology, CVD, PE-CVD, ALD, PVD, sputtering, or a combination thereof. The mask 91A can be patterned to expose a portion of the sub-region 50P-1 using an appropriate photolithography and etching process. For example, one or more wet etching processes or anisotropic dry etching processes may be used to etch the mask 91A.

도 14a 및 도 14b를 참조하면, 일부 실시예에 따라, 리세스(84A)가 서브 영역(50P-1)의 핀(52)에 형성된다. 리세스(84A)는 예를 들어 이방성 건식 에칭 공정을 사용하여 형성될 수 있다. 일부 경우에, 제1 스페이서(80), 제2 스페이서(81) 또는 측벽 스페이서(86)의 일부는 또한 이방성 건식 에칭 공정에 의해 에칭될 수 있다. 도 14a에 도시된 스페이서(80, 81 및 86)의 예시적인 에칭은 예시적인 것으로 의도되며, 이방성 건식 에칭 공정은 다른 실시예에서 스페이서(80, 81 또는 86)를 상이하게 에칭할 수 있다. 예를 들어, 다른 실시예에서, 하나 이상의 스페이서(80, 81 또는 86)가 다른 하나의 스페이서(80, 81 또는 86)보다 STI 영역(56) 위로 더 높이 연장되도록, 이방성 건식 에칭 공정은 스페이서(80, 81 및 86)의 부분들을 상이한 양으로 에칭할 수 있다. 이러한 그리고 그 밖의 변형은 본 개시의 범위 내에 있는 것으로 의도된다. 일부 실시예에서, 이방성 건식 에칭 공정의 공정 파라미터는 리세스(84A) 또는 스페이서(80, 81 또는 86)가 원하는 특성을 갖도록 에칭하기 위해 제어될 수 있다. 공정 파라미터는 예를 들어 공정 가스 혼합물, 전압 바이어스, RF 전력, 공정 온도, 공정 압력, 그 밖의 파라미터 또는 이들의 조합을 포함할 수 있다. 일부 경우에, 리세스(84A) 또는 스페이서(80, 81 또는 86)의 에칭을 이러한 방식으로 제어함으로써, 리세스(84A)에 형성되는 에피택셜 소스/드레인 영역(82A)(도 18a 및 도 18b 참조)의 형상, 부피, 크기 또는 그 밖의 특성이 제어될 수 있다.14A and 14B, a recess 84A is formed in the fin 52 of the sub-region 50P-1, according to some embodiments. The recess 84A can be formed using, for example, an anisotropic dry etching process. In some cases, a portion of the first spacer 80, second spacer 81, or sidewall spacer 86 may also be etched by an anisotropic dry etch process. The exemplary etching of the spacers 80, 81 and 86 shown in FIG. 14A is intended to be exemplary, and the anisotropic dry etching process may etch the spacers 80, 81 or 86 differently in other embodiments. For example, in other embodiments, the anisotropic dry etch process may include a spacer (such that one or more spacers 80, 81 or 86 extend higher above the STI region 56 than the other spacer 80, 81 or 86). Portions of 80, 81 and 86) can be etched in different amounts. These and other modifications are intended to be within the scope of this disclosure. In some embodiments, the process parameters of the anisotropic dry etch process can be controlled to etch the recess 84A or spacers 80, 81 or 86 to have the desired properties. Process parameters can include, for example, process gas mixtures, voltage bias, RF power, process temperature, process pressure, other parameters, or combinations thereof. In some cases, by controlling the etching of the recess 84A or the spacers 80, 81 or 86 in this manner, epitaxial source / drain regions 82A formed in the recess 84A (FIGS. 18A and 18B) Shape, volume, size or other characteristics of the reference) can be controlled.

도 15a 및 도 15b를 참조하면, 마스크(91A)가 제거되고 제2 습식 세정 공정(95B)이 수행된다. 마스크(91A)는 습식 화학 공정 또는 건식 공정과 같은 적절한 공정을 사용하여 제거될 수 있다. 마스크(91A)를 제거한 후에, 잔여물을 제거하고 마스크(91B)(도 16a 및 도 16b 참조)를 형성하기 위한 구조물의 표면을 준비하기 위해 제2 습식 세정 공정(95B)이 수행된다. 일부 실시예에서, 마스크(91A)는 제2 습식 세정 공정(95B)을 수행하는 것의 일부로서 제거된다. 제2 습식 세정 공정(95B)은 제1 습식 세정 공정(95A)(도 12a 및 도 12b 참조)과 유사할 수 있다. 예를 들어, 제2 습식 세정 공정(95B)은 황산 및 과산화수소의 가열된 혼합물을 사용할 수 있다. 혼합물은 제1 습식 세정 공정(95A)에 대해 기재된 것과 유사한 조성을 가질 수 있고, 유사한 온도로 가열될 수 있다. 다른 경우에, 제2 습식 세정 공정(95B)은, 제1 습식 세정 공정(95A)에 사용된 것과 상이하고, 상이한 온도로 가열될 수 있는 황산 및 과산화수소의 혼합물일 수 있다. 제1 습식 세정 공정(95A)과 유사하게, 황산과 과산화수소의 가열된 혼합물을 사용하면, 제1 스페이서(80) 및/또는 제2 스페이서(81)가 실리콘 산화탄화물로 형성되는 실시예와 같이, 실리콘 산화탄화물층에 대한 손상을 감소시킬 수 있다.15A and 15B, the mask 91A is removed and a second wet cleaning process 95B is performed. The mask 91A can be removed using a suitable process such as a wet chemical process or a dry process. After removing the mask 91A, a second wet cleaning process 95B is performed to prepare the surface of the structure to remove the residue and form the mask 91B (see FIGS. 16A and 16B). In some embodiments, the mask 91A is removed as part of performing the second wet cleaning process 95B. The second wet cleaning process 95B may be similar to the first wet cleaning process 95A (see FIGS. 12A and 12B). For example, the second wet cleaning process 95B can use a heated mixture of sulfuric acid and hydrogen peroxide. The mixture can have a composition similar to that described for the first wet cleaning process 95A, and can be heated to a similar temperature. In other cases, the second wet cleaning process 95B may be a mixture of sulfuric acid and hydrogen peroxide that is different from that used in the first wet cleaning process 95A and can be heated to different temperatures. Similar to the first wet cleaning process 95A, using a heated mixture of sulfuric acid and hydrogen peroxide, as in the embodiment where the first spacer 80 and / or the second spacer 81 is formed of silicon carbide, Damage to the silicon oxide layer can be reduced.

도 16a 및 도 16b를 참조하면, 마스크(91B)가 서브 영역(50P-1) 위에 형성된다. 마스크(91B)는 단일층을 포함하거나 다층 구조물(예를 들어, 이중층 구조물, 삼중층 구조물 또는 세 개 초과의 층을 갖는 구조물)일 수 있다. 마스크(91B)는 포토레지스트 물질, 산화물 물질, 질화물 물질, 그 밖의 유전체 물질 등, 또는 이들의 조합과 같은 물질을 포함할 수 있다. 일부 실시예에서, 마스크(91B)는 바닥 반사 방지 코팅(Bottom Anti-Reflective Coating; BARC)을 포함한다. 마스크(91B)는 스핀-온 기술, CVD, PE-CVD, ALD, PVD, 스퍼터링 등 또는 이들의 조합과 같은 하나 이상의 적절한 기술을 사용하여 형성될 수 있다. 마스크(91B)는 적절한 포토리소그래피 및 에칭 공정을 사용하여 서브 영역(50P-1)의 일부를 노출시키도록 패터닝될 수 있다. 예를 들어, 마스크(91B)를 에칭하도록 하나 이상의 습식 에칭 공정 또는 이방성 건식 에칭 공정이 사용될 수 있다. 마스크(91B)는 마스크(91A)(도 13a 및 도 13b 참조)와 유사하거나, 마스크(91A)와 상이할 수 있다.16A and 16B, a mask 91B is formed on the sub-region 50P-1. The mask 91B may include a single layer or may be a multi-layer structure (eg, a double-layer structure, a triple-layer structure, or a structure having more than three layers). The mask 91B may include a material such as photoresist material, oxide material, nitride material, other dielectric material, or a combination thereof. In some embodiments, the mask 91B includes a bottom anti-reflective coating (BARC). The mask 91B may be formed using one or more suitable techniques, such as spin-on technology, CVD, PE-CVD, ALD, PVD, sputtering, or a combination thereof. The mask 91B can be patterned to expose a portion of the sub-region 50P-1 using an appropriate photolithography and etching process. For example, one or more wet etching processes or anisotropic dry etching processes can be used to etch the mask 91B. The mask 91B may be similar to the mask 91A (see FIGS. 13A and 13B), or may be different from the mask 91A.

도 17a 및 도 17b를 참조하면, 일부 실시예에 따라, 리세스(84B)가 서브 영역(50P-2)의 핀(52)에 형성된다. 리세스(84B)는 예를 들어 이방성 건식 에칭 공정을 사용하여 형성될 수 있다. 일부 경우에, 제1 스페이서(80), 제2 스페이서(82) 또는 측벽 스페이서(86)의 일부는 또한 이방성 건식 에칭 공정에 의해 에칭될 수 있다. 일부 실시예에서, 이방성 건식 에칭 공정의 공정 파라미터는 리세스(84B) 또는 스페이서(80, 81 또는 86)가 원하는 특성을 갖도록 에칭하기 위해 제어될 수 있다. 서브 영역(50P-2)에 대한 에칭의 공정 파라미터는 서브 영역(50P-1)에 대한 에칭의 공정 파라미터와 상이할 수 있다. 공정 파라미터는 예를 들어 공정 가스 혼합물, 전압 바이어스, RF 전력, 공정 온도, 공정 압력, 그 밖의 파라미터 또는 이들의 조합을 포함할 수 있다. 일부 실시예에서, 서브 영역(50P-2)의 리세스(84B)가 서브 영역(50P-1)의 리세스(84A)와는 상이하도록(예를 들어, 상이한 높이, 폭, 형상 등을 갖도록) 공정 파라미터가 제어될 수 있다. 공정 파라미터는 또한 서브 영역(50P-2)의 스페이서(80, 81 또는 86)가 서브 영역(50P-1)의 스페이서(80, 81 또는 86)와는 상이하도록(예를 들어, 상이한 높이, 폭, 형상 등을 갖도록) 제어될 수 있다. 이들은 예시이며, 이러한 그리고 그 밖의 변형은 본 개시의 범위 내에 있는 것으로 의도된다. 일부 경우에, 리세스(84B) 또는 스페이서(80, 81 또는 86)의 에칭을 이러한 방식으로 제어함으로써 리세스(84B)에 형성되는 에피택셜 소스/드레인 영역(82B)(도 19a 및 도 19b 참조)의 형상, 부피, 크기 또는 그 밖의 특성이 제어될 수 있다. 서브 영역(50P-1) 및 서브 영역(50P-2)에서 별도의 상이한 에칭 공정을 사용함으로써, 각각의 서브 영역 내의 에피택셜 소스/드레인 영역은 상이한 특성을 갖도록 형성될 수 있다.17A and 17B, a recess 84B is formed in the fin 52 of the sub-region 50P-2, according to some embodiments. The recess 84B may be formed using, for example, an anisotropic dry etching process. In some cases, a portion of the first spacer 80, second spacer 82, or sidewall spacer 86 may also be etched by an anisotropic dry etching process. In some embodiments, the process parameters of the anisotropic dry etch process can be controlled to etch the recess 84B or spacers 80, 81 or 86 to have the desired properties. The process parameter of etching for the sub-region 50P-2 may be different from the process parameter of etching for the sub-region 50P-1. Process parameters can include, for example, process gas mixtures, voltage bias, RF power, process temperature, process pressure, other parameters, or combinations thereof. In some embodiments, the recess 84B of the sub-region 50P-2 is different from the recess 84A of the sub-region 50P-1 (eg, having a different height, width, shape, etc.) Process parameters can be controlled. The process parameters may also be such that the spacers 80, 81 or 86 of the sub-area 50P-2 differ from the spacers 80, 81 or 86 of the sub-area 50P-1 (eg, different heights, widths, Shape). These are examples, and such and other modifications are intended to be within the scope of the present disclosure. In some cases, epitaxial source / drain regions 82B formed in recesses 84B (see FIGS. 19A and 19B) by controlling the etching of recesses 84B or spacers 80, 81 or 86 in this manner. ) Shape, volume, size or other characteristics can be controlled. By using separate different etching processes in the sub-regions 50P-1 and 50P-2, the epitaxial source / drain regions in each sub-region can be formed to have different characteristics.

도 18a 및 도 18b를 참조하면, 마스크(91B)가 제거된다. 마스크(91B)는 습식 화학 공정 또는 건식 공정과 같은 적절한 공정을 사용하여 제거될 수 있다. 이러한 방식으로, 서브 영역(50P-1 및 50P-2)의 소스/드레인 영역은 에피택셜 소스/드레인 영역(82A 및 82B)(도 19a 및 도 19b 참조)의 형성을 위해 준비될 수 있다. 도 12a 내지 도 18b에 설명된 바와 같이, 다중 패터닝 공정은 상이한 서브 영역들을 상이하게 에칭하기 위해 사용될 수 있다. 일부 실시예에서, 다중 패터닝 공정은 도 12a 내지 도 18b에 기술된 것과 같은 "2P2E" 공정일 수 있으며, 여기서 제2 서브 영역(예를 들어, 서브 영역(50P-1))이 에칭되는 동안 제1 서브 영역(예를 들어, 서브 영역(50P-2))이 마스킹되고, 이후에 제1 서브 영역이 에칭되는 동안 제2 서브 영역이 마스킹된다. 다른 실시예에서, 서브 영역(50P-2)이 마스킹되고 서브 영역(50P-1)이 에칭되기 전에, 먼저 서브 영역(50P-1)이 마스킹되고 서브 영역(50P-2)이 에칭될 수 있다. 적절한 서브 영역을 순차적으로 마스킹 및 에칭함으로써, 두 개 이상의 서브 영역이 이러한 방식으로 상이한 에칭 공정을 사용하여 에칭될 수 있다. 또한, 습식 세정 공정(95A 및 95B)과 유사한 습식 세정 공정을 사용함으로써, 각 마스킹 단계 전에 습식 세정 공정이 실리콘 산화탄화물로 형성되는 층에 손상 가능성을 줄이면서 수행될 수 있다.18A and 18B, the mask 91B is removed. The mask 91B can be removed using a suitable process, such as a wet chemical process or a dry process. In this way, the source / drain regions of the sub-regions 50P-1 and 50P-2 can be prepared for the formation of epitaxial source / drain regions 82A and 82B (see FIGS. 19A and 19B). 12A-18B, a multiple patterning process can be used to etch different sub-regions differently. In some embodiments, the multiple patterning process can be a “2P2E” process, such as that described in FIGS. 12A-18B, where a second sub-region (eg, sub-region 50P-1) is etched during etching. One sub-region (eg, sub-region 50P-2) is masked, and then the second sub-region is masked while the first sub-region is etched. In another embodiment, before the sub-region 50P-2 is masked and the sub-region 50P-1 is etched, the sub-region 50P-1 is first masked and the sub-region 50P-2 is etched. . By sequentially masking and etching the appropriate sub-regions, two or more sub-regions can be etched using different etching processes in this manner. In addition, by using a wet cleaning process similar to the wet cleaning processes 95A and 95B, a wet cleaning process before each masking step can be performed while reducing the possibility of damage to the layer formed of silicon oxide.

도 19a 및 도 19b를 참조하면, 일부 실시예에 따라, 에피택셜 소스/드레인 영역(82)이 영역(50P)에 형성된다. 일부 실시예에서, 리세스(84A 및 84B)로부터 산화물(예를 들어, 자연 산화물)을 제거하도록 사전 세정 공정이 먼저 수행될 수 있다. 사전 세정 공정은 습식 화학 공정(예를 들어, 희석한 HF), 플라즈마 공정 또는 이들의 조합을 포함할 수 있다. 동일한 에피택셜 공정을 사용하여, 에피택셜 소스/드레인 영역(82A)이 서브 영역(50P-1)의 리세스(84A)에 형성되고, 에피택셜 소스/드레인 영역(82B)이 서브 영역(50P-2)의 리세스(84B)에 형성된다. 일부 실시예에서, 추가적인 에피택셜 소스/드레인 영역이 만일 존재한다면, 이는 에피택셜 소스/드레인 영역(82A 및 82B)에서와 동일한 에피택셜 공정을 사용하여 상이한 서브 영역에 형성될 수 있다. 에피택셜 소스/드레인 영역(82A 및 82B)은, 예를 들어 p형 FinFET에 적절한 임의의 허용 가능한 물질을 포함할 수 있다. 예를 들어, 핀(52)이 실리콘 또는 SiGe인 경우, 에피택셜 소스/드레인 영역(82A 및 82B)은 SiGe, SiGeB, Ge, GeSn, 그 밖의 물질 등 또는 이들의 조합을 포함할 수 있다.19A and 19B, epitaxial source / drain regions 82 are formed in regions 50P, according to some embodiments. In some embodiments, a pre-cleaning process may first be performed to remove oxides (eg, natural oxides) from recesses 84A and 84B. The pre-cleaning process can include a wet chemical process (eg, diluted HF), a plasma process, or a combination thereof. Using the same epitaxial process, epitaxial source / drain regions 82A are formed in the recesses 84A of the sub-regions 50P-1, and epitaxial source / drain regions 82B are sub-regions 50P- It is formed in the recess 84B of 2). In some embodiments, additional epitaxial source / drain regions, if present, may be formed in different sub-regions using the same epitaxial process as in epitaxial source / drain regions 82A and 82B. The epitaxial source / drain regions 82A and 82B may include any acceptable material suitable for p-type FinFETs, for example. For example, when the pin 52 is silicon or SiGe, the epitaxial source / drain regions 82A and 82B may include SiGe, SiGeB, Ge, GeSn, other materials, or the like, or a combination thereof.

일부 실시예에서, 단일 에피택셜 공정은 상이한 서브 영역에서 상이한 에피택셜 소스/드레인 영역을 형성할 수 있다. 서브 영역에서 리세스(예를 들어, 리세스(84A 및 84B))의 차이 또는 스페이서(예를 들어, 스페이서(80, 81 또는 86))의 차이를 형성하는, 서브 영역에서 수행되는 상이한 에칭 공정으로 인해 에피택셜 소스/드레인 영역이 상이할 수 있다. 예를 들어, 도 19a에 도시된 바와 같이, 서브 영역(50P-1)의 리세스(84A)에 형성되는 에피택셜 소스/드레인 영역(82A)은 에피택시 동안 단일 에피택셜 소스/드레인 영역(82A)으로 병합되지만, 서브 영역(50P-2)의 리세스(84B)에 형성되는 에피택셜 소스/드레인 영역(82B)은 병합되지 않은 상태로 유지된다. 이러한 방식으로, 에피택셜 소스/드레인 영역(82A)은 에피택셜 소스/드레인 영역(82B)보다 큰 부피를 갖도록 형성된다.In some embodiments, a single epitaxial process can form different epitaxial source / drain regions in different sub-regions. Different etching processes performed in the sub-regions, forming a difference in the recesses (e.g., recesses 84A and 84B) or a spacer (e.g., spacers 80, 81 or 86) in the sub-regions Due to this, the epitaxial source / drain regions may be different. For example, as shown in FIG. 19A, epitaxial source / drain regions 82A formed in recesses 84A of sub-regions 50P-1 are single epitaxial source / drain regions 82A during epitaxy. ), But the epitaxial source / drain regions 82B formed in the recesses 84B of the sub-regions 50P-2 remain unmerged. In this way, epitaxial source / drain region 82A is formed to have a larger volume than epitaxial source / drain region 82B.

도 19a 및 도 19b에 도시된, 병합된 에피택셜 소스/드레인 영역(82A) 및 병합되지 않은 에피택셜 소스/드레인 영역(82B)은, 동일한 에피택셜 공정을 사용하여 상이한 서브 영역에 형성되는 상이한 에피택셜 소스/드레인 영역의 예시이며, 이러한 그리고 그 밖의 변형 또한 본 개시의 범위 내에 있는 것으로 의도된다. 다른 실시예에서, 상이한 서브 영역에 형성되는 에피택셜 소스/드레인 영역은 높이, 폭, 형상, 부피, 프로파일과 같이 다른 면에서 상이할 수 있다. 이러한 방식으로, 상이한 에피택셜 소스/드레인 영역을 갖는 FinFET 디바이스가 상이한 서브 영역에서 동일한 에피택셜 공정을 사용하여 형성될 수 있다. 예를 들어, 논리 디바이스는 제1 서브 영역(예를 들어, 서브 영역(50P-1))에 형성될 수 있고, SRAM 디바이스는 제2 서브 영역(예를 들어, 서브 영역(50P-2))에 형성될 수 있다. 이들은 예시이며 다른 유형의 디바이스도 가능하다.The merged epitaxial source / drain regions 82A and the unmerged epitaxial source / drain regions 82B, shown in FIGS. 19A and 19B, are formed in different sub-regions using the same epitaxial process. It is an illustration of the alternative source / drain regions, and such and other variations are also intended to be within the scope of the present disclosure. In other embodiments, epitaxial source / drain regions formed in different sub-regions may be different in other respects such as height, width, shape, volume, and profile. In this way, FinFET devices with different epitaxial source / drain regions can be formed using the same epitaxial process in different sub-regions. For example, a logic device may be formed in the first sub-region (eg, sub-region 50P-1), and the SRAM device may be formed in a second sub-region (eg, sub-region 50P-2). Can be formed on. These are examples and other types of devices are possible.

영역(50N), 예를 들어 NMOS 영역의 에피택셜 소스/드레인 영역(82)은, 영역(50P), 예를 들어 PMOS 영역을 마스킹하고, 영역(50N)에서 핀(52)의 소스/드레인 영역을 에칭함으로써 형성되어 핀(52)에 리세스를 형성할 수 있다. 다음으로, 영역(50N)의 에피택셜 소스/드레인 영역(82)은 리세스에서 에피택셜 성장할 수 있다. 영역(50N)의 에피택셜 소스/드레인 영역(82)은 영역(50P)에 에피택셜 소스/드레인 영역(82)을 형성하기 전 또는 후에(예를 들어, 도 19a 및 도 19b에 도시된 에피택셜 소스/드레인 영역(82A 및 82B)을 형성하기 전 또는 후에) 형성될 수 있다. 영역(50N)의 에피택셜 소스/드레인 영역(82)은 n형 FinFET에 적절한 임의의 허용 가능한 물질을 포함할 수 있다. 예를 들어, 핀(52)이 실리콘인 경우, 영역(50N)의 에피택셜 소스/드레인 영역(82)은 실리콘, SiC, SiCP, SiP 등을 포함할 수 있다. 영역(50N)의 에피택셜 소스/드레인 영역(82)은 핀(52)의 각각의 표면으로부터 상승된 표면을 가질 수 있거나, 병합되거나 병합되지 않을 수 있거나, 패싯(facet)을 가질 수 있다.The epitaxial source / drain region 82 of the region 50N, for example the NMOS region, masks the region 50P, for example the PMOS region, and the source / drain region of the pin 52 in the region 50N. Is formed by etching to form a recess in the fin 52. Next, the epitaxial source / drain regions 82 of the region 50N may be epitaxially grown in the recess. The epitaxial source / drain region 82 of the region 50N is before or after forming the epitaxial source / drain region 82 in the region 50P (e.g., epitaxial shown in FIGS. 19A and 19B). Source / drain regions 82A and 82B). The epitaxial source / drain region 82 of region 50N may include any acceptable material suitable for n-type FinFETs. For example, when the pin 52 is silicon, the epitaxial source / drain region 82 of the region 50N may include silicon, SiC, SiCP, SiP, and the like. The epitaxial source / drain regions 82 of the region 50N may have a raised surface from each surface of the fin 52, may or may not be merged, or may have facets.

일부 실시예에서, 영역(50N)은 서브 영역을 포함할 수 있고, 영역(50N)에서 에피택셜 소스/드레인 영역(82)을 형성하기 전에 별도의 서브 영역을 마스킹 및 에칭하는 다중 패터닝 공정이 사용될 수 있다. 다중 패터닝 공정은 도 12a 내지 도 18b에 기술된 바와 같은, 영역(50P)의 서브 영역(50P-1 및 50P-2)에 대해 수행된 다중 패터닝 공정과 유사할 수 있다. 이러한 방식으로, 동일한 에피택셜 공정을 사용하여 상이한 에피택셜 소스/드레인 영역이 상이한 서브 영역에 형성될 수 있고, 따라서 상이한 FinFET 디바이스(예를 들어, SRAM 디바이스, 논리 디바이스 등)가 상이한 서브 영역에 형성될 수 있다. 일부 실시예에서, 다중 패터닝 공정은 전술한 습식 세정 공정(95A 및 95B)과 유사한 하나 이상의 습식 세정 공정을 포함할 수 있다. 이러한 방식으로, 다중 패터닝 공정 동안, 실리콘 산화탄화물은 낮은 손상 가능성을 가지고 영역(50N)의 제1 스페이서(80) 및 제2 스페이서(81)에 사용될 수 있다. 일부 실시예에서, 영역(50N 또는 50P) 또는 그 서브 영역에서 에피택셜 소스/드레인 영역을 형성한 후에, 측벽 스페이서(86)가 제거될 수 있다. 측벽 스페이서(86)는 예를 들어 이방성 건식 에칭을 사용하여 제거될 수 있다.In some embodiments, region 50N may include sub-regions, and multiple patterning processes may be used to mask and etch separate sub-regions before forming epitaxial source / drain regions 82 in region 50N. You can. The multiple patterning process can be similar to the multiple patterning process performed on sub-regions 50P-1 and 50P-2 of region 50P, as described in FIGS. 12A-18B. In this way, different epitaxial source / drain regions can be formed in different sub-regions using the same epitaxial process, so different FinFET devices (e.g., SRAM devices, logic devices, etc.) are formed in different sub-regions. Can be. In some embodiments, multiple patterning processes may include one or more wet cleaning processes similar to wet cleaning processes 95A and 95B described above. In this way, during the multiple patterning process, silicon oxide carbide can be used for the first spacer 80 and the second spacer 81 in the region 50N with low probability of damage. In some embodiments, after forming the epitaxial source / drain regions in the region 50N or 50P or its sub-regions, sidewall spacers 86 may be removed. The sidewall spacers 86 can be removed using, for example, anisotropic dry etching.

경도핑 소스/드레인 영역을 형성하기 위해 앞서 논의된 공정과 유사하게, 에피택셜 소스/드레인 영역(82) 및/또는 핀(52)에 도펀트가 주입되어 소스/드레인 영역을 형성할 수 있으며, 그 후에 어닐링된다. 소스/드레인 영역은 약 1019 cm-3 내지 약 1021 cm-3의 불순물 농도를 가질 수 있다. 소스/드레인 영역에 대한 n형 및/또는 p형 불순물은 전술한 불순물 중 임의의 것일 수 있다. 일부 실시예에서, 에피택셜 소스/드레인 영역(82)은 성장하는 동안 인시츄 도핑될 수 있다.Similar to the process discussed above to form the doping source / drain regions, a dopant may be injected into the epitaxial source / drain regions 82 and / or fins 52 to form the source / drain regions, the After it is annealed. The source / drain region may have an impurity concentration of about 10 19 cm -3 to about 10 21 cm -3 . The n-type and / or p-type impurity for the source / drain region may be any of the impurities described above. In some embodiments, epitaxial source / drain regions 82 may be doped in-situ during growth.

도 20a 및 도 20b를 참조하면, ILD(88)가 영역(50N) 및 영역(50P) 위에 퇴적된다. 도 20a 및 도 20b에 도시된 구조물은 에피택셜 소스/드레인 영역(82)의 형성에 따른 예시적인 구조물이며, 설명된 처리 단계는 전술한 구조물, 실시예 또는 디바이스 중 임의의 것에 적용 가능할 수 있다. ILD(88)는 유전체 물질 또는 반도체 물질로 형성될 수 있으며, CVD, 플라즈마 강화 CVD(Plasma-Enhanced CVD; PECVD), 또는 FCVD와 같은 임의의 적절한 방법에 의해 퇴적될 수 있다. 유전체 물질은 포스포실리케이트 유리(Phosphosilicate Glass; PSG), 보로실리케이트 유리(Boro-Silicate Glass; BSG), 붕소 도핑된 포스포실리케이트 유리(Boron-doped PhosphoSilicate Glass; BPSG), 무도핑 실리케이트 유리(Undoped Silicate Glass; USG) 등을 포함할 수 있다. 반도체 물질은 비정질 실리콘, 실리콘 게르마늄(SixGe1-x, 여기서 x는 대략 0과 1 사이일 수 있음), 순수 게르마늄 등을 포함할 수 있다. 임의의 허용 가능한 공정에 의해 형성되는 다른 절연 또는 반도체 물질이 사용될 수 있다. 일부 실시예에서, 콘택트 에칭 정지층(Contact Etch Stop Layer; CESL)(87)은 ILD(88)와 에피택셜 소스/드레인 영역(82), 하드 마스크(74) 및 측벽 스페이서(86) 사이에 배치된다. CESL(87)은 실리콘 질화물, 실리콘 산화물, 실리콘 산화질화물 등과 같은 유전체 물질 또는 이들의 조합을 포함할 수 있다.20A and 20B, the ILD 88 is deposited over the regions 50N and 50P. The structures shown in FIGS. 20A and 20B are exemplary structures in accordance with the formation of epitaxial source / drain regions 82, and the described processing steps may be applicable to any of the structures, embodiments, or devices described above. ILD 88 can be formed of a dielectric material or a semiconductor material, and can be deposited by any suitable method, such as CVD, plasma-enhanced CVD (PECVD), or FCVD. Dielectric materials include Phosphosilicate Glass (PSG), Boro-Silicate Glass (BSG), Boron-doped PhosphoSilicate Glass (BPSG), Undoped Silicate Glass Glass; USG). The semiconductor material may include amorphous silicon, silicon germanium (Si x Ge 1-x , where x can be between approximately 0 and 1), pure germanium, and the like. Other insulating or semiconductive materials formed by any acceptable process can be used. In some embodiments, a contact etch stop layer (CESL) 87 is disposed between the ILD 88 and the epitaxial source / drain regions 82, hard mask 74, and sidewall spacers 86. do. The CESL 87 may include dielectric materials such as silicon nitride, silicon oxide, silicon oxynitride, or the like, or combinations thereof.

도 21a 및 도 21b에서, 더미 게이트(72)의 상부 표면과 ILD(88)의 상부 표면을 평탄화하기 위해 CMP와 같은 평탄화 공정이 수행될 수 있다. 평탄화 공정은 또한 더미 게이트(72) 상의 마스크(74)를 제거할 수 있고, 마스크(74)의 측벽을 따라 제1 스페이서(80), 제2 스페이서(81) 및 측벽 스페이서(86)의 일부를 또한 제거할 수 있다. 평탄화 공정 후에, 더미 게이트(72), 제1 스페이서(80), 제2 스페이서(81), 측벽 스페이서(86), 및 ILD(88)의 상부 표면은 수평이다. 따라서, 더미 게이트(72)의 상부 표면은 ILD(88)를 통해 노출된다.21A and 21B, a planarization process such as CMP may be performed to planarize the top surface of the dummy gate 72 and the top surface of the ILD 88. The planarization process can also remove the mask 74 on the dummy gate 72, and along the sidewalls of the mask 74, the first spacer 80, the second spacer 81, and a portion of the sidewall spacer 86 can be removed. It can also be removed. After the planarization process, the top surfaces of the dummy gate 72, the first spacer 80, the second spacer 81, the sidewall spacer 86, and the ILD 88 are horizontal. Thus, the top surface of dummy gate 72 is exposed through ILD 88.

도 22a 및 도 22b에서, 더미 게이트(72) 및 노출된 더미 게이트(72) 바로 아래에 위치하는 더미 유전체층(60)의 일부가 하나 이상의 에칭 단계에서 제거되어, 리세스(90)가 형성된다. 일부 실시예에서, 더미 게이트(72)는 이방성 건식 에칭 공정에 의해 제거된다. 예를 들어, 에칭 공정은 ILD(88) 또는 게이트 스페이서(86)를 에칭하지 않고 더미 게이트(72)를 선택적으로 에칭하는 하나 이상의 공정 가스를 사용하는 건식 에칭 공정을 포함할 수 있다. 각각의 리세스(90)는 각각의 핀(52)의 채널 영역을 노출시킨다. 각각의 채널 영역(58)은 에피택셜 소스/드레인 영역(82)의 이웃하는 쌍들 사이에 배치된다. 제거하는 동안, 더미 게이트(72)가 에칭될 때 더미 유전체층(60)이 에칭 정지층으로 사용될 수 있다. 더미 유전체층(60)은 더미 게이트(72)의 제거 후에 선택적으로 제거될 수 있다.22A and 22B, a portion of the dummy gate 72 and a portion of the dummy dielectric layer 60 located immediately below the exposed dummy gate 72 is removed in one or more etching steps, thereby forming a recess 90. In some embodiments, dummy gate 72 is removed by an anisotropic dry etch process. For example, the etching process may include a dry etching process that uses one or more process gases to selectively etch the dummy gate 72 without etching the ILD 88 or gate spacer 86. Each recess 90 exposes the channel region of each fin 52. Each channel region 58 is disposed between neighboring pairs of epitaxial source / drain regions 82. During removal, the dummy dielectric layer 60 may be used as an etch stop layer when the dummy gate 72 is etched. The dummy dielectric layer 60 may be selectively removed after the dummy gate 72 is removed.

도 23a 및 도 23b에서, 일부 실시예에 따라, 게이트 유전체층(92) 및 게이트 전극(94)이 대체 게이트를 위해 형성된다. 표시된 바와 같이, 도 24는 도 23b의 상세도를 도시한다. 게이트 유전체층(92)은, 핀(52)의 상부 표면 및 측벽 상에 그리고 제1 스페이서(80)의 측벽 상에 등의 리세스(90)에 컨포멀하게 퇴적된다. 게이트 유전체층(92)은 또한 제1 ILD(88)의 상부 표면에 형성될 수 있다. 일부 실시예에 따르면, 게이트 유전체층(92)은 실리콘 산화물, 실리콘 질화물 또는 이들의 다층을 포함한다. 일부 실시예에서, 게이트 유전체층(92)은 고-k 유전체 물질이고, 이들 실시예에서, 게이트 유전체층(92)은 약 7.0보다 큰 k 값을 가질 수 있고, Hf, Al, Zr, La, Mg, Ba, Ti, Pb의 금속 산화물 또는 실리케이트 및 이들의 조합을 포함할 수 있다. 게이트 유전체층(92)의 형성 방법은 원자 빔 퇴적(Molecular-Beam Deposition; MBD), ALD, PECVD 등을 포함할 수 있다. 더미 게이트 유전체(60)의 일부가 리세스(90)에 남아있는 실시예에서, 게이트 유전체층(92)은 더미 게이트 유전체(60)의 물질(예를 들어, 실리콘 산화물)을 포함한다.23A and 23B, in accordance with some embodiments, gate dielectric layer 92 and gate electrode 94 are formed for an alternate gate. As indicated, FIG. 24 shows a detailed view of FIG. 23B. The gate dielectric layer 92 is conformally deposited on the recess 90, such as on the top surface and sidewalls of the fins 52 and on the sidewalls of the first spacers 80. Gate dielectric layer 92 may also be formed on the top surface of first ILD 88. According to some embodiments, the gate dielectric layer 92 includes silicon oxide, silicon nitride, or multilayers thereof. In some embodiments, the gate dielectric layer 92 is a high-k dielectric material, and in these embodiments, the gate dielectric layer 92 can have a k value greater than about 7.0, Hf, Al, Zr, La, Mg, Metal oxides or silicates of Ba, Ti, and Pb, and combinations thereof. The method of forming the gate dielectric layer 92 may include atomic beam deposition (MBD), ALD, PECVD, or the like. In an embodiment where a portion of the dummy gate dielectric 60 remains in the recess 90, the gate dielectric layer 92 comprises a material (eg, silicon oxide) of the dummy gate dielectric 60.

게이트 전극(94)은 각각 게이트 유전체층(92) 위에 퇴적되고, 리세스(90)의 나머지 부분을 채운다. 게이트 전극(94)은 TiN, TiO, TaN, TaC, Co, Ru, Al, W와 같은 금속 함유 물질, 이들의 조합, 또는 이들의 다중층일 수 있다. 예를 들어, 단일층 게이트 전극(94)이 도 23b에 도시되어 있지만, 게이트 전극(94)은 도 24에 도시된 바와 같이 임의의 수의 라이너층(94A), 임의의 수의 일 함수 조정층(94B) 및 충전 물질(94C)을 포함할 수 있다. 게이트 전극(94)의 충전 후에, CMP와 같은 평탄화 공정이 수행되어 게이트 전극(94)의 물질 및 게이트 유전체층(92)의 과잉 부분을 제거할 수 있으며, 이러한 과잉 부분은 ILD(88)의 상부 표면 위에 있다. 게이트 전극(94) 및 게이트 유전체층(92)의 물질의 나머지 부분은 결과적인 FinFET의 대체 게이트를 형성한다. 게이트 전극(94) 및 게이트 유전체층(92)은 총괄적으로 "게이트 스택"으로 지칭될 수 있다. 게이트 및 게이트 스택은 핀(52)의 채널 영역(58)의 측벽을 따라 연장될 수 있다.Each of the gate electrodes 94 is deposited on the gate dielectric layer 92 and fills the rest of the recess 90. The gate electrode 94 may be a metal-containing material such as TiN, TiO, TaN, TaC, Co, Ru, Al, W, combinations thereof, or multiple layers thereof. For example, although the single layer gate electrode 94 is shown in FIG. 23B, the gate electrode 94 can be any number of liner layers 94A, any number of work function adjustment layers as shown in FIG. 94B and a filling material 94C. After filling the gate electrode 94, a planarization process such as CMP can be performed to remove the material of the gate electrode 94 and the excess portion of the gate dielectric layer 92, which excess portion is the top surface of the ILD 88 Up there. The remainder of the material of gate electrode 94 and gate dielectric layer 92 forms the replacement gate of the resulting FinFET. The gate electrode 94 and the gate dielectric layer 92 may be collectively referred to as a “gate stack”. The gate and gate stack can extend along sidewalls of the channel region 58 of the fin 52.

영역(50N) 및 영역(50P)에서의 게이트 유전체층(92)의 형성은, 각 영역에서 게이트 유전체층(92)이 동일한 물질로 형성되도록 동시에 일어날 수 있으며, 게이트 전극(94)의 형성은, 각 영역의 게이트 전극(94)이 동일한 물질로 형성되도록 동시에 일어날 수 있다. 일부 실시예에서, 각 영역의 게이트 유전체층(92)이 별개의 공정에 의해 형성되어, 게이트 유전체층(92)은 상이한 물질일 수 있고, 그리고/또는 각 영역의 게이트 전극(94)이 별개의 공정에 의해 형성되어, 게이트 전극(94)은 상이한 물질일 수 있다. 별개의 공정을 사용할 때 적절한 영역을 마스킹하고 노출시키기 위해 다양한 마스킹 단계가 사용될 수 있다.The formation of the gate dielectric layer 92 in the region 50N and the region 50P may occur simultaneously so that the gate dielectric layer 92 is formed of the same material in each region, and the formation of the gate electrode 94 is performed in each region. Can occur simultaneously so that the gate electrode 94 of is formed of the same material. In some embodiments, the gate dielectric layer 92 in each region is formed by a separate process, such that the gate dielectric layer 92 can be a different material, and / or the gate electrode 94 in each region is in a separate process. Formed by, the gate electrode 94 may be of a different material. Various masking steps can be used to mask and expose the appropriate area when using separate processes.

도 25a 및 25b에서, ILD(108)는 ILD(88) 위에 퇴적된다. 일 실시예에서, ILD(108)는 유동성 CVD 방법에 의해 형성되는 유동성 필름이다. 일부 실시예에서, ILD(108)는 PSG, BSG, BPSG, USG 등과 같은 유전체 물질로 형성되고, CVD, PE-CVD 등과 같은 임의의 적절한 방법에 의해 퇴적될 수 있다.25A and 25B, ILD 108 is deposited over ILD 88. In one embodiment, ILD 108 is a flowable film formed by a flowable CVD method. In some embodiments, ILD 108 is formed of a dielectric material such as PSG, BSG, BPSG, USG, etc., and can be deposited by any suitable method, such as CVD, PE-CVD, and the like.

도 26a 및 도 26b에서, 일부 실시예에 따라, 콘택트(110 및 112)는 ILD(108) 및 ILD(88)를 통해 형성된다. 일부 실시예에서, 콘택트(112)가 형성되기 전에 에피택셜 소스/드레인 영역(82) 및 콘택트(112) 사이의 계면에 실리사이드를 형성하도록 어닐링 공정이 수행될 수 있다. 콘택트(110)는 게이트 전극(94)에 물리적으로 그리고 전기적으로 연결되고, 콘택트(112)는 에피택셜 소스/드레인 영역(82)에 물리적으로 그리고 전기적으로 연결된다. 도 26a 및 도 26b는 동일한 단면의 콘택트(110 및 112)를 도시한다. 그러나, 다른 실시예에서, 콘택트(110 및 112)는 상이한 단면에 배치될 수 있다. 또한, 도 26a 및 도 26b의 콘택트(110 및 112)의 위치는 단지 예시적인 것이며 어떤 식으로든 제한하려는 것은 아니다. 예를 들어, 콘택트(110)는 도시된 바와 같이 핀(52)과 수직으로 정렬될 수 있거나, 게이트 전극(94) 상의 상이한 위치에 배치될 수 있다. 또한, 콘택트(112)는 콘택트(110)를 형성하기 전에, 동시에 또는 후에 형성될 수 있다.26A and 26B, according to some embodiments, contacts 110 and 112 are formed through ILD 108 and ILD 88. In some embodiments, an annealing process may be performed to form a silicide at the interface between epitaxial source / drain region 82 and contact 112 before contact 112 is formed. The contact 110 is physically and electrically connected to the gate electrode 94, and the contact 112 is physically and electrically connected to the epitaxial source / drain region 82. 26A and 26B show contacts 110 and 112 of the same cross section. However, in other embodiments, the contacts 110 and 112 may be disposed in different cross sections. In addition, the locations of the contacts 110 and 112 in FIGS. 26A and 26B are merely exemplary and are not intended to be limiting in any way. For example, the contact 110 can be vertically aligned with the pin 52 as shown, or can be placed at a different location on the gate electrode 94. Further, the contacts 112 may be formed before, simultaneously, or after forming the contacts 110.

도 27을 참조하면, 그래프는 실리콘 산화탄화물 물질로 형성되는 제1 스페이서(80) 및 제2 스페이서(81)에 존재하는 탄소 농도의 측정 실험 데이터를 도시한다. 도 27은 단계(A, B, C 및 D)로 지정된 상이한 처리 단계 후에 측정된 탄소 농도를 보여준다. 도 27에서, 포인트(125A 내지 125D)는 제1 샘플의 탄소 농도를 나타내고, 포인트(126A 내지 126D)는 제2 샘플의 탄소 농도를 나타내며, 포인트(127A 내지 127D)는 제3 샘플의 탄소 농도를 나타낸다. 아래에 더 상세히 설명되는 바와 같이, 제1 샘플(포인트(125A 내지 125D)) 및 제2 샘플(포인트(126A 내지 126D))을 세정하는 데 제1 습식 세정 공정(95A) 및 제2 습식 세정 공정(95B)이 사용되지만, 제3 샘플(포인트(127A 내지 127D))을 세정하는 데 산소 플라즈마 공정이 사용된다. 처리 단계(A)는 제1 스페이서(80) 및 제2 스페이서(81)의 형성 후의 단계에 대응하고, 이러한 포인트(125A, 126A 및 127A)는 샘플의 초기 탄소 농도를 나타낸다(예를 들어, 도 11a 및 도 11b에서와 같이).Referring to FIG. 27, the graph shows experimental data of measurement of the carbon concentration present in the first spacer 80 and the second spacer 81 formed of a silicon carbide material. Figure 27 shows the carbon concentrations measured after different treatment steps designated as steps (A, B, C and D). In FIG. 27, points 125A to 125D indicate the carbon concentration of the first sample, points 126A to 126D indicate the carbon concentration of the second sample, and points 127A to 127D indicate the carbon concentration of the third sample. Shows. As described in more detail below, the first wet cleaning process 95A and the second wet cleaning process are used to clean the first sample (points 125A to 125D) and the second sample (points 126A to 126D). (95B) is used, but an oxygen plasma process is used to clean the third sample (points 127A to 127D). The processing step (A) corresponds to the step after the formation of the first spacer 80 and the second spacer 81, and these points 125A, 126A and 127A represent the initial carbon concentration of the sample (eg, FIG. 11a and 11b).

처리 단계(B)는 도 12a 내지 18b에 설명된 2P2E 다중 패터닝 공정이 수행된 후의 단계에 대응한다. 그러나, 제1 샘플(포인트(125A 내지 125D)) 및 제2 샘플(포인트(126A 내지 126D))은 전술한 제1 습식 세정 공정(95A) 및 제2 습식 세정 공정(95B)을 사용한 반면, 제3 샘플(포인트(127A 내지 127D))은 제1 습식 세정 공정(95A) 및 제2 습식 세정 공정(95B) 대신 별도의 산소 플라즈마 공정을 사용하였다. 포인트(125B 및 126B)에 의해 도시된 바와 같이, 제1 샘플 및 제2 샘플에 수행된 습식 세정 공정(95A 및 95B)은 제1 및 제2 샘플의 제1 스페이서(80) 및 제2 스페이서(81)의 탄소 농도를 초기 탄소 농도(포인트(125A 및 126A))의 약 50%로 감소시켰다. 포인트(127B)에 의해 도시된 바와 같이, 제3 샘플에 수행된 산소 플라즈마 처리는 제1 스페이서(80) 및 제2 스페이서(81)의 탄소 농도를 초기 탄소 농도(포인트(127A))의 약 10% 미만으로 감소시켰다. 탄소 농도의 감소는 산소 플라즈마 공정으로 인한 제1 스페이서(80) 및 제2 스페이서(81)에 대한 손상의 증가를 나타낸다. 따라서, 도 27은 습식 세정 공정(95A 및 95B)의 사용이 다른 유형의 세정 공정보다 실리콘 산화탄화물 물질의 탄소 농도를 덜 감소시킬 수 있음을 보여준다. 도 27에 도시된 데이터는 예시적인 예이며, 습식 세정 공정(95A 및 95B)의 사용은 다른 경우에 탄소 농도를 더 크게 또는 더 적게 감소시킬 수 있다.The processing step (B) corresponds to a step after the 2P2E multiple patterning process described in FIGS. 12A to 18B is performed. However, the first sample (points 125A to 125D) and the second sample (points 126A to 126D) used the above-described first wet cleaning process 95A and second wet cleaning process 95B, whereas the first sample For the 3 samples (points 127A to 127D), separate oxygen plasma processes were used instead of the first wet cleaning process 95A and the second wet cleaning process 95B. As shown by points 125B and 126B, wet cleaning processes 95A and 95B performed on the first sample and the second sample include first and second spacers 80 and second spacers of the first and second samples ( The carbon concentration of 81) was reduced to about 50% of the initial carbon concentration (points 125A and 126A). As shown by point 127B, the oxygen plasma treatment performed on the third sample causes the carbon concentration of the first spacer 80 and the second spacer 81 to be about 10 of the initial carbon concentration (point 127A). %. The reduction in carbon concentration indicates an increase in damage to the first spacer 80 and the second spacer 81 due to the oxygen plasma process. Thus, FIG. 27 shows that the use of wet cleaning processes 95A and 95B can reduce the carbon concentration of silicon carbide materials less than other types of cleaning processes. The data shown in FIG. 27 is an illustrative example, and the use of wet cleaning processes 95A and 95B can reduce carbon concentrations to a greater or lesser extent in other cases.

처리 단계(C)는 도 19a 및 도 19b에 기술된 바와 같은 사전 세정 공정이 수행되기 전의 단계에 해당한다. 도시된 바와 같이, 제1 샘플(포인트(125C)), 제2 샘플(포인트(126C)) 및 제3 샘플(포인트(127C))은 처리 단계(B)에서와 대략 동일한 탄소 농도를 유지한다. 처리 단계(D)는 도 19a 및 도 19b에 기술된 바와 같은 에피택셜 소스/드레인 영역(82A 및 82B)이 형성되기 이전 단계에 해당한다. 도시된 바와 같이, 제1 샘플(포인트(125D)), 제2 샘플(포인트(126D)) 및 제3 샘플(포인트(127D))은 처리 단계(B) 및 처리 단계(C)와 대략 동일한 탄소 농도를 유지한다. 따라서, 일부 경우에, 추가 처리는 습식 세정 공정(95A 및 95B)을 수행한 후에 탄소 농도를 추가로 감소시키지 않는다.The treatment step (C) corresponds to the step before the pre-cleaning process as described in FIGS. 19A and 19B is performed. As shown, the first sample (point 125C), the second sample (point 126C), and the third sample (point 127C) maintain approximately the same carbon concentration as in the treatment step (B). The processing step (D) corresponds to the step before the epitaxial source / drain regions 82A and 82B are formed as described in FIGS. 19A and 19B. As shown, the first sample (point 125D), the second sample (point 126D) and the third sample (point 127D) are approximately the same carbon as the treatment step (B) and treatment step (C). Maintain concentration. Thus, in some cases, the further treatment does not further reduce the carbon concentration after performing the wet cleaning processes 95A and 95B.

본 개시에 기술된 실시예는 이점을 달성할 수 있다. 황산과 과산화수소의 가열된 혼합물을 포함하는 습식 세정 공정을 사용함으로써, 실리콘 산화탄화물 물질은 실리콘 산화탄화물 물질에 대한 낮은 손상 위험을 가지고 FinFET 디바이스의 일부로서 사용될 수 있다. 예를 들어, 실리콘 산화탄화물 물질은 처리 동안 더미 게이트의 측벽 상에 형성되는 하나, 둘 또는 그 이상의 스페이서에 사용될 수 있다. 실리콘 산화탄화물은 비교적 낮은 유전 상수를 가지므로, FinFET 디바이스에서 (예를 들어, 스페이서의 물질로서) 실리콘 산화탄화물을 사용하면 FinFET 디바이스의 기생 커패시턴스를 감소시킬 수 있다. 예를 들어, 금속 게이트와 소스/드레인 콘택트 사이의 기생 커패시턴스가 감소될 수 있다. 기생 커패시턴스를 줄임으로써, FinFET 디바이스의 성능, 특히 고주파수 작동에서 성능을 향상시킬 수 있다. 또한, 본 개시에 기술된 습식 세정 공정 혼합물의 사용은 다중 패터닝 기술에 더하여 실리콘 산화탄화물이 보다 신뢰성 있게 사용될 수 있도록 한다. 예를 들어, 다중 패터닝은, 상이한 디바이스들에 대한 선택적 마스킹 및 상이한 에칭 공정들을 사용함으로써, 상이한 에피택셜 영역을 갖는 디바이스를 동일한 에피택셜 단계를 사용하여 형성하는데 사용될 수 있다. 이는 실리콘 산화탄화물 사용의 이점을 제공하는 동시에, 전반적인 처리 단계를 줄이고, 처리 효율을 개선하며, 제조 비용을 절감할 수 있다.The embodiments described in this disclosure can achieve this. By using a wet cleaning process comprising a heated mixture of sulfuric acid and hydrogen peroxide, silicon carbide material can be used as part of the FinFET device with a low risk of damage to the silicon oxide material. For example, silicon oxide carbide materials can be used in one, two or more spacers formed on the sidewalls of the dummy gate during processing. Since silicon carbide has a relatively low dielectric constant, the use of silicon carbide (eg, as a material for spacers) in FinFET devices can reduce the parasitic capacitance of the FinFET device. For example, the parasitic capacitance between the metal gate and the source / drain contact can be reduced. By reducing parasitic capacitance, it is possible to improve the performance of FinFET devices, especially in high frequency operation. In addition, the use of the wet cleaning process mixtures described in this disclosure allows silicon carbide to be used more reliably in addition to multiple patterning techniques. For example, multiple patterning can be used to form devices having different epitaxial regions using the same epitaxial step, by using selective masking and different etching processes for different devices. This can provide the advantage of using silicon carbide, while reducing the overall processing steps, improving processing efficiency, and reducing manufacturing costs.

일 실시예에서, 방법은, 기판 위에 제1 핀 및 제2 핀을 형성하는 단계, 제1 핀 위에 제1 더미 게이트 구조물을 형성하고 제2 핀 위에 제2 더미 게이트 구조물을 형성하는 단계, 제1 핀 상에, 제2 핀 상에, 제1 더미 게이트 구조물 상에, 그리고 제2 더미 게이트 구조물 상에 실리콘 산화탄화물 물질의 제1층을 퇴적하는 단계, 실리콘 산화탄화물 물질의 제1층을 통해 제1 핀 내에 그리고 제2 핀 내에 불순물을 주입하는 단계, 불순물을 주입한 후에, 실리콘 산화탄화물 물질의 제1층 위에 실리콘 산화탄화물 물질의 제2층을 퇴적하는 단계, 실리콘 산화탄화물 물질의 제2층을 퇴적한 후에, 제1 핀 및 제2 핀에 습식 세정 공정을 수행하는 단계, 제2 핀 및 제2 더미 게이트 구조물 위에 제1 마스크를 형성하는 단계, 제1 더미 게이트 구조물에 인접한 제1 핀을 리세싱하여, 제1 핀 내에 제1 리세스를 형성하는 단계, 제1 핀을 리세싱한 후에, 제1 핀 및 제2 핀에 습식 세정 공정을 수행하는 단계, 제1 핀 및 제1 더미 게이트 구조물 위에 제2 마스크를 형성하는 단계, 제2 더미 게이트 구조물에 인접한 제2 핀을 리세싱하여, 제2 핀 내에 제2 리세스를 형성하는 단계, 및 에피택시 공정을 수행하여, 제1 리세스 내에 제1 에피택셜 소스/드레인 영역을 그리고 제2 리세스 내에 제2 에피택셜 소스/드레인 영역을 동시에 형성하는 단계를 포함한다. 일 실시예에서, 방법은, 실리콘 산화탄화물 물질의 제1층에 이방성 에칭 공정을 수행하여, 제1 더미 게이트 구조물 상에 제1 스페이서를 형성하고, 실리콘 산화탄화물 물질의 제2층에 이방성 에칭 공정을 수행하여, 제2 더미 게이트 구조물 상에 제2 스페이서를 형성하는 단계를 포함한다. 일 실시예에서, 실리콘 산화탄화물 물질의 제1층은 실리콘 산화탄화물 물질의 제2층보다 불순물 농도가 더 높다. 일 실시예에서, 습식 세정 공정은, 황산과 과산화수소의 가열된 혼합물을 사용하는 것을 포함한다. 일 실시예에서, 황산과 과산화수소의 혼합물은 2:1과 5:1 사이의 몰비로 혼합된다. 일 실시예에서, 가열된 혼합물은 80℃와 180℃ 사이의 온도이다. 일 실시예에서, 방법은, 실리콘 산화탄화물 물질의 제2층 위에 측벽 스페이서를 형성하는 단계를 포함하며, 측벽 스페이서는 실리콘 산화탄화물 물질과는 상이한 유전체 물질을 포함한다. 일 실시예에서, 적어도 두 개의 제1 에피택셜 소스/드레인 영역이 서로 병합된다. 일 실시예에서, 제1 리세스는 제1 깊이를 갖고 제2 리세스는 제1 깊이와는 상이한 제2 깊이를 갖는다.In one embodiment, a method includes forming a first fin and a second fin over a substrate, forming a first dummy gate structure over a first fin and forming a second dummy gate structure over a second fin, a first Depositing a first layer of silicon carbide material on the fin, on the second fin, on the first dummy gate structure, and on the second dummy gate structure, through the first layer of silicon oxide material Injecting impurities into the first fin and into the second fin, after implanting the impurities, depositing a second layer of silicon carbide material over the first layer of silicon oxide material, the second layer of silicon oxide carbide material After depositing, performing a wet cleaning process on the first fin and the second fin, forming a first mask on the second fin and the second dummy gate structure, and forming a first fin adjacent to the first dummy gate structure. By recessing, Forming a first recess in the first fin, after recessing the first fin, performing a wet cleaning process on the first fin and the second fin, a second mask over the first fin and the first dummy gate structure Forming, recessing a second fin adjacent to the second dummy gate structure, forming a second recess in the second fin, and performing an epitaxy process to perform a first epitaxial in the first recess And simultaneously forming a source / drain region and a second epitaxial source / drain region in the second recess. In one embodiment, the method performs an anisotropic etching process on a first layer of silicon oxide material, forming a first spacer on the first dummy gate structure, and anisotropic etching process on a second layer of silicon oxide material And forming a second spacer on the second dummy gate structure. In one embodiment, the first layer of silicon oxide material has a higher impurity concentration than the second layer of silicon oxide material. In one embodiment, the wet cleaning process includes using a heated mixture of sulfuric acid and hydrogen peroxide. In one embodiment, the mixture of sulfuric acid and hydrogen peroxide is mixed in a molar ratio between 2: 1 and 5: 1. In one embodiment, the heated mixture is at a temperature between 80 ° C and 180 ° C. In one embodiment, the method includes forming a sidewall spacer over the second layer of silicon carbide material, the sidewall spacer comprising a dielectric material different from the silicon oxide material. In one embodiment, at least two first epitaxial source / drain regions are merged with each other. In one embodiment, the first recess has a first depth and the second recess has a second depth different from the first depth.

일 실시예에서, 방법은, 기판을 패터닝하여, 다수의 제1 핀 및 다수의 제2 핀을 형성하는 단계, 다수의 제1 핀 상에 다수의 제1 더미 게이트 구조물을 형성하는 단계, 다수의 제2 핀 상에 다수의 제2 더미 게이트 구조물을 형성하는 단계, 다수의 제1 더미 게이트 구조물 상에 다수의 제1 스페이서 구조물을 형성하는 단계, 다수의 제2 더미 게이트 구조물 상에 다수의 제2 스페이서 구조물을 형성하는 단계로서, 다수의 제1 스페이서 구조물 및 다수의 제2 스페이서 구조물은 저-k 유전체 물질을 포함하는, 다수의 제2 스페이서 구조물을 형성하는 단계, 다수의 제1 핀 내에 제1 리세스를 형성하는 단계로서, 제1 습식 디스컴(descum) 공정을 수행하는 단계, 및 제1 이방성 에칭 공정을 수행하여, 다수의 제1 핀 내에 제1 리세스를 형성하는 단계를 포함하는 제1 리세스를 형성하는 단계, 다수의 제1 핀 내에 제1 리세스를 형성한 후에, 다수의 제2 핀 내에 제2 리세스를 형성하는 단계로서, 제2 습식 디스컴 공정을 수행하는 단계, 및 제2 이방성 에칭 공정을 수행하여, 다수의 제2 핀 내에 제2 리세스를 형성하는 단계를 포함하는 제2 리세스를 형성하는 단계, 및 제1 리세스 내에 제1 소스/드레인 구조물을 에피택셜 성장시키고 제2 리세스 내에 제2 소스/드레인 구조물을 에피택셜 성장시키는 단계를 포함한다. 일 실시예에서, 제1 소스/드레인 구조물 및 제2 소스/드레인 구조물은 동일한 에피택셜 성장 공정에 의해 동시에 형성된다. 일 실시예에서, 제1 이방성 에칭 공정은 제2 이방성 에칭 공정과는 상이하다. 일 실시예에서, 저-k 유전체 물질은 실리콘 산화탄화물이다. 일 실시예에서, 다수의 제1 스페이서 구조물을 형성하는 단계는, 제1 퇴적 공정을 사용하여 저-k 유전체 물질의 제1층을 퇴적하는 단계, 저-k 유전체 물질의 제1층에 주입 공정을 수행하는 단계, 및 주입 공정을 수행한 후에, 제2 퇴적 공정을 사용하여 저-k 유전체 물질의 제2층을 퇴적하는 단계를 포함한다. 일 실시예에서, 제1 습식 디스컴 공정을 수행하는 단계는, 80℃와 180℃ 사이의 온도로 황산과 과산화수소의 혼합물을 가열하는 단계를 포함한다. 일 실시예에서, 제1 소스/드레인 구조물은 제2 소스/드레인 구조물보다 더 큰 부피를 갖는다. 일 실시예에서, 제1 이방성 에칭 공정은, 제2 이방성 에칭 공정이 다수의 제2 스페이서 구조물을 에칭하는 것보다, 다수의 제1 스페이서 구조물을 더 에칭한다.In one embodiment, a method includes patterning a substrate to form a plurality of first fins and a plurality of second fins, forming a plurality of first dummy gate structures on the plurality of first fins, a plurality of Forming a plurality of second dummy gate structures on the second fin, forming a plurality of first spacer structures on the plurality of first dummy gate structures, and a plurality of second on the plurality of second dummy gate structures Forming a spacer structure, the plurality of first spacer structures and the plurality of second spacer structures comprising a low-k dielectric material, forming a plurality of second spacer structures, the first in the plurality of first fins A step of forming a recess, comprising: performing a first wet descum process, and performing a first anisotropic etching process to form a first recess in the plurality of first fins. 1 recess Forming, forming a first recess in the plurality of first fins, and then forming a second recess in the plurality of second fins, performing a second wet discom process, and a second anisotropy Performing an etch process to form a second recess comprising forming a second recess in the plurality of second fins, and epitaxially growing the first source / drain structure in the first recess and removing the second recess. And epitaxially growing the second source / drain structure in the two recesses. In one embodiment, the first source / drain structure and the second source / drain structure are simultaneously formed by the same epitaxial growth process. In one embodiment, the first anisotropic etching process is different from the second anisotropic etching process. In one embodiment, the low-k dielectric material is silicon oxide carbide. In one embodiment, forming the plurality of first spacer structures comprises depositing a first layer of low-k dielectric material using a first deposition process, and implanting a first layer of low-k dielectric material And after performing the implantation process, depositing a second layer of low-k dielectric material using a second deposition process. In one embodiment, performing the first wet descum process includes heating a mixture of sulfuric acid and hydrogen peroxide to a temperature between 80 ° C and 180 ° C. In one embodiment, the first source / drain structure has a larger volume than the second source / drain structure. In one embodiment, the first anisotropic etch process etches the plurality of first spacer structures more than the second anisotropic etch process etches the plurality of second spacer structures.

일 실시예에서, 방법은, 기판으로부터 연장되는 제1 핀을 형성하는 단계, 제1 핀 위에 그리고 제1 핀의 측벽을 따라 제1 게이트 스택을 형성하는 단계, 제1 게이트 스택의 측벽을 따라 제1 스페이서를 형성하는 단계로서, 제1 스페이서는 실리콘 산화탄화물의 제1 조성물을 포함하는, 제1 스페이서를 형성하는 단계, 제1 스페이서의 측벽을 따라 제2 스페이서를 형성하는 단계로서, 제2 스페이서는 실리콘 산화탄화물의 제2 조성물을 포함하는, 제2 스페이서를 형성하는 단계, 제2 스페이서의 측벽을 따라 제3 스페이서를 형성하는 단계로서, 제3 스페이서는 실리콘 질화물을 포함하는, 제3 스페이서를 형성하는 단계, 및 제1 핀 내에 그리고 제3 스페이서에 인접하게 제1 에피택셜 소스/드레인 영역을 형성하는 단계를 포함한다. 일 실시예에서, 방법은, 기판으로부터 연장되는 제2 핀을 형성하는 단계, 제2 핀 위에 그리고 제2 핀의 측벽을 따라 제2 게이트 스택을 형성하는 단계, 제2 게이트 스택의 측벽을 따라 제4 스페이서를 형성하는 단계로서, 제4 스페이서는 실리콘 산화탄화물의 제1 조성물을 포함하는, 제4 스페이서를 형성하는 단계, 제4 스페이서의 측벽을 따라 제5 스페이서를 형성하는 단계로서, 제5 스페이서는 실리콘 산화탄화물의 제2 조성물을 포함하는, 제5 스페이서를 형성하는 단계, 제5 스페이서의 측벽을 따라 제6 스페이서를 형성하는 단계로서, 제6 스페이서는 실리콘 질화물을 포함하는, 제6 스페이서를 형성하는 단계, 및 제2 핀 내에 그리고 제6 스페이서에 인접하게 제2 에피택셜 소스/드레인 영역을 형성하는 단계를 포함하며, 제2 에피택셜 소스/드레인 영역은 제1 에피택셜 소스/드레인 영역과는 상이한 부피를 갖는다. 일 실시예에서, 제1 핀은 실리콘 게르마늄을 포함한다.In one embodiment, a method includes forming a first fin extending from a substrate, forming a first gate stack over the first fin and along a sidewall of the first fin, along a sidewall of the first gate stack Forming a first spacer, the first spacer comprising a first composition of silicon oxide, forming a first spacer, forming a second spacer along a sidewall of the first spacer, and a second spacer Forming a second spacer comprising a second composition of silicon oxide, forming a third spacer along the sidewalls of the second spacer, wherein the third spacer comprises a silicon nitride, Forming, and forming a first epitaxial source / drain region within the first fin and adjacent to the third spacer. In one embodiment, the method includes forming a second fin extending from the substrate, forming a second gate stack over the second fin and along a sidewall of the second fin, along a sidewall of the second gate stack Forming a fourth spacer, the fourth spacer comprising a first composition of silicon oxide, forming a fourth spacer, forming a fifth spacer along the sidewall of the fourth spacer, and a fifth spacer Forming a fifth spacer comprising a second composition of silicon oxide, forming a sixth spacer along sidewalls of the fifth spacer, the sixth spacer comprising a silicon nitride, Forming, and forming a second epitaxial source / drain region within the second fin and adjacent to the sixth spacer, the second epitaxial source / drain region comprising 1 has a different volume and the epitaxial source / drain regions. In one embodiment, the first fin comprises silicon germanium.

전술한 바는 몇몇 실시예의 피처를 개략적으로 설명하여 당업자가 본 개시의 양태를 더 잘 이해할 수 있도록 한다. 당업자는 본 개시에서 소개하는 실시예와 동일한 목적을 수행하고/하거나 동일한 장점을 달성하기 위한 다른 공정 및 구조물을 디자인 또는 변경하기 위한 기초로서 본 개시를 용이하게 사용할 수 있다는 것을 이해할 것이다. 당업자는 또한 이러한 균등 구성이 본 개시의 사상 및 범위를 벗어나지 않는다는 것과, 그들이 본 개시의 사상 및 범위를 벗어나지 않고 다양한 변경, 치환 및 변형을 행할 수 있음을 알 것이다.The foregoing outlines features of some embodiments to enable those skilled in the art to better understand aspects of the present disclosure. Those skilled in the art will understand that the present disclosure can be readily used as a basis for designing or modifying other processes and structures to accomplish the same purpose and / or achieve the same advantages as the embodiments introduced in the present disclosure. Those skilled in the art will also appreciate that such equivalent arrangements do not depart from the spirit and scope of the present disclosure, and that they can make various changes, substitutions, and modifications without departing from the spirit and scope of the present disclosure.

<부기><Bookkeeping>

1. 방법에 있어서, 1. In the method,

기판 위에 제1 핀 및 제2 핀을 형성하는 단계; Forming a first fin and a second fin on the substrate;

상기 제1 핀 위에 제1 더미 게이트 구조물을 형성하고 상기 제2 핀 위에 제2 더미 게이트 구조물을 형성하는 단계; Forming a first dummy gate structure on the first fin and a second dummy gate structure on the second fin;

상기 제1 핀 상에, 상기 제2 핀 상에, 상기 제1 더미 게이트 구조물 상에, 그리고 상기 제2 더미 게이트 구조물 상에 실리콘 산화탄화물 물질의 제1층을 퇴적하는 단계; Depositing a first layer of silicon carbide material on the first fin, on the second fin, on the first dummy gate structure, and on the second dummy gate structure;

상기 실리콘 산화탄화물 물질의 제1층을 통해 상기 제1 핀 내에 그리고 상기 제2 핀 내에 불순물을 주입하는 단계; Injecting impurities into the first fin and into the second fin through the first layer of silicon carbide material;

불순물을 주입한 후에, 상기 실리콘 산화탄화물 물질의 제1층 위에 실리콘 산화탄화물 물질의 제2층을 퇴적하는 단계; Depositing a second layer of silicon oxide material over the first layer of silicon oxide material after implanting impurities;

상기 실리콘 산화탄화물 물질의 제2층을 퇴적한 후에, 상기 제1 핀 및 상기 제2 핀에 습식 세정 공정을 수행하는 단계; After depositing a second layer of the silicon carbide material, performing a wet cleaning process on the first fin and the second fin;

상기 제2 핀 및 상기 제2 더미 게이트 구조물 위에 제1 마스크를 형성하는 단계; Forming a first mask over the second fin and the second dummy gate structure;

상기 제1 더미 게이트 구조물에 인접한 상기 제1 핀을 리세싱하여, 상기 제1 핀 내에 제1 리세스를 형성하는 단계; Recessing the first fin adjacent to the first dummy gate structure to form a first recess in the first fin;

상기 제1 핀을 리세싱한 후에, 상기 제1 핀 및 상기 제2 핀에 상기 습식 세정 공정을 수행하는 단계; After recessing the first fin, performing the wet cleaning process on the first fin and the second fin;

상기 제1 핀 및 상기 제1 더미 게이트 구조물 위에 제2 마스크를 형성하는 단계; Forming a second mask over the first fin and the first dummy gate structure;

상기 제2 더미 게이트 구조물에 인접한 상기 제2 핀을 리세싱하여, 상기 제2 핀 내에 제2 리세스를 형성하는 단계; 및 Recessing the second fin adjacent to the second dummy gate structure to form a second recess in the second fin; And

에피택시 공정을 수행하여, 상기 제1 리세스 내에 제1 에피택셜 소스/드레인 영역을 그리고 상기 제2 리세스 내에 제2 에피택셜 소스/드레인 영역을 동시에 형성하는 단계Performing an epitaxy process to simultaneously form a first epitaxial source / drain region in the first recess and a second epitaxial source / drain region in the second recess simultaneously

를 포함하는, 방법.How to include.

2. 제1항에 있어서, 상기 실리콘 산화탄화물 물질의 제1층에 이방성 에칭 공정을 수행하여, 상기 제1 더미 게이트 구조물 상에 제1 스페이서를 형성하고, 상기 실리콘 산화탄화물 물질의 제2층에 상기 이방성 에칭 공정을 수행하여, 상기 제2 더미 게이트 구조물 상에 제2 스페이서를 형성하는 단계를 더 포함하는, 방법.2. The method of claim 1, wherein an anisotropic etching process is performed on the first layer of the silicon oxide carbide material, to form a first spacer on the first dummy gate structure, and to the second layer of the silicon oxide carbide material. And performing the anisotropic etching process to form a second spacer on the second dummy gate structure.

3. 제1항에 있어서, 상기 실리콘 산화탄화물 물질의 제1층은 상기 실리콘 산화탄화물 물질의 제2층보다 불순물 농도가 더 높은, 방법.3. The method of claim 1, wherein the first layer of silicon carbide material has a higher impurity concentration than the second layer of silicon oxide material.

4. 제1항에 있어서, 상기 습식 세정 공정은, 황산과 과산화수소의 가열된 혼합물을 사용하는 것을 포함하는, 방법.4. The method of claim 1, wherein the wet cleaning process comprises using a heated mixture of sulfuric acid and hydrogen peroxide.

5. 제4항에 있어서, 상기 황산과 과산화수소의 혼합물은 2:1과 5:1 사이의 몰비로 혼합되는, 방법.5. The method of claim 4, wherein the mixture of sulfuric acid and hydrogen peroxide is mixed in a molar ratio between 2: 1 and 5: 1.

6. 제4항에 있어서, 상기 가열된 혼합물은 80℃와 180℃ 사이의 온도인, 방법.6. The method of claim 4, wherein the heated mixture is between 80 ° C and 180 ° C.

7. 제1항에 있어서, 상기 실리콘 산화탄화물 물질의 제2층 위에 측벽 스페이서를 형성하는 단계를 더 포함하며, 상기 측벽 스페이서는 상기 실리콘 산화탄화물 물질과는 상이한 유전체 물질을 포함하는, 방법.7. The method of claim 1 further comprising forming a sidewall spacer on the second layer of silicon carbide material, the sidewall spacer comprising a dielectric material different from the silicon carbide material.

8. 제1항에 있어서, 적어도 두 개의 제1 에피택셜 소스/드레인 영역이 서로 병합되는, 방법.8. The method of claim 1, wherein the at least two first epitaxial source / drain regions are merged with each other.

9. 제1항에 있어서, 상기 제1 리세스는 제1 깊이를 갖고 상기 제2 리세스는 상기 제1 깊이와는 상이한 제2 깊이를 갖는, 방법.9. The method of claim 1, wherein the first recess has a first depth and the second recess has a second depth different from the first depth.

10. 방법에 있어서, 10. In the method,

기판을 패터닝하여, 복수의 제1 핀 및 복수의 제2 핀을 형성하는 단계; Patterning the substrate to form a plurality of first fins and a plurality of second fins;

상기 복수의 제1 핀 상에 복수의 제1 더미 게이트 구조물을 형성하는 단계; Forming a plurality of first dummy gate structures on the plurality of first fins;

상기 복수의 제2 핀 상에 복수의 제2 더미 게이트 구조물을 형성하는 단계; Forming a plurality of second dummy gate structures on the plurality of second fins;

상기 복수의 제1 더미 게이트 구조물 상에 복수의 제1 스페이서 구조물을 형성하는 단계; Forming a plurality of first spacer structures on the plurality of first dummy gate structures;

상기 복수의 제2 더미 게이트 구조물 상에 복수의 제2 스페이서 구조물을 형성하는 단계로서, 상기 복수의 제1 스페이서 구조물 및 상기 복수의 제2 스페이서 구조물은 저-k 유전체 물질을 포함하는, 상기 복수의 제2 스페이서 구조물을 형성하는 단계; Forming a plurality of second spacer structures on the plurality of second dummy gate structures, wherein the plurality of first spacer structures and the plurality of second spacer structures comprise a low-k dielectric material, Forming a second spacer structure;

상기 복수의 제1 핀 내에 제1 리세스를 형성하는 단계로서, Forming a first recess in the plurality of first fins,

제1 습식 디스컴(descum) 공정을 수행하는 단계; 및 Performing a first wet descum process; And

제1 이방성 에칭 공정을 수행하여, 상기 복수의 제1 핀 내에 제1 리세스를 형성하는 단계 Forming a first recess in the plurality of first fins by performing a first anisotropic etching process

를 포함하는 상기 제1 리세스를 형성하는 단계; Forming the first recess comprising;

상기 복수의 제1 핀 내에 상기 제1 리세스를 형성한 후에, 상기 복수의 제2 핀 내에 제2 리세스를 형성하는 단계로서, After forming the first recess in the plurality of first fins, forming a second recess in the plurality of second fins,

제2 습식 디스컴 공정을 수행하는 단계; 및 Performing a second wet discom process; And

제2 이방성 에칭 공정을 수행하여, 상기 복수의 제2 핀 내에 제2 리세스를 형성하는 단계 Forming a second recess in the plurality of second fins by performing a second anisotropic etching process

를 포함하는 상기 제2 리세스를 형성하는 단계; 및 Forming the second recess comprising; And

상기 제1 리세스 내에 제1 소스/드레인 구조물을 에피택셜 성장시키고 상기 제2 리세스 내에 제2 소스/드레인 구조물을 에피택셜 성장시키는 단계Epitaxially growing a first source / drain structure in the first recess and epitaxially growing a second source / drain structure in the second recess.

를 포함하는, 방법.How to include.

11. 제10항에 있어서, 상기 제1 소스/드레인 구조물 및 상기 제2 소스/드레인 구조물은 동일한 에피택셜 성장 공정에 의해 동시에 형성되는, 방법.11. The method of claim 10, wherein the first source / drain structure and the second source / drain structure are simultaneously formed by the same epitaxial growth process.

12. 제10항에 있어서, 상기 제1 이방성 에칭 공정은 상기 제2 이방성 에칭 공정과는 상이한, 방법.12. The method according to 10, wherein the first anisotropic etching process is different from the second anisotropic etching process.

13. 제10항에 있어서, 상기 저-k 유전체 물질은 실리콘 산화탄화물인, 방법.13. The method of claim 10, wherein the low-k dielectric material is silicon oxide carbide.

14. 제10항에 있어서, 상기 복수의 제1 스페이서 구조물을 형성하는 단계는, 14. The method of claim 10, wherein forming the plurality of first spacer structures comprises:

제1 퇴적 공정을 사용하여 상기 저-k 유전체 물질의 제1층을 퇴적하는 단계; Depositing a first layer of the low-k dielectric material using a first deposition process;

상기 저-k 유전체 물질의 제1층에 주입 공정을 수행하는 단계; 및 Performing an implantation process on the first layer of the low-k dielectric material; And

상기 주입 공정을 수행한 후에, 제2 퇴적 공정을 사용하여 상기 저-k 유전체 물질의 제2층을 퇴적하는 단계를 포함하는, 방법.And after performing the implantation process, depositing a second layer of the low-k dielectric material using a second deposition process.

15. 제10항에 있어서, 상기 제1 습식 디스컴 공정을 수행하는 단계는, 80℃와 180℃ 사이의 온도로 황산과 과산화수소의 혼합물을 가열하는 단계를 포함하는, 방법.15. The method of claim 10, wherein performing the first wet discom process comprises heating a mixture of sulfuric acid and hydrogen peroxide to a temperature between 80 ° C. and 180 ° C.

16. 제10항에 있어서, 상기 제1 소스/드레인 구조물은 상기 제2 소스/드레인 구조물보다 더 큰 부피를 갖는, 방법.16. The method of claim 10, wherein the first source / drain structure has a larger volume than the second source / drain structure.

17. 제10항에 있어서, 상기 제1 이방성 에칭 공정은, 상기 제2 이방성 에칭 공정이 상기 복수의 제2 스페이서 구조물을 에칭하는 것보다, 상기 복수의 제1 스페이서 구조물을 더 에칭하는, 방법.17. The method of claim 10, wherein the first anisotropic etching process further etches the plurality of first spacer structures than the second anisotropic etching process etches the plurality of second spacer structures.

18. 방법에 있어서, 18. In the method,

기판으로부터 연장되는 제1 핀을 형성하는 단계; Forming a first fin extending from the substrate;

상기 제1 핀 위에 그리고 상기 제1 핀의 측벽을 따라 제1 게이트 스택을 형성하는 단계; Forming a first gate stack over the first fin and along a sidewall of the first fin;

상기 제1 게이트 스택의 측벽을 따라 제1 스페이서를 형성하는 단계로서, 상기 제1 스페이서는 실리콘 산화탄화물의 제1 조성물을 포함하는, 상기 제1 스페이서를 형성하는 단계; Forming a first spacer along sidewalls of the first gate stack, the first spacer comprising a first composition of silicon oxide carbide, forming the first spacer;

상기 제1 스페이서의 측벽을 따라 제2 스페이서를 형성하는 단계로서, 상기 제2 스페이서는 실리콘 산화탄화물의 제2 조성물을 포함하는, 상기 제2 스페이서를 형성하는 단계; Forming a second spacer along a sidewall of the first spacer, wherein the second spacer comprises a second composition of silicon oxide carbide, forming the second spacer;

상기 제2 스페이서의 측벽을 따라 제3 스페이서를 형성하는 단계로서, 상기 제3 스페이서는 실리콘 질화물을 포함하는, 상기 제3 스페이서를 형성하는 단계; 및 Forming a third spacer along sidewalls of the second spacer, wherein the third spacer comprises silicon nitride, forming the third spacer; And

상기 제1 핀 내에 그리고 상기 제3 스페이서에 인접하게 제1 에피택셜 소스/드레인 영역을 형성하는 단계Forming a first epitaxial source / drain region in the first fin and adjacent to the third spacer;

를 포함하는, 방법.How to include.

19. 제18항에 있어서, 19. The method of claim 18,

상기 기판으로부터 연장되는 제2 핀을 형성하는 단계; Forming a second fin extending from the substrate;

상기 제2 핀 위에 그리고 상기 제2 핀의 측벽을 따라 제2 게이트 스택을 형성하는 단계; Forming a second gate stack over the second fin and along a sidewall of the second fin;

상기 제2 게이트 스택의 측벽을 따라 제4 스페이서를 형성하는 단계로서, 상기 제4 스페이서는 상기 실리콘 산화탄화물의 제1 조성물을 포함하는, 상기 제4 스페이서를 형성하는 단계; Forming a fourth spacer along sidewalls of the second gate stack, wherein the fourth spacer comprises a first composition of the silicon oxide carbide, forming the fourth spacer;

상기 제4 스페이서의 측벽을 따라 제5 스페이서를 형성하는 단계로서, 상기 제5 스페이서는 상기 실리콘 산화탄화물의 제2 조성물을 포함하는, 상기 제5 스페이서를 형성하는 단계; Forming a fifth spacer along sidewalls of the fourth spacer, wherein the fifth spacer comprises a second composition of the silicon oxide carbide, forming the fifth spacer;

상기 제5 스페이서의 측벽을 따라 제6 스페이서를 형성하는 단계로서, 상기 제6 스페이서는 실리콘 질화물을 포함하는, 상기 제6 스페이서를 형성하는 단계; 및 Forming a sixth spacer along a sidewall of the fifth spacer, wherein the sixth spacer comprises silicon nitride, forming the sixth spacer; And

상기 제2 핀 내에 그리고 상기 제6 스페이서에 인접하게 제2 에피택셜 소스/드레인 영역을 형성하는 단계를 더 포함하며, 상기 제2 에피택셜 소스/드레인 영역은 상기 제1 에피택셜 소스/드레인 영역과는 상이한 부피를 갖는, 방법.Forming a second epitaxial source / drain region in the second fin and adjacent to the sixth spacer, wherein the second epitaxial source / drain region comprises the first epitaxial source / drain region and Method having a different volume.

20. 제18항에 있어서, 상기 제1 핀은 실리콘 게르마늄을 포함하는, 방법.20. The method of claim 18, wherein the first fin comprises silicon germanium.

Claims (10)

방법에 있어서,
기판 위에 제1 핀 및 제2 핀을 형성하는 단계;
상기 제1 핀 위에 제1 더미 게이트 구조물을 형성하고 상기 제2 핀 위에 제2 더미 게이트 구조물을 형성하는 단계;
상기 제1 핀 상에, 상기 제2 핀 상에, 상기 제1 더미 게이트 구조물 상에, 그리고 상기 제2 더미 게이트 구조물 상에 실리콘 산화탄화물 물질의 제1층을 퇴적하는 단계;
상기 실리콘 산화탄화물 물질의 제1층을 통해 상기 제1 핀 내에 그리고 상기 제2 핀 내에 불순물을 주입하는 단계;
불순물을 주입한 후에, 상기 실리콘 산화탄화물 물질의 제1층 위에 실리콘 산화탄화물 물질의 제2층을 퇴적하는 단계;
상기 실리콘 산화탄화물 물질의 제2층을 퇴적한 후에, 상기 제1 핀 및 상기 제2 핀에 습식 세정 공정을 수행하는 단계;
상기 제2 핀 및 상기 제2 더미 게이트 구조물 위에 제1 마스크를 형성하는 단계;
상기 제1 더미 게이트 구조물에 인접한 상기 제1 핀을 리세싱하여, 상기 제1 핀 내에 제1 리세스를 형성하는 단계;
상기 제1 핀을 리세싱한 후에, 상기 제1 핀 및 상기 제2 핀에 상기 습식 세정 공정을 수행하는 단계;
상기 제1 핀 및 상기 제1 더미 게이트 구조물 위에 제2 마스크를 형성하는 단계;
상기 제2 더미 게이트 구조물에 인접한 상기 제2 핀을 리세싱하여, 상기 제2 핀 내에 제2 리세스를 형성하는 단계; 및
에피택시 공정을 수행하여, 상기 제1 리세스 내에 제1 에피택셜 소스/드레인 영역을 그리고 상기 제2 리세스 내에 제2 에피택셜 소스/드레인 영역을 동시에 형성하는 단계
를 포함하는, 방법.
In the way,
Forming a first fin and a second fin on the substrate;
Forming a first dummy gate structure on the first fin and a second dummy gate structure on the second fin;
Depositing a first layer of silicon carbide material on the first fin, on the second fin, on the first dummy gate structure, and on the second dummy gate structure;
Injecting impurities into the first fin and into the second fin through the first layer of silicon carbide material;
Depositing a second layer of silicon oxide material over the first layer of silicon oxide material after implanting impurities;
After depositing a second layer of the silicon carbide material, performing a wet cleaning process on the first fin and the second fin;
Forming a first mask over the second fin and the second dummy gate structure;
Recessing the first fin adjacent to the first dummy gate structure to form a first recess in the first fin;
After recessing the first fin, performing the wet cleaning process on the first fin and the second fin;
Forming a second mask over the first fin and the first dummy gate structure;
Recessing the second fin adjacent to the second dummy gate structure to form a second recess in the second fin; And
Performing an epitaxy process to simultaneously form a first epitaxial source / drain region in the first recess and a second epitaxial source / drain region in the second recess simultaneously
How to include.
제1항에 있어서, 상기 실리콘 산화탄화물 물질의 제1층에 이방성 에칭 공정을 수행하여, 상기 제1 더미 게이트 구조물 상에 제1 스페이서를 형성하고, 상기 실리콘 산화탄화물 물질의 제2층에 상기 이방성 에칭 공정을 수행하여, 상기 제2 더미 게이트 구조물 상에 제2 스페이서를 형성하는 단계를 더 포함하는, 방법.The method of claim 1, wherein an anisotropic etching process is performed on the first layer of the silicon carbide material to form a first spacer on the first dummy gate structure, and the anisotropy is performed on the second layer of the silicon oxide material. And performing an etching process to form a second spacer on the second dummy gate structure. 제1항에 있어서, 상기 실리콘 산화탄화물 물질의 제1층은 상기 실리콘 산화탄화물 물질의 제2층보다 불순물 농도가 더 높은, 방법.The method of claim 1, wherein the first layer of silicon carbide material has a higher impurity concentration than the second layer of silicon oxide material. 제1항에 있어서, 상기 습식 세정 공정은, 황산과 과산화수소의 가열된 혼합물을 사용하는 것을 포함하는, 방법.The method of claim 1, wherein the wet cleaning process comprises using a heated mixture of sulfuric acid and hydrogen peroxide. 제1항에 있어서, 상기 실리콘 산화탄화물 물질의 제2층 위에 측벽 스페이서를 형성하는 단계를 더 포함하며, 상기 측벽 스페이서는 상기 실리콘 산화탄화물 물질과는 상이한 유전체 물질을 포함하는, 방법.The method of claim 1, further comprising forming a sidewall spacer over the second layer of silicon carbide material, the sidewall spacer comprising a dielectric material different from the silicon carbide material. 제1항에 있어서, 적어도 두 개의 제1 에피택셜 소스/드레인 영역이 서로 병합되는, 방법.The method of claim 1, wherein at least two first epitaxial source / drain regions are merged with each other. 제1항에 있어서, 상기 제1 리세스는 제1 깊이를 갖고 상기 제2 리세스는 상기 제1 깊이와는 상이한 제2 깊이를 갖는, 방법.The method of claim 1, wherein the first recess has a first depth and the second recess has a second depth different from the first depth. 방법에 있어서,
기판을 패터닝하여, 복수의 제1 핀 및 복수의 제2 핀을 형성하는 단계;
상기 복수의 제1 핀 상에 복수의 제1 더미 게이트 구조물을 형성하는 단계;
상기 복수의 제2 핀 상에 복수의 제2 더미 게이트 구조물을 형성하는 단계;
상기 복수의 제1 더미 게이트 구조물 상에 복수의 제1 스페이서 구조물을 형성하는 단계;
상기 복수의 제2 더미 게이트 구조물 상에 복수의 제2 스페이서 구조물을 형성하는 단계로서, 상기 복수의 제1 스페이서 구조물 및 상기 복수의 제2 스페이서 구조물은 저-k 유전체 물질을 포함하는, 상기 복수의 제2 스페이서 구조물을 형성하는 단계;
상기 복수의 제1 핀 내에 제1 리세스를 형성하는 단계로서,
제1 습식 디스컴(descum) 공정을 수행하는 단계; 및
제1 이방성 에칭 공정을 수행하여, 상기 복수의 제1 핀 내에 제1 리세스를 형성하는 단계
를 포함하는 상기 제1 리세스를 형성하는 단계;
상기 복수의 제1 핀 내에 상기 제1 리세스를 형성한 후에, 상기 복수의 제2 핀 내에 제2 리세스를 형성하는 단계로서,
제2 습식 디스컴 공정을 수행하는 단계; 및
제2 이방성 에칭 공정을 수행하여, 상기 복수의 제2 핀 내에 제2 리세스를 형성하는 단계
를 포함하는 상기 제2 리세스를 형성하는 단계; 및
상기 제1 리세스 내에 제1 소스/드레인 구조물을 에피택셜 성장시키고 상기 제2 리세스 내에 제2 소스/드레인 구조물을 에피택셜 성장시키는 단계
를 포함하는, 방법.
In the way,
Patterning the substrate to form a plurality of first fins and a plurality of second fins;
Forming a plurality of first dummy gate structures on the plurality of first fins;
Forming a plurality of second dummy gate structures on the plurality of second fins;
Forming a plurality of first spacer structures on the plurality of first dummy gate structures;
Forming a plurality of second spacer structures on the plurality of second dummy gate structures, the plurality of first spacer structures and the plurality of second spacer structures comprising a low-k dielectric material, Forming a second spacer structure;
Forming a first recess in the plurality of first fins,
Performing a first wet descum process; And
Forming a first recess in the plurality of first fins by performing a first anisotropic etching process
Forming the first recess comprising;
After forming the first recess in the plurality of first fins, forming a second recess in the plurality of second fins,
Performing a second wet discom process; And
Forming a second recess in the plurality of second fins by performing a second anisotropic etching process
Forming the second recess comprising; And
Epitaxially growing a first source / drain structure in the first recess and epitaxially growing a second source / drain structure in the second recess.
How to include.
방법에 있어서,
기판으로부터 연장되는 제1 핀을 형성하는 단계;
상기 제1 핀 위에 그리고 상기 제1 핀의 측벽을 따라 제1 게이트 스택을 형성하는 단계;
상기 제1 게이트 스택의 측벽을 따라 제1 스페이서를 형성하는 단계로서, 상기 제1 스페이서는 실리콘 산화탄화물의 제1 조성물을 포함하는, 상기 제1 스페이서를 형성하는 단계;
상기 제1 스페이서의 측벽을 따라 제2 스페이서를 형성하는 단계로서, 상기 제2 스페이서는 실리콘 산화탄화물의 제2 조성물을 포함하는, 상기 제2 스페이서를 형성하는 단계;
상기 제2 스페이서의 측벽을 따라 제3 스페이서를 형성하는 단계로서, 상기 제3 스페이서는 실리콘 질화물을 포함하는, 상기 제3 스페이서를 형성하는 단계; 및
상기 제1 핀 내에 그리고 상기 제3 스페이서에 인접하게 제1 에피택셜 소스/드레인 영역을 형성하는 단계
를 포함하는, 방법.
In the way,
Forming a first fin extending from the substrate;
Forming a first gate stack over the first fin and along a sidewall of the first fin;
Forming a first spacer along sidewalls of the first gate stack, the first spacer comprising a first composition of silicon oxide carbide, forming the first spacer;
Forming a second spacer along a sidewall of the first spacer, wherein the second spacer comprises a second composition of silicon oxide carbide, forming the second spacer;
Forming a third spacer along sidewalls of the second spacer, wherein the third spacer comprises silicon nitride, forming the third spacer; And
Forming a first epitaxial source / drain region in the first fin and adjacent to the third spacer;
How to include.
제9항에 있어서,
상기 기판으로부터 연장되는 제2 핀을 형성하는 단계;
상기 제2 핀 위에 그리고 상기 제2 핀의 측벽을 따라 제2 게이트 스택을 형성하는 단계;
상기 제2 게이트 스택의 측벽을 따라 제4 스페이서를 형성하는 단계로서, 상기 제4 스페이서는 상기 실리콘 산화탄화물의 제1 조성물을 포함하는, 상기 제4 스페이서를 형성하는 단계;
상기 제4 스페이서의 측벽을 따라 제5 스페이서를 형성하는 단계로서, 상기 제5 스페이서는 상기 실리콘 산화탄화물의 제2 조성물을 포함하는, 상기 제5 스페이서를 형성하는 단계;
상기 제5 스페이서의 측벽을 따라 제6 스페이서를 형성하는 단계로서, 상기 제6 스페이서는 실리콘 질화물을 포함하는, 상기 제6 스페이서를 형성하는 단계; 및
상기 제2 핀 내에 그리고 상기 제6 스페이서에 인접하게 제2 에피택셜 소스/드레인 영역을 형성하는 단계를 더 포함하며, 상기 제2 에피택셜 소스/드레인 영역은 상기 제1 에피택셜 소스/드레인 영역과는 상이한 부피를 갖는, 방법.
The method of claim 9,
Forming a second fin extending from the substrate;
Forming a second gate stack over the second fin and along a sidewall of the second fin;
Forming a fourth spacer along sidewalls of the second gate stack, wherein the fourth spacer comprises a first composition of the silicon oxide carbide, forming the fourth spacer;
Forming a fifth spacer along sidewalls of the fourth spacer, wherein the fifth spacer comprises a second composition of the silicon oxide carbide, forming the fifth spacer;
Forming a sixth spacer along a sidewall of the fifth spacer, wherein the sixth spacer comprises silicon nitride, forming the sixth spacer; And
Forming a second epitaxial source / drain region in the second fin and adjacent to the sixth spacer, wherein the second epitaxial source / drain region comprises the first epitaxial source / drain region and Method having a different volume.
KR1020190120956A 2018-09-28 2019-09-30 Semiconductor device and method KR102284473B1 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862738881P 2018-09-28 2018-09-28
US62/738,881 2018-09-28
US16/458,437 US11205597B2 (en) 2018-09-28 2019-07-01 Semiconductor device and method
US16/458,437 2019-07-01

Publications (2)

Publication Number Publication Date
KR20200037110A true KR20200037110A (en) 2020-04-08
KR102284473B1 KR102284473B1 (en) 2021-08-03

Family

ID=69946442

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020190120956A KR102284473B1 (en) 2018-09-28 2019-09-30 Semiconductor device and method

Country Status (3)

Country Link
KR (1) KR102284473B1 (en)
CN (1) CN110970489B (en)
TW (1) TWI725557B (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210133853A (en) * 2020-04-28 2021-11-08 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Semiconductor device and method

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI769683B (en) * 2020-04-29 2022-07-01 台灣積體電路製造股份有限公司 Semiconductor structure and method of manufacturing thereof
US11562910B2 (en) * 2021-03-19 2023-01-24 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method for forming thereof

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090246921A1 (en) * 2008-03-25 2009-10-01 International Business Machines Corporation Semiconductor devices having tensile and/or compressive strain and methods of manufacturing and design structure
KR20160059861A (en) * 2014-11-19 2016-05-27 삼성전자주식회사 Semiconductor device and method for fabricating the same
KR20160063224A (en) * 2014-11-26 2016-06-03 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Gate spacers and methods of forming
KR20180060941A (en) * 2016-11-29 2018-06-07 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Finfet device and methods of forming

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102004021052B3 (en) * 2004-04-29 2005-12-29 Infineon Technologies Ag Method for fabricating trench DRAM memory cells and trench DRAM memory cell array with curved channel bridge field effect transistors (CFET)
KR100642747B1 (en) * 2004-06-22 2006-11-10 삼성전자주식회사 Fabricating method of CMOS transistor and CMOS transistor fabricated by the same method
US7977174B2 (en) * 2009-06-08 2011-07-12 Globalfoundries Inc. FinFET structures with stress-inducing source/drain-forming spacers and methods for fabricating the same
US9455200B2 (en) * 2014-08-11 2016-09-27 Taiwan Semiconductor Manufacturing Company, Ltd. Method for semiconductor device fabrication
US9818872B2 (en) * 2015-06-30 2017-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
US10163704B2 (en) * 2015-12-29 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
US10008497B2 (en) * 2016-11-29 2018-06-26 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US10170367B2 (en) * 2016-11-29 2019-01-01 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090246921A1 (en) * 2008-03-25 2009-10-01 International Business Machines Corporation Semiconductor devices having tensile and/or compressive strain and methods of manufacturing and design structure
KR20160059861A (en) * 2014-11-19 2016-05-27 삼성전자주식회사 Semiconductor device and method for fabricating the same
KR20160063224A (en) * 2014-11-26 2016-06-03 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Gate spacers and methods of forming
KR20180060941A (en) * 2016-11-29 2018-06-07 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Finfet device and methods of forming

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210133853A (en) * 2020-04-28 2021-11-08 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Semiconductor device and method
US11410930B2 (en) 2020-04-28 2022-08-09 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method

Also Published As

Publication number Publication date
CN110970489B (en) 2023-05-23
KR102284473B1 (en) 2021-08-03
TWI725557B (en) 2021-04-21
TW202025261A (en) 2020-07-01
CN110970489A (en) 2020-04-07

Similar Documents

Publication Publication Date Title
US11854811B2 (en) FinFET device and method of forming
US11935955B2 (en) Semiconductor device and methods of forming same
US11532735B2 (en) Self-aligned epitaxy layer
US11205597B2 (en) Semiconductor device and method
KR102302516B1 (en) Semiconductor device and method
US10868131B2 (en) Gaseous spacer and methods of forming same
US10164053B1 (en) Semiconductor device and method
KR102571374B1 (en) Semiconductor device and method
KR102284473B1 (en) Semiconductor device and method
US20220352371A1 (en) Semiconductor Device and Method
KR102447136B1 (en) Semiconductor device and method
US10867860B2 (en) Methods of forming FinFET device
US20210313450A1 (en) FinFET Device and Method
US10985266B2 (en) Method of gap filling for semiconductor device
US11557518B2 (en) Gapfill structure and manufacturing methods thereof
US11289383B2 (en) Semiconductor device and method
US20230008494A1 (en) Gate structures in transistor devices and methods of forming same

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant