TW202021729A - 研磨晶圓之方法 - Google Patents

研磨晶圓之方法 Download PDF

Info

Publication number
TW202021729A
TW202021729A TW108143622A TW108143622A TW202021729A TW 202021729 A TW202021729 A TW 202021729A TW 108143622 A TW108143622 A TW 108143622A TW 108143622 A TW108143622 A TW 108143622A TW 202021729 A TW202021729 A TW 202021729A
Authority
TW
Taiwan
Prior art keywords
polishing
wafer
material layer
slurry
polishing process
Prior art date
Application number
TW108143622A
Other languages
English (en)
Inventor
李勝男
蔡騰群
李儲安
吳振豪
廖峻宏
趙皇麟
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202021729A publication Critical patent/TW202021729A/zh

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/04Lapping machines or devices; Accessories designed for working plane surfaces
    • B24B37/042Lapping machines or devices; Accessories designed for working plane surfaces operating processes therefor
    • B24B37/044Lapping machines or devices; Accessories designed for working plane surfaces operating processes therefor characterised by the composition of the lapping agent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • H01L21/31055Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/02Polishing compositions containing abrasives or grinding agents
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1409Abrasive particles per se
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1454Abrasive powders, suspensions and pastes for polishing
    • C09K3/1463Aqueous liquid suspensions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02065Cleaning during device manufacture during, before or after processing of insulating layers the processing being a planarization of insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30625With simultaneous mechanical treatment, e.g. mechanico-chemical polishing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]

Abstract

一晶圓被研磨,藉由使用第一化學物質進行化學反應以改變晶圓上的材料層的第一部份之性質。進行第一沖洗以去除第一化學物質,且延緩化學反應。接著進行機械研磨製程以去除材料層之第一部份。

Description

研磨晶圓之方法
本揭露實施例係有關於一種半導體製造方法,特別係有關於一種研磨晶圓之方法。
化學機械研磨(Chemical mechanical polishing,CMP)技術被使用以製造半導體積體電路。在化學機械研磨期間,藉由在施加力以將晶圓按壓在研磨墊上的同時,使晶圓靠著研磨墊旋轉,使包括用於形成積體電路之材料的晶圓被面向下研磨。
本揭露實施例提供一種研磨晶圓之方法,包括:使用第一化學物質氧化晶圓上的材料層之第一部份,以產生氧化層;進行第一沖洗以去除第一化學物質;以及在進行第一沖洗之操作之後,進行機械研磨製程以去除氧化層。
本揭露實施例提供一種研磨晶圓之方法,包括:使用第一化學物質進行化學反應,以改變晶圓上的材料層的第一部份之性質;進行第一沖洗以去除第一化學物質,且延緩化學反應;以及在進行第一沖洗之操作之後,進行機械研磨製程以去除材料層之第一部份。
本揭露實施例提供一種研磨晶圓之方法,包括進行機械研磨製程,以去除晶圓上的材料層之第一部份,包括:對晶圓或研磨墊之至少一者施加包括數個磨料粒子的研磨漿,各磨料粒子具有30奈米或較小的尺寸;以及藉由研磨墊對著晶圓施加0.5磅每平方吋或較小的壓力。
以下的揭露內容提供許多不同的實施例或範例以實施本案的不同特徵。以下的揭露內容敘述各個構件及其排列方式的特定範例,以簡化說明。當然,這些特定的範例並非用以限定。例如,若是本揭露書敘述了一第一特徵形成於一第二特徵之上或上方,即表示其可能包含上述第一特徵與上述第二特徵是直接接觸的實施例,亦可能包含了有附加特徵形成於上述第一特徵與上述第二特徵之間,而使上述第一特徵與第二特徵可能未直接接觸的實施例。另外,以下揭露書不同範例可能重複使用相同的參考符號及/或標記。這些重複係為了簡化與清晰的目的,並非用以限定所討論的不同實施例及/或結構之間有特定的關係。
此外,與空間相關用詞,例如「在…下方」、「下方」、「較低的」、「上方」、「較高的」 及類似的用詞,係為了便於描述圖示中一個元件或特徵與另一個(些)元件或特徵之間的關係。除了在圖式中繪示的方位外,這些空間相關用詞意欲包含使用中或操作中的裝置之不同方位。裝置可能被轉向不同方位(旋轉90度或其他方位),則在此使用的空間相關詞也可依此相同解釋。
如本文所提供,在一些實施例中,研磨製程在包括晶粒(die)的半導體晶圓之上進行,且積體電路被形成在晶粒中;化學反應係用以軟化被研磨的材料層的頂部表面,且時間上與機械研磨製程分開。在一些實施例中,分開化學反應與機械研磨製程減少了如若由被研磨的材料層、化學研磨漿、磨料粒子以及研磨墊之間交互作用所致的複雜性而導致的不確定性。
在一些實施例中,化學反應及機械研磨製程在機械研磨製程之參數被控制的同時迭代地重複,上述參數被控制以達成小於每研磨週期2奈米的材料或小於每分鐘10奈米的材料之去除率,藉此達成準原子層(quasi atomic layer)之去除。在一些實施例中,被控制的機械研磨製程之參數包括被施加在晶圓及研磨墊之間的力、研磨漿之固體內容物(solid content)、包含在研磨漿之中的磨料之粒子尺寸等。舉例來說,在一些實施例中,在機械研磨製程期間,約0.5磅每平方吋(psi)或較小的壓力藉由研磨墊對著半導體晶圓而施加或藉由半導體晶圓對著研磨墊而施加。在一些實施例中,包括約0.5重量百分比(wt%)或較小的固含量濃度(例如:磨料粒子)之研磨漿在機械研磨製程期間被使用。在一些實施例中,機械研磨製程使用包括磨料粒子的研磨漿,各磨料粒子具有約30奈米或較小的尺寸,或所述磨料粒子具有約30奈米或較小的平均尺寸。
第1A圖至第1I圖是根據一些實施例繪示研磨製程期間半導體晶圓之研磨製程及半導體晶圓之剖面圖。參照第1A圖,半導體晶圓102包括即將被研磨的材料層118。材料層118被設置在基板101上面。在被繪示的實施例中,材料層118被直接地設置在基板101上。在其他實施例中,一或多個中介層被設置在基板101及材料層118之間。材料層118包括金屬或其他適合於研磨的材料。在一些實施例中,材料層118包括二氧化矽(SiO2 )、氮化矽(SiN)、多晶矽(Poly-Si)、鋁(Al)、鎢(W)、氮化鈦(TiN)、鈦(Ti)、氮化鉭(TaN)、鉭(Ta)、鉿氧化物 (HfOx )、鋯氧化物 (ZrOx )、鋁鋯氧化物 (AlZrOx )、碳化鈦鋁(TiAlC)、鈦鋁(TiAl)、鈷(Co)、釕(Ru)、非晶矽(a-Si)、矽化鎳(NiSi)、矽化鈷(CoSi)、矽化鈷鎳(CoNiSi)、鋁氧化物 (AlOx )、銥氧化物 (IrOx )、銅(Cu)、低介電係數介電質(low-k dielectric)、氮氧化矽(SiON)、氮碳化矽(SiCN)、無氮抗反射塗層(nitrogen-free anti-reflective coating,NFARC)、氧碳化矽(SiOC)、碳化矽(SiC)、氧碳氮化矽(SiOCN)、或其他材料。
本文中所使用的低介電係數介電質係指具有低於約3.9之k值(介電係數)的介電質材料。一些低介電係數介電質材料具有低於約3.5之k值且可具有低於約2.5之k值。
在一些實施例中,在線型(line)化學機械研磨之前端期間,研磨製程被用於淺溝槽隔離(shallow trench isolation,STI)製造製程以研磨材料,例如:二氧化矽(SiO2 )、氮化矽(SiN)、或其他適合的材料。在一些實施例中,在線型化學機械研磨之前端期間,研磨製程被用於多閘極(poly gate)製造製程以研磨材料,例如:多晶矽(Poly-Si)、二氧化矽(SiO2 )、氮化矽(SiN)、或其他適合的材料。在一些實施例中,在線型化學機械研磨之前端期間,研磨製程被用於層間介電質製造製程以研磨材料,例如:二氧化矽(SiO2 )、氮化矽(SiN)、或其他適合的材料。在一些實施例中,在線型化學機械研磨之中端期間,研磨製程被用於金屬閘製造製程以研磨材料,例如:鋁(Al)、鎢(W)、氮化鈦(TiN)、鈦(Ti)、氮化鉭(TaN)、鉭(Ta)、氧化鉿(HfO2 )、鋯氧化物 (ZrOx )、鋁鋯氧化物 (AlZrOx )、碳化鈦鋁(TiAlC)、鈦鋁(TiAl)、或其他適合的材料。在一些實施例中,在線型化學機械研磨之中端期間,研磨製程被用於金屬栓塞製造製程以研磨材料,例如:鎢(W)、鈷(Co)、釕(Ru)、鋁(Al)、氮化鈦(TiN)、鈦(Ti)、氮化鉭(TaN)、鉭(Ta)、二氧化矽(SiO2 )、氮化矽(SiN)、非晶矽(a-Si)、鋯氧化物 (ZrOx )、矽化鎳(NiSi)、矽化鈷(CoSi)、矽化鈷鎳(CoNiSi)、鋁氧化物 (AlOx )、銥氧化物 (IrOx )、或其他適合的材料。在一些實施例中,在線型化學機械研磨之後端期間,研磨製程被用於銅(Cu)線製造製程以研磨材料,例如:銅(Cu)、鈷(Co)、釕(Ru)、氮化鉭(TaN)、鉭(Ta)、二氧化矽(SiO2 )、低介電係數介電質、氮碳化矽(SiCN)、無氮抗反射塗層(NFARC)、碳化矽(SiC)、氧碳氮化矽(SiOCN) 、氧碳化矽(SiOC)、或其他適合的材料。
在一些實施例中,藉由研磨設備100進行研磨製程。研磨設備100包括晶圓承載座106,配置以在研磨製程期間承載半導體晶圓102。第4圖是根據一些實施例繪示晶圓承載座106之由上而下之視圖(top-down view)408以及側視圖410、412。在一些實施例中,晶圓承載座106包括平台406以及一或多個鎖定結構404,半導體晶圓102被放置在平台406之上,鎖定結構404被用以將半導體晶圓102靠著平台406保持定位,使得半導體晶圓102在研磨製程期間不會在晶圓承載座106之中移動或滑動。在一些實施例中,鎖定結構404可在鎖定位置及解鎖位置之間旋轉,在鎖定位置期間,鎖定結構404之唇台(lips)414覆蓋於半導體晶圓102之上,而在解鎖位置期間,鎖定結構404之唇台414沒有覆蓋於半導體晶圓102之上。舉例來說,在描繪鎖定位置中的鎖定結構404之側視圖412中,唇台414覆蓋於半導體晶圓102之上。在描繪解鎖位置中的鎖定結構404之側視圖410中,唇台414延伸入紙面或出紙面。
再回到第1B圖,在一些實施例中,研磨設備100包括配置以在研磨製程期間旋轉的轉盤104,例如:在研磨製程之化學反應部分期間施加化學物質的期間、或在研磨製程之隨後的機械研磨部分期間。在一些實施例中,研磨設備100包括配置以在半導體晶圓102上分配第一化學物質116的材料分配設備114,例如:在研磨製程之化學反應部分期間。在一些實施例中,研磨設備100包括配置以在半導體晶圓102上分配研磨漿材料的研磨漿分配設備112,例如:在研磨製程之機械研磨部分期間。在一些實施例中,研磨設備100包括可附接研磨墊110的修整器臂108,用於相對半導體晶圓102移動研磨墊110。在一些實施例中,修整器臂108係配置以在研磨製程期間將研磨墊110靠著半導體晶圓102旋轉,例如:在隨後的機械研磨製程期間。
在一些實施例中(例如在繪示的實施例中),研磨墊110係小於半導體晶圓102。舉例來說,研磨墊110之直徑可小於半導體晶圓102之直徑。在一些實施例中,研磨墊110之直徑係被選擇以小於半導體晶圓102之中的單一晶粒。如此一來,舉例來說,研磨墊可僅研磨部分晶圓或部分晶粒,以微調研磨且改善晶粒之中及晶圓之中的均一性及形貌(topography)。在其他實施例中,研磨墊110係至少與半導體晶圓102一樣大。舉例來說,研磨墊110可具有一直徑,此直徑大於或相等於半導體晶圓102之直徑。
雖然第1B圖繪示研磨墊110係在半導體晶圓102、晶圓承載座106以及轉盤104上方或覆蓋(overlie)於其上,但在一些實施例中,修整器臂108及研磨墊110係設置在半導體晶圓102、晶圓承載座106以及轉盤104下方,使得研磨墊110之進行研磨的表面面向上且半導體晶圓102之被研磨的表面面向下,如之後根據一些實施例配合第3圖所繪示及描述。此外,在一些實施例中,取代或除了在半導體晶圓102上分配第一化學物質116之外,材料分配設備114係配置以在研磨墊110上分配第一化學物質116。在一些實施例中,取代或附加於在半導體晶圓102上分配研磨漿材料,研磨漿分配設備112係配置以在研磨墊110上分配研磨漿材料。
研磨設備100之操作將配合第1B圖至第1I圖以及第2A圖至第2H圖而被進一步地詳述。
參照第1B圖,根據一些實施例,使用第一化學物質116進行化學反應以改變半導體晶圓102上材料層118的第一部分(例如:材料層118的上方部分)之性質。在一些實施例中,材料分配設備114分配第一化學物質116至材料層118的第一部分之上以氧化第一部份,此氧化作用相當於化學反應。在一些實施例中,在轉盤104旋轉以相對材料分配設備114旋轉半導體晶圓102的同時,材料分配設備114分配第一化學物質116。在一些實施例中,在轉盤104靜止的同時,材料分配設備114分配第一化學物質116,使得在分配第一化學物質116的同時,半導體晶圓102的位置相對材料分配設備114固定。作為氧化作用的結果,氧化層120從材料層118的第一部分被產生在半導體晶圓102上,如第1C圖所描繪。
在一些實施例中,氧化層120比材料層118更軟。在一些實施例中,氧化層120之軟度使研磨製程可以產生更光滑的晶圓表面。在一些實施例中,第一化學物質包括過氧化氫、另一氧化試劑、或其他適合軟化或改變材料層118的第一部分之性質的材料中之至少一種。在一些實施例中,化學反應沒有被進行,例如在材料層118已經包括氧化材料的情況下。在一些實施例中,即使在材料層118包括氧化材料的情況下,化學反應仍在材料層118之上進行以增加材料層118部分之中的氧濃度或軟化材料層118之部分。因此,在一些實施例中,第一化學反應導致材料層118的第一部分具有氧之第一濃度,且材料層118的第二部分具有氧之第二濃度(與第一濃度不同)。在一些實施例中,第一部份覆蓋第二部分,且第一濃度大於第二濃度。
參照第1D圖,根據一些實施例,第一沖洗在第一化學反應之後進行,例如:在材料層118上面產生氧化層120的氧化作用進行之後。在一些實施例中,進行第一沖洗以去除用以進行第一化學反應的第一化學物質116。在一些實施例中,進行第一沖洗以延緩第一化學反應。在一些實施例中,研磨設備100包括配置以在半導體晶圓102上分配沖洗材料124的沖洗設備122,以進行第一沖洗。在一些實施例中,在轉盤104旋轉以相對沖洗設備122旋轉半導體晶圓102的同時,沖洗設備122分配沖洗材料124。在一些實施例中,在轉盤104靜止的同時,沖洗設備122分配沖洗材料124,使得在分配沖洗材料124的同時,半導體晶圓102的位置相對沖洗設備122固定。
在一些實施例中,沖洗材料124包括去離子水或其他將去除第一化學物質且延緩第一化學反應的材料。在一些實施例中,在隨後的機械研磨之前,第一沖洗去除剩餘的氧化劑。第1E圖為半導體晶圓102在進行第一沖洗之後的側視圖。
因為第一沖洗在進行第一化學反應之後延緩第一化學反應,化學反應與在第一沖洗之後發生的機械研磨製程被分開。將第一化學反應及機械研磨分開減輕了如若由被研磨的材料層118、化學研磨漿、磨料粒子以及研磨墊110之間交互作用所致的複雜性而導致的不確定性。
參照第1F圖,根據一些實施例,在第一化學反應之後及進行第一沖洗以延緩第一化學反應之後,進行機械研磨製程。在一些實施例中,進行機械研磨製程以去除134材料層118之部分,例如氧化層120之頂部部分,如第1G圖所繪示。在一些實施例中,在機械研磨製程期間,修整器臂108被操作以移動研磨墊110以與半導體晶圓102接觸。在一些實施例中,修整器臂108對使研磨墊110靠著半導體晶圓102之表面(例如:氧化層120之頂部表面)旋轉128。在一些實施例中,修整器臂108被控制以藉由研磨墊110對著半導體晶圓102施加約0.5磅每平方吋或較小的壓力130(例如:向下力)。在一些實施例中,轉盤104被控制以對著研磨墊110施加約0.5磅每平方吋或較小的壓力130(例如:向上力)。在一些實施例中,在機械研磨製程期間,轉盤104被操作以使半導體晶圓102靠著研磨墊110旋轉126。
研磨墊110可為與半導體晶圓102相同的尺寸、小於半導體晶圓102、或大於半導體晶圓102。在一些實施例中,如果研磨墊110小於半導體晶圓102,研磨製程可被用以研磨少於半導體晶圓102之全部的晶粒。如此一來,在一些實施例中,研磨製程可被用以重做/補救單一不良晶粒或多個不良晶粒,而不研磨半導體晶圓102之所有晶粒,或可被用以在研磨製程期間調整晶圓之部分的拓樸形狀(topology)。在一些實施例中,研磨墊110具有約1微米或更小的表面平坦度。在一些實施例中,相對較硬的研磨墊110被用以幫助控制研磨墊表面溫度。在一些實施例中,研磨墊110具有約15蕭氏D型硬度 (Shore D)及約65蕭氏D型硬度之間的硬度。
在機械研磨製程期間,研磨漿分配設備112係配置以分配研磨漿132在半導體晶圓102或研磨墊110至少之一上。研磨漿132被用以協助機械研磨製程以去除134材料層118之部分(例如:氧化層120之頂部部分),以暴露在材料層118之第一部分之下的材料層118之第二部分。在一些實施例中,研磨漿132包括約0.5重量百分比(wt%)或較小的固含量濃度(concentration of solid content)。在一些實施例中,固體內容物包括磨料粒子。在一些實施例中,每一磨料粒子具有約30奈米或較小的尺寸。在一些實施例中,磨料粒子之平均尺寸為約30奈米或較小。在一些實施例中,磨料粒子包括二氧化矽(SiO2)、二氧化鈰(CeO2)、氧化鋁(Al2O3)、二氧化鈦(TiO2)、二氧化鋯(ZrO2)、聚合物粒子、表面改質(surface-modified)磨料(例如:被二氧化矽(SiO2)包覆的二氧化鈦(TiO2)核心、被二氧化矽(SiO2)包覆的聚合物核心)等至少之一。在一些實施例中,低固含量濃度減少半導體晶圓102、化學研磨漿、磨料粒子以及研磨墊110之間的交互作用,以改善表面平滑度。
在一些實施例中,研磨漿132包括界面活性劑(surfactant),例如協助去除134材料層118之部分的離子化或去離子界面活性劑,以例如協助從氧化層120去除金屬氧化物。在一些實施例中,界面活性劑包括陰離子界面活性劑,例如:磺琥珀酸鈉二辛酯(dioctyl sodium sulfosuccinate,DOSS)、全氟辛烷磺酸(perfluorooctanesulfonate,PFOS)、直鏈烷基苯磺酸鹽、十二烷基聚氧乙醚硫酸鈉、木質磺酸鹽、硬脂酸鈉、或其他組成。在一些實施例中,界面活性劑包括非離子界面活性劑,例如:辛基酚聚氧乙烯醚(polyoxyethylene glycol octylphenol ether)、烷基酚聚氧乙烯醚(polyoxyethylene glycol alkylphenol ether)、或其他組成。在一些實施例中,研磨漿132之剩餘的組成包括水、去離子水、或其他材料。
在一些實施例中,在機械研磨製程期間施加冷卻液,以在機械研磨製程期間協助控制半導體晶圓102或研磨墊110至少其一的溫度。在一些實施例中,冷卻液被施加於背對半導體晶圓102之材料層118的研磨墊110之表面,例如:背對氧化層120的研磨墊110之頂部表面。在一些實施例中,冷卻液被施加於與半導體晶圓102之材料層118完全地(diametrically)相對的半導體晶圓102之表面,例如:背對研磨墊110的半導體晶圓102之底部表面。
參照第1H圖,根據一些實施例,第二沖洗在機械研磨製程之後進行。在一些實施例中,沖洗設備122藉由在半導體晶圓102上分配第二沖洗材料136而進行第二沖洗,第二沖洗去除研磨漿132以及被機械研磨製程去除的材料,例如:從氧化層120去除的金屬氧化物。在一些實施例中,在轉盤104旋轉以相對沖洗設備122旋轉半導體晶圓102的同時,沖洗設備122分配第二沖洗材料136。在一些實施例中,在轉盤104靜止的同時,沖洗設備122分配第二沖洗材料136,使得在分配第二沖洗材料136的同時,半導體晶圓102的位置相對沖洗設備122固定。
在一些實施例中,第二沖洗材料136包括去離子水或其他適合的材料。在一些實施例中,第二沖洗材料136包括與在第一沖洗期間被使用的沖洗材料124相同的材料。在一些實施例中,第二沖洗材料136包括與在第一沖洗期間被使用的沖洗材料124不同的材料。第1I圖為半導體晶圓102在進行第二沖洗之後的側視圖。
雖然圖式繪示材料分配設備114、沖洗設備122以及研磨漿分配設備112為相異的機械元件,但在一些實施例中,材料分配設備114、沖洗設備122、或研磨漿分配設備112之至少其二為相同的機械元件。在此實施例中,可配置一設備以在第一時間間隔期間分配第一化學物質116(例如:在研磨製程之化學反應部分期間)、在第二時間間隔期間分配研磨漿材料(例如:在研磨製程之機械研磨部分期間)、且在其他時間間隔期間設置沖洗材料124及第二沖洗材料136(例如:在研磨製程之沖洗部分期間)。
第2A圖至第2H圖繪示進行第二研磨週期以去除材料層118之第二部分,例如:氧化層120之第二部分。在一些實施例中,可藉由研磨製程進行任何數量的研磨週期。參照第2B圖,藉由材料分配設備114使用第二化學物質202進行第二化學反應,以改變半導體晶圓102上材料層118之第二部分的性質。在一些實施例中,進行第二化學反應以氧化材料層118之第二部分,以產生第2B圖中描繪的氧化層120。第二化學物質202包括與第一化學物質116相同的物質或不同的物質,第一化學物質116先前在第一研磨週期期間被用以形成氧化層120,如第1C圖所描繪。在一些實施例中,在轉盤104旋轉以相對材料分配設備114旋轉半導體晶圓102的同時,材料分配設備114分配第二化學物質202。在一些實施例中,在轉盤104靜止的同時,材料分配設備114分配第二化學物質202,使得在分配第二化學物質202的同時,半導體晶圓102的位置相對材料分配設備114固定。
參照第2C圖,根據一些實施例,第三沖洗在進行第二化學反應(例如:氧化作用)之後進行。在一些實施例中,進行第三沖洗以去除被用以進行第二化學反應的第二化學物質202。在一些實施例中,進行第三沖洗以延緩第二化學反應。在一些實施例中,沖洗設備122係配置以在半導體晶圓102上分配第三沖洗材料206,以進行第三沖洗。在一些實施例中,在轉盤104旋轉以相對沖洗設備122旋轉半導體晶圓102的同時,沖洗設備122分配第三沖洗材料206。在一些實施例中,在轉盤104靜止的同時,沖洗設備122分配第三沖洗材料206,使得在分配第三沖洗材料206的同時,半導體晶圓102的位置相對沖洗設備122固定。
第三沖洗材料206包括去離子水或其他將去除第二化學物質202且延緩第二化學反應的材料。在一些實施例中,在隨後的機械研磨之前,第三沖洗去除剩餘的氧化劑。第三沖洗材料206係與被第一沖洗使用的沖洗材料124或被第二沖洗使用的第二沖洗材料136之至少其一相同的材料或不同的材料。第2D圖為半導體晶圓102在進行第三沖洗之後的側視圖。
參照第2E圖,根據一些實施例,在第二化學反應之後及進行第三沖洗以延緩第二化學反應之後,進行第二機械研磨製程。在一些實施例中,進行第二機械研磨製程以去除216材料層118之第二部分(例如:氧化層120之頂部部分),如第2F圖所示。在一些實施例中,在第二機械研磨製程期間,修整器臂108被操作以移動研磨墊110而與半導體晶圓102接觸。在一些實施例中,修整器臂108使研磨墊110靠著半導體晶圓102之表面(例如:氧化層120之頂部表面)旋轉212。在一些實施例中,修整器臂108被控制以藉由研磨墊110對著半導體晶圓102施加約0.5磅每平方吋或較小的壓力214(例如:向下力)。在一些實施例中,轉盤104被控制以對著研磨墊110施加約0.5磅每平方吋或較小的壓力130(例如:向上力)。在一些實施例中,轉盤104被操作以在第二機械研磨製程期間使半導體晶圓102靠著研磨墊110旋轉208。
在第二機械研磨製程期間,研磨漿分配設備112被控制以分配第二研磨漿210在半導體晶圓102或研磨墊110至少其一上。第二研磨漿210被使用以協助第二機械研磨製程去除216材料層118之第二部分(例如:氧化層120之部分),以暴露材料層118之第二部分之下的材料層118之第三部分。第二研磨漿210包括與研磨漿132相同的固含量濃度或不同的固含量濃度,研磨漿132被第1F圖所描繪之機械研磨製程使用。第二研磨漿210包括與研磨漿132中的磨料粒子相同尺寸或不同尺寸的磨料粒子,研磨漿132被第1F圖所描繪之機械研磨製程使用。第二研磨漿210包括具有與研磨漿132相同的材料或不同的材料之固體內容物(例如:磨料粒子),研磨漿132被第1F圖所描繪之機械研磨製程使用。在一些實施例中,第二研磨漿120包括協助去除材料層118之第二部分的界面活性劑(例如:離子化或去離子界面活性劑)。
參照第2G圖,根據一些實施例,第四沖洗在第二機械研磨製程之後進行。在一些實施例中,沖洗設備122藉由在半導體晶圓102上分配第四沖洗材料218而進行第四沖洗,第四沖洗去除第二研磨漿210以及被第二機械研磨製程去除的材料層118之材料,例如:從氧化層120去除的金屬氧化物。在一些實施例中,在轉盤104旋轉以相對沖洗設備122旋轉半導體晶圓102的同時,沖洗設備122分配第四沖洗材料218。在一些實施例中,在轉盤104靜止的同時,沖洗設備122分配第四沖洗材料218,使得在分配第四沖洗材料218的同時,半導體晶圓102的位置相對沖洗設備122固定。
在一些實施例中,第四沖洗材料218包括去離子水或其他適合的材料。第四沖洗材料218包括與被第一沖洗使用的沖洗材料124、被第二沖洗使用的第二沖洗材料136、或被第三沖洗使用的第三沖洗材料206之至少其一相同的材料或不同的材料。第2H圖為半導體晶圓102在進行第四沖洗之後的側視圖。
參照第3圖,研磨設備300使用本文描述的研磨技術以半導體晶圓312面向下的方式靠著研磨墊304研磨。在一些實施例中,研磨設備300包括可在研磨製程期間(例如:在研磨製程的化學反應部分或機械研磨部分期間)旋轉306的轉盤302(平台)。在一些實施例中,研磨墊304附接至轉盤302,因此轉盤302使研磨墊304靠著半導體晶圓312之表面旋轉。在一些實施例中,半導體晶圓312藉由載體膜314附接至晶圓承載座316。在一些實施例中,在機械研磨製程期間,約0.5磅每平方吋或較小的壓力之力320藉由晶圓承載座316朝向研磨墊304被施加至半導體晶圓312。在一些實施例中,在機械研磨製程期間,約0.5磅每平方吋或較小的壓力之力藉由轉盤302朝向半導體晶圓312施加到研磨墊304上。在機械研磨製程期間,研磨漿分配設備308施加研磨漿310至研磨墊304。
在一些實施例中,一種研磨晶圓之方法包括使用第一化學物質氧化晶圓上的材料層之第一部份,以產生氧化層。進行第一沖洗以去除第一化學物質。在進行第一沖洗之操作之後,進行機械研磨製程以去除氧化層。
在一些實施例中,進行機械研磨製程之操作包括暴露材料層的在氧化層之下之第二部分;研磨晶圓之方法包括使用第二化學物質氧化材料層之第二部份,以產生第二氧化層;研磨晶圓之方法更包括在進行機械研磨製程之操作與氧化材料層之第二部分之操作之間進行第二沖洗;第一化學物質及第二化學物質具有相同的材料組成。在一些實施例中,進行機械研磨製程之操作包括藉由研磨墊對著晶圓施加0.5磅每平方吋(psi)或較小的壓力。在一些實施例中,進行機械研磨製程之操作包括對晶圓或研磨墊之至少一者施加研磨漿,研磨漿包括數個磨料粒子,且各磨料粒子具有30奈米(nm)或較小的尺寸。研磨漿包括一界面活性劑;進行機械研磨製程之操作包括對晶圓或研磨墊之至少一者施加研磨漿,研磨漿包括0.5重量百分比(wt%)或較小的固含量濃度。在一些實施例中,進行機械研磨製程包括於至少晶圓或研磨墊之一施加研磨漿,研磨漿包括0.5重量百分比(wt%)或較小的固含量濃度。在一些實施例中,第一化學物質包括過氧化氫。
在一些實施例中,一種研磨晶圓之方法包括使用第一化學物質進行化學反應,以改變晶圓上的材料層的第一部份之性質。進行第一沖洗以去除第一化學物質,且延緩化學反應。在進行第一沖洗之操作之後,進行機械研磨製程以去除材料層之第一部份。
在一些實施例中,進行機械研磨製程之操作包括對與材料層完全地相對的晶圓之表面或背對材料層的研磨墊之表面之至少一者施加冷卻液;晶圓包括數個晶粒;進行機械研磨製程之操作包括在少於全部的晶粒之上進行機械研磨製程;進行機械研磨製程之操作包括對晶圓施加研磨漿;進行機械研磨製程之操作包括對晶圓或研磨墊之至少一者施加包括數個磨料粒子的研磨漿,各磨料粒子具有30奈米(nm)或較小的尺寸,其中磨料粒子佔研磨漿之0.5或較小的重量百分比(wt%);進行機械研磨製程之操作包括暴露材料層的在第一部份之下之第二部分;研磨晶圓之方法包括使用第二化學物質進行第二化學反應,以改變材料層的第二部分之性質。在一些實施例中,在進行機械研磨製程之操作與進行第二化學反應以改變材料層的第二部分之性質之操作之間進行第二沖洗;第一化學物質及第二化學物質具有相同的材料組成。
在一些實施例中,一種研磨晶圓之方法包括進行機械研磨製程,以去除晶圓上的材料層之第一部份。在機械研磨製程期間,對晶圓或研磨墊之至少一者施加包括數個磨料粒子的研磨漿,各磨料粒子具有30奈米或較小的尺寸。在機械研磨製程期間,藉由研磨墊對著晶圓施加0.5磅每平方吋或較小的壓力。
在一些實施例中,研磨漿的總固含量為研磨漿之0.5或較小的重量百分比(wt%),研磨漿包含磨料粒子。
前述內文概述了許多實施例的特徵,使本技術領域中具有通常知識者可以從各個方面更佳地了解本揭露。本技術領域中具有通常知識者應可理解,且可輕易地以本揭露為基礎來設計或修飾其他製程及結構,並以此達到相同的目的及/或達到與在此介紹的實施例等相同之優點。本技術領域中具有通常知識者也應了解這些相等的結構並未背離本揭露的發明精神與範圍。在不背離本揭露的發明精神與範圍之前提下,可對本揭露進行各種改變、置換或修改。
本文提供各種實施例之操作。一些或所有被描述的操作之順序不應被解釋為暗示這些操作一定為依循順序的。可理解替代的順序具有本說明書的益處。進一步地,應被瞭解的是,並非所有操作一定會出現在本文提供的各個實施例中。還有,可被瞭解的是,並非所有操作皆為必要的。
可理解的是,本文所描述的層、特徵、元件等以相對於彼此的特定尺寸繪示,例如結構尺寸或方位,舉例來說,在一或多個實施例中,為了簡化及易於了解的目的,其實際尺寸基本上不同於本文所繪示。此外,存在各種用以形成本文所提及的層特徵、元件等的技術,舉例來說,例如蝕刻技術、植入(implanting)技術、摻雜(doping)技術、旋塗(spin-on)技術、濺鍍(sputtering)技術(例如:磁控管(magnetron)或離子束濺鍍)、生長技術(例如:熱生長)或是沉積技術(例如:化學氣相沉積(chemical vapor deposition,CVD)、物理氣相沉積(physical vapor deposition,PVD)、電漿增強化學氣相沉積(plasma enhanced chemical vapor deposition,PECVD)、或原子層沉積(atomic layer deposition,ALD))。
此外,本文使用「實施例(exemplary)」代表做為一實例(example)、例子(instance)、例證(illustration)等,且不一定為有益的。在本應用中使用「或(or) 」意指代表包容性的「或」而不是排他性的「或」。此外,本應用以及附加的申請專利範圍中使用的「一(a) 」或「一(an) 」被視為代表「一或多個」,除非另外指明或前後文已清楚指示為單數形式。還有,至少一A及B及/或其類似一般代表A或B或A和B兩者。此外,在使用「包括(includes) 」、「具有(having) 」、「具有(has) 」、「具有(with) 」、或其變化的情形之下,這樣的用語意指與用語「包括(comprising) 」類似的包容性。還有,除非另外指明,「第一」、「第二」或類似的用語並非用來暗示時間概念、空間概念、順序等。反而,這些用詞僅用以做為特徵、元件、項目等的辨識符號、名稱等。舉例來說,第一元件和第二元件一般對應至元件A和元件B或兩個不同或兩個雷同的(identical)元件或相同的元件。
還有,雖然本揭露已以一或多個實施例顯示及描述,其他所屬技術領域中具有通常知識者可基於閱讀或了解本說明書及所附的圖式進行相當的替代或修飾。本發明包括所有這類的修飾及替代且僅受限於以下申請專利範圍。特別考慮到上述元件(例如:元件、資源等)所表現的各種功能,除非特別說明,描述這種元件的用語是用來對應至表現所述元件的特定功能的任何元件(例如:功能相當),即使在結構上並未相當於所揭露的結構。此外,雖本揭露的特定特徵已以數個實施例之一揭露,當受預期或對任何給定或特定的發明有利時,這種特徵可與其他實施例的一或多個其他特徵結合。
100、300:研磨設備 101:基板 102、312:半導體晶圓 104、302:轉盤 106、316:晶圓承載座 108:修整器臂 110、304:研磨墊 112、308:研磨漿分配設備 114:材料分配設備 116:第一化學物質 118:材料層 120:氧化層 122:沖洗設備 124:沖洗材料 126、128、208、212、306:旋轉 130、214:壓力 132、310:研磨漿 134、216:去除 136:第二沖洗材料 202:第二化學物質 206:第三沖洗材料 210:第二研磨漿 218:第四沖洗材料 314:載體膜 320:力 404:鎖定結構 406:平台 408:由上而下之視圖 410、412:側視圖 414:唇台
根據以下的詳細說明並配合所附圖式做完整揭露。應被強調的是,根據本產業的一般作業,圖示並未必按照比例繪製。事實上,可能任意的放大或縮小元件的尺寸,以做清楚的說明。 第1A圖繪示根據一些實施例之晶圓的剖面圖。 第1B圖繪示根據一些實施例之在晶圓之上進行的氧化製程。 第1C圖繪示根據一些實施例之晶圓的剖面圖。 第1D圖繪示根據一些實施例之在晶圓之上進行的沖洗。 第1E圖繪示根據一些實施例之晶圓的剖面圖。 第1F圖繪示根據一些實施例之在晶圓之上進行的機械研磨。 第1G圖繪示根據一些實施例之晶圓的剖面圖。 第1H圖繪示根據一些實施例之在晶圓之上進行的沖洗。 第1I圖繪示根據一些實施例之晶圓的剖面圖。 第2A圖繪示根據一些實施例之在晶圓之上進行的氧化製程。 第2B圖繪示根據一些實施例之晶圓的剖面圖。 第2C圖繪示根據一些實施例之在晶圓之上進行的沖洗。 第2D圖繪示根據一些實施例之晶圓的剖面圖。 第2E圖繪示根據一些實施例之在晶圓之上進行的機械研磨。 第2F圖繪示根據一些實施例之晶圓的剖面圖。 第2G圖繪示根據一些實施例之在晶圓之上進行的沖洗。 第2H圖繪示根據一些實施例之晶圓的剖面圖。 第3圖繪示根據一些實施例之被機械性地研磨的晶圓。 第4圖繪示根據一些實施例之晶圓承載座。
100:研磨設備
102:半導體晶圓
104:轉盤
106:晶圓承載座
108:修整器臂
110:研磨墊
112:研磨漿分配設備
126、128:旋轉
130:壓力
132:研磨漿

Claims (20)

  1. 一種研磨晶圓之方法,包括: 使用一第一化學物質氧化一晶圓上的一材料層之一第一部份,以產生一氧化層; 進行一第一沖洗以去除該第一化學物質;以及 在進行該第一沖洗之操作之後,進行一機械研磨製程以去除該氧化層。
  2. 如申請專利範圍第1項所述之研磨晶圓之方法,其中: 進行該機械研磨製程包括暴露該材料層在該氧化層之下之一第二部分;以及 該研磨晶圓之方法更包括使用一第二化學物質氧化該材料層之該第二部份,以產生一第二氧化層。
  3. 如申請專利範圍第2項所述之研磨晶圓之方法,更包括: 在進行該機械研磨製程之操作與氧化該材料層之該第二部分之操作之間進行一第二沖洗。
  4. 如申請專利範圍第2項所述之研磨晶圓之方法,其中該第一化學物質及該第二化學物質具有一相同的材料組成。
  5. 如申請專利範圍第1項所述之研磨晶圓之方法,其中進行該機械研磨製程之操作包括藉由一研磨墊對著該晶圓施加0.5磅每平方吋或較小的壓力。
  6. 如申請專利範圍第1項所述之研磨晶圓之方法,其中進行該機械研磨製程之操作包括對該晶圓或一研磨墊之至少一者施加一研磨漿,該研磨漿包括複數個磨料粒子,且各該等磨料粒子具有30奈米或較小的尺寸。
  7. 如申請專利範圍第6項所述之研磨晶圓之方法,其中該研磨漿包括一界面活性劑。
  8. 如申請專利範圍第6項所述之研磨晶圓之方法,其中進行該機械研磨製程之操作包括對該晶圓或該研磨墊之至少一者施加該研磨漿,該研磨漿包括0.5重量百分比或較小的固含量濃度。
  9. 如申請專利範圍第1項所述之研磨晶圓之方法,其中進行該機械研磨製程之操作包括對該晶圓或該研磨墊之至少一者施加一研磨漿,該研磨漿包括0.5重量百分比或較小的固含量濃度。
  10. 如申請專利範圍第1項所述之研磨晶圓之方法,其中該第一化學物質包括過氧化氫。
  11. 一種研磨晶圓之方法,包括: 使用一第一化學物質進行一化學反應,以改變一晶圓上的一材料層的一第一部份之一性質; 進行一第一沖洗以去除該第一化學物質,且延緩該化學反應;以及 在進行該第一沖洗之操作之後,進行一機械研磨製程以去除該材料層之該第一部份。
  12. 如申請專利範圍第11項所述之研磨晶圓之方法,其中進行該機械研磨製程之操作包括對與該材料層完全地相對的該晶圓之一表面或背對該材料層的一研磨墊之一表面之至少一者施加一冷卻液。
  13. 如申請專利範圍第11項所述之研磨晶圓之方法,其中: 該晶圓包括複數個晶粒;以及 進行該機械研磨製程之操作包括在少於全部的該等晶粒之上進行該機械研磨製程。
  14. 如申請專利範圍第11項所述之研磨晶圓之方法,其中進行該機械研磨製程之操作包括對該晶圓施加一研磨漿。
  15. 如申請專利範圍第11項所述之研磨晶圓之方法,其中進行該機械研磨製程之操作包括對該晶圓或一研磨墊之至少一者施加包括複數個磨料粒子的一研磨漿,各該等磨料粒子具有30奈米或較小的尺寸,其中該等磨料粒子佔該研磨漿之0.5或較小的重量百分比。
  16. 如申請專利範圍第11項所述之研磨晶圓之方法,其中: 進行該機械研磨製程之操作包括暴露該材料層在該第一部份之下之一第二部分;以及 該研磨一晶圓之方法包括使用一第二化學物質進行一第二化學反應,以改變該材料層的該第二部分之一性質。
  17. 如申請專利範圍第16項所述之研磨晶圓之方法,更包括: 在進行該機械研磨製程之操作與進行該第二化學反應以改變該材料層的該第二部分之該性質之操作之間進行一第二沖洗。
  18. 如申請專利範圍第16項所述之研磨晶圓之方法,其中該第一化學物質及該第二化學物質具有一相同的材料組成。
  19. 一種研磨晶圓之方法,包括: 進行一機械研磨製程,以去除一晶圓上的一材料層之一第一部份,包括: 對該晶圓或一研磨墊之至少一者施加包括複數個磨料粒子的一研磨漿,各該等磨料粒子具有30奈米或較小的尺寸;以及 藉由該研磨墊對著該晶圓施加0.5磅每平方吋或較小的壓力。
  20. 如申請專利範圍第19項所述之研磨晶圓之方法,其中該研磨漿的一總固含量為該研磨漿之0.5或較小的重量百分比(wt%),該研磨漿包含該磨料粒子。
TW108143622A 2018-11-30 2019-11-29 研磨晶圓之方法 TW202021729A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862774045P 2018-11-30 2018-11-30
US62/774,045 2018-11-30
US16/283,928 US10964549B2 (en) 2018-11-30 2019-02-25 Wafer polishing with separated chemical reaction and mechanical polishing
US16/283,928 2019-02-25

Publications (1)

Publication Number Publication Date
TW202021729A true TW202021729A (zh) 2020-06-16

Family

ID=70849370

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108143622A TW202021729A (zh) 2018-11-30 2019-11-29 研磨晶圓之方法

Country Status (3)

Country Link
US (1) US10964549B2 (zh)
CN (1) CN111261505A (zh)
TW (1) TW202021729A (zh)

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1170909C (zh) * 1999-10-20 2004-10-13 国际商业机器公司 金属布线的化学机械平面化
US6652362B2 (en) * 2000-11-23 2003-11-25 Samsung Electronics Co., Ltd. Apparatus for polishing a semiconductor wafer and method therefor
US6667239B2 (en) * 2001-01-23 2003-12-23 Asml Us, Inc. Chemical mechanical polishing of copper-oxide damascene structures
CN1328765C (zh) * 2004-02-06 2007-07-25 联华电子股份有限公司 一种半导体芯片研磨方法及装置
US7947637B2 (en) * 2006-06-30 2011-05-24 Fujifilm Electronic Materials, U.S.A., Inc. Cleaning formulation for removing residues on surfaces
KR101445429B1 (ko) * 2007-07-10 2014-09-26 히타치가세이가부시끼가이샤 금속용 연마액 및 연마 방법
JP2009194216A (ja) * 2008-02-15 2009-08-27 Hitachi Ltd 半導体装置の製造方法
US8153526B2 (en) * 2008-08-20 2012-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. High planarizing method for use in a gate last process
JP6312976B2 (ja) * 2012-06-12 2018-04-18 Sumco Techxiv株式会社 半導体ウェーハの製造方法
US20160027668A1 (en) * 2014-07-25 2016-01-28 Applied Materials, Inc. Chemical mechanical polishing apparatus and methods

Also Published As

Publication number Publication date
CN111261505A (zh) 2020-06-09
US20200176264A1 (en) 2020-06-04
US10964549B2 (en) 2021-03-30

Similar Documents

Publication Publication Date Title
JP3805588B2 (ja) 半導体装置の製造方法
TWI637426B (zh) 單一平台多循環之間隔物沉積與蝕刻
US6946397B2 (en) Chemical mechanical polishing process with reduced defects in a copper process
US20070117342A1 (en) Gcib liner and hardmask removal process
TW200300609A (en) Transistor metal gate structure that minimizes non-planarity effects and method of formation
TW201009925A (en) Method for performing a chemical-mechanical polishing
TW200539277A (en) A method for making a semiconductor device having a high-k gate dielectric layer and a metal gate electrode
TW201028494A (en) Methods for depositing tungsten films having low resistivity for gapfill applications
US11114339B2 (en) Method for reducing metal plug corrosion and device
US20180240679A1 (en) Hard Mask Removal Method
TW567530B (en) Manufacturing method semiconductor integrated circuit including simultaneous formation of via hole reaching metal wiring and concave groove in interlayer film and semiconductor integrated circuit manufactured with the manufacturing method
US7494931B2 (en) Method for fabricating semiconductor device and polishing method
US6468136B1 (en) Tungsten CMP with improved alignment mark integrity, reduced edge residue, and reduced retainer ring notching
US20060261041A1 (en) Method for manufacturing metal line contact plug of semiconductor device
Steigerwald Chemical mechanical polish: The enabling technology
TW574346B (en) Composition for metal CMP with low dishing and overpolish insensitivity
JP4987254B2 (ja) 半導体装置の製造方法
US11901226B2 (en) Method for forming an interconnect structure
WO1999046081A1 (en) Multi-step chemical mechanical polishing process and device
US20140097539A1 (en) Technique for uniform cmp
US9337103B2 (en) Method for removing hard mask oxide and making gate structure of semiconductor devices
TW202021729A (zh) 研磨晶圓之方法
JP4644323B2 (ja) 有機アルカリを含有する半導体用研磨剤
US20230197511A1 (en) Double patterning with selectively deposited spacer
US11804378B2 (en) Surface conversion in chemical mechanical polishing