TW202013739A - 半導體裝置 - Google Patents

半導體裝置 Download PDF

Info

Publication number
TW202013739A
TW202013739A TW108130489A TW108130489A TW202013739A TW 202013739 A TW202013739 A TW 202013739A TW 108130489 A TW108130489 A TW 108130489A TW 108130489 A TW108130489 A TW 108130489A TW 202013739 A TW202013739 A TW 202013739A
Authority
TW
Taiwan
Prior art keywords
gate
source
barrier layer
drain region
core channel
Prior art date
Application number
TW108130489A
Other languages
English (en)
Inventor
雅利安阿弗薩藍
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202013739A publication Critical patent/TW202013739A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/70Bipolar devices
    • H01L29/72Transistor-type devices, i.e. able to continuously respond to applied control signals
    • H01L29/739Transistor-type devices, i.e. able to continuously respond to applied control signals controlled by field-effect, e.g. bipolar static induction transistors [BSIT]
    • H01L29/7391Gated diode structures
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4983Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET with a lateral structure, e.g. a Polysilicon gate with a lateral doping variation or with a lateral composition variation or characterised by the sidewalls being composed of conductive, resistive or dielectric material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66356Gated diodes, e.g. field controlled diodes [FCD], static induction thyristors [SITh], field controlled thyristors [FCTh]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0646PN junctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0676Nanowires or nanotubes oriented perpendicular or at an angle to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/20Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds
    • H01L29/201Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds including two or more compounds, e.g. alloys
    • H01L29/205Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds including two or more compounds, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • H01L29/42376Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out characterised by the length or the sectional shape

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Mathematical Physics (AREA)
  • Theoretical Computer Science (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

半導體裝置包括第一源極/汲極區域、第二源極/汲極區域、核心通道區域、阻擋層、外殼和閘極堆疊。核心通道區域位於第一源極/汲極區域和第二源極/汲極區域之間,並摻雜有第一摻雜劑。阻擋層位於核心通道區域和第二源極/汲極區域之間,並摻雜有第二摻雜劑。外殼位於核心通道區域和阻擋層上方。閘極堆疊位於外殼上方。

Description

半導體裝置
本揭露涉及半導體裝置與其製造方法。
半導體積體電路工業在過去幾十年中經歷了快速增長。半導體材料和設計的技術進步已經產生越來越小和更複雜的電路。由於與加工和製造相關的技術也經歷了技術進步,使得這些半導體材料和設計進步成為可能。在半導體演進的過程中,每單位面積的互連裝置的數量隨著可以可靠地產生的最小元件的尺寸減小而增加。
然而,許多挑戰亦隨著最小元件的尺寸減小而增加。隨著特徵越來越接近,漏電流變得更加明顯,信號可以更容易地交叉,並且功率使用已成為一個重要的問題。半導體積體電路工業已經產生了許多進展以繼續縮小(scaling)的製程。其中一個進展是穿隧式場效應電晶體(tunneling field-effect transistor,TFET)可能替換或補充傳統的金屬氧化物半導體場效應電晶體。
穿隧式場效應電晶體是有潛力的裝置,其可以實現電源電壓的進一步縮放,而不會由於其低於60mV/dec的次臨界擺幅(subthreshold swing)而基本上增加截止狀態漏電 流(off-state leakage currents)。然而,現有的穿隧式場效應電晶體在各方面都還不令人滿意。
依據本揭露的部分實施例,提供一種半導體裝置,包含:第一源極/汲極區域、第二源極/汲極區域、核心通道區域、阻擋層、外殼和閘極堆疊。核心通道區域位於第一源極/汲極區域和第二源極/汲極區域之間,並摻雜有複數個第一摻雜劑;阻擋層位於核心通道區域和第二源極/汲極區域之間,並摻雜有複數個第二摻雜劑;外殼位於核心通道區域和阻擋層上;閘極堆疊位於外殼上。
100‧‧‧方法
102‧‧‧操作
104‧‧‧操作
106‧‧‧操作
108‧‧‧操作
110‧‧‧操作
112‧‧‧操作
114‧‧‧操作
116‧‧‧操作
210‧‧‧基板
212‧‧‧凹槽
214‧‧‧凹槽
216‧‧‧凹槽
218‧‧‧凹槽
310‧‧‧源極/汲極區域
320‧‧‧核心通道區域
322‧‧‧部分
410‧‧‧光阻
510‧‧‧阻擋層
510'‧‧‧阻擋層
710‧‧‧光阻
712‧‧‧開口
810‧‧‧外殼
812‧‧‧通道部分
814‧‧‧阻擋部分
910‧‧‧源極/汲極區域
1000‧‧‧閘極堆疊
1010‧‧‧第一閘極
1012‧‧‧閘極介電層
1014‧‧‧金屬層
1020‧‧‧第二閘極
1022‧‧‧閘極介電層
1024‧‧‧金屬層
1110‧‧‧間隔物
1120‧‧‧間隔物
1500‧‧‧方法
1502‧‧‧操作
1504‧‧‧操作
1506‧‧‧操作
1508‧‧‧操作
1510‧‧‧操作
1512‧‧‧操作
1910‧‧‧半導體材料
2010‧‧‧摻雜區域
2210‧‧‧半導體材料
2310‧‧‧操作
2600‧‧‧方法
2602‧‧‧操作
2604‧‧‧操作
2606‧‧‧操作
2608‧‧‧操作
2610‧‧‧操作
2612‧‧‧操作
2614‧‧‧操作
2616‧‧‧操作
2710‧‧‧基板
2805‧‧‧突出結構/核心結構
2810‧‧‧源極/汲極區域
2820‧‧‧核心通道區域
2830‧‧‧隔離特徵
2910‧‧‧阻擋層
2910'‧‧‧阻擋層
2920‧‧‧第一間隔物
3110‧‧‧外殼
3112‧‧‧通道部分
3114‧‧‧阻擋部分
3210‧‧‧源極/汲極區域
3310‧‧‧第一閘極
3310'‧‧‧第一閘極
3312‧‧‧閘極介電層
3312'‧‧‧閘極介電層
3314‧‧‧金屬層
3314'‧‧‧金屬層
3410‧‧‧第一層間介電質
3510'‧‧‧阻擋層
3600‧‧‧閘極堆疊
3610‧‧‧第二閘極
3612‧‧‧閘極介電層
3614‧‧‧金屬層
3620‧‧‧第二層間介電層
3710‧‧‧第二間隔物
3810‧‧‧第二層間介電層
3820‧‧‧接觸
3830‧‧‧接觸
3840‧‧‧接觸
4100‧‧‧方法
4102‧‧‧操作
4104‧‧‧操作
4106‧‧‧操作
4108‧‧‧操作
4110‧‧‧操作
4410‧‧‧半導體材料
4510‧‧‧摻雜區域
4710‧‧‧半導體材料
4910‧‧‧操作
5201‧‧‧線
5202‧‧‧線
5203‧‧‧線
Ev‧‧‧價帶
EC‧‧‧導帶
J(E)‧‧‧光譜電流密度
LBL‧‧‧長度
LCC‧‧‧長度
LS‧‧‧長度
Lg1‧‧‧長度
Lg2‧‧‧長度
T‧‧‧厚度
VG‧‧‧閘極電壓
△E‧‧‧傳導能帶差
當結合附圖閱讀時,從以下詳細描述中可以最好地理解本揭露的各方面。應注意,根據工業中的標準實踐,各種特徵未按比例繪製。實際上,為了清楚地討論,可以任意增加或減少各種特徵的尺寸。
第1圖是根據本揭露的一些實施例中製造半導體裝置的方法的流程圖。
第2圖至第11圖繪示根據第1圖的方法在各方面中處於各個階段的半導體裝置。
第12圖至第13圖繪示根據本揭露的一些實施例之半導體裝置。
第14A圖和第14B圖分別為第11圖至第13圖的半導體裝置在截止狀態(off-state)和導通狀態(on-state)的能帶圖。
第15圖繪示根據本揭露的一些實施例中製造半導體裝置的方法的流程圖。
第16圖至第21圖繪示根據第15圖的方法在各方面中處於各個階段的半導體裝置。
第22圖繪示根據本揭露的一些實施例的半導體裝置。
第23圖繪示根據本揭露的一些實施例中製造半導體裝置的方法的流程圖。
第24圖至第25圖繪示根據第23圖的方法在各方面中處於各個階段的半導體裝置。
第26圖繪示根據本揭露的一些實施例中製造半導體裝置的方法的流程圖。
第27圖至第38圖繪示根據第26圖的方法在各方面中處於各個階段的半導體裝置。
第39圖至第40圖繪示根據本揭露的一些實施例中半導體裝置。
第41圖繪示根據本揭露的一些實施例中製造半導體裝置的方法的流程圖。
第42圖至第46圖繪示根據第41圖的方法在各方面中處於各個階段的半導體裝置。
第47圖至第48圖繪示根據本揭露的一些實施例中半導體裝置。
第49圖繪示根據本揭露的一些實施例中製造半導體裝置的方法的流程圖。
第50圖至第51圖繪示根據第49圖的方法在各方面中處於各個階段的半導體裝置。
第52圖繪示根據一些實施例的半導體裝置的I-V曲線。
以下公開內容提供了用於實現所提供主題的不同特徵的許多不同實施例或示例。以下描述元件和配置的具體實施例以簡化本揭露。當然,這些僅僅是實施例,而不是限制性的。例如,在以下描述中,在第二特徵之上或上方形成第一特徵可以包括其中第一特徵和第二特徵以直接接觸而形成的實施例,並且還可以包括可以在第一特徵和第二特徵之間形成附加特徵,使得第一特徵和第二特徵可以不直接接觸的實施例。另外,本揭露可以在各種實施例中重複參考數字和/或文字。此重複是為了簡單和清楚的目的,並且本身並不代表所討論的各種實施例和/或配置之間的關係。
此外,這裡可以使用空間相對術語,例如「在...下方」、「在...下面」、「低於」、「在...上方」、「高於」等,以便於描述如圖所示的一個元件或特徵與另一個元件或特徵的關係。除了圖中所示的取向之外,空間相對術語旨在涵蓋使用或操作中的裝置的不同取向。裝置可以以其他方式定向(旋轉90度或在其他方向上),並且同樣可以相應地解釋在此使用的空間相對描述符號。
可以透過任何合適的方法圖案化閘極全環(gate all around,GAA)電晶體結構。例如,可以使用一個或多個光刻製程(包括雙圖案化或多圖案化製程)來圖案化此結構。通常,雙圖案化或多圖案化製程組合光刻和自對準製程,以允許創建具有例如比使用單個、直接光刻製程可獲得的間距更小的間距的圖案。例如,在一個實施例中,在基板上形成犧牲層並使用光刻製程圖案化。使用自對準製程在圖案化的犧牲層旁邊形成間隔物。接著去除犧牲層,然後可以使用剩餘的間隔物來圖案化閘極全環結構。
本揭露之一實施方式提供了對齊半導體裝置的通道區域的外殼能帶(shell energy band)和半導體裝置的阻擋層的外殼能帶的裝置和方法。在示性實施例中,半導體裝置包括第一源極/汲極區域和第二源極/汲極區域(例如,第11圖中的第一源極/汲極區域310和第二源極/汲極區域910或第38圖中的第一源極/汲極區域2810和第二源極/汲極區域3210),在第一源極/汲極區域和第二源極/汲極區域之間的核心通道區域(例如,第11圖中的核心通道區域320或第38圖中的核心通道區域2820),在核心通道區域和第二源極/汲極區域之間的阻擋層(例如,第11圖中阻擋層510',或者第38圖中的阻擋層2910'),在核心通道區域和阻擋層上方的外殼(例如,第11圖中的外殼810或第38圖中的外殼3110),以及在外殼上方的閘極堆疊(例如,第11圖中的閘極堆疊1000或第38圖中的閘極堆疊3600)。外殼在核心通道區域上具有通道部分(例如,第11圖中的通道部分812或第38圖中的通道部分3112),並且 在阻擋區域上具有阻擋部分(例如,第11圖中的阻擋部分814或第38圖中的阻擋部分3114)。外殼的通道部分的傳導能帶(conduction energy band)(用於n型裝置)或價能帶(valence energy band)(用於p型裝置)與外殼的阻擋部分的傳導能帶(用於n型裝置)或價能帶(用於p型裝置)對齊。例如,如第14A圖(n型裝置)所示,殼帶失配(shell band mismatch),即通道部分的傳導能帶與阻擋部分的傳導能帶之間的差△E非常小(即,小於或等於約0.2eV)。在一些實施例中,透過摻雜阻擋層,外殼的通道部分的傳導能帶與外殼的阻擋部分的傳導能帶對齊。在其他實施例中,透過將閘極堆疊分成具有不同功函數的兩個閘極,而使外殼的通道部分的傳導能帶與外殼的阻擋部分的傳導能帶對齊。裝置包括摻雜的阻擋層、兩個閘極和/或在外殼的阻擋部分處提供靜電調控之摻雜的外殼。阻擋部分的傳導能帶/價能帶可以基本上與外殼的通道部分的傳導能帶/價能帶對齊。利用這種配置,可以用低功耗增加半導體裝置的導通電流。
還應注意,本揭露以平面或多閘極電晶體(平面的或垂直的)的形式呈現實施例,其可包括在此稱為鰭式場效應電晶體(fin field-effect transistor,FinFET)裝置的鰭式多閘極電晶體(fin-type multi-gate transistors)。這種裝置可以包括P型鰭式場效應電晶體裝置或N型鰭式場效應電晶體裝置。鰭式場效應電晶體裝置可以是雙閘極裝置、三閘極裝置、體裝置(bulk device)、絕緣體上矽(silicon-on-insulator,SOI)裝置和/或其他配置。普通技術人員應理解可以受益於本 揭露的半導體裝置的其他實施例。例如,本文所述之一些實施例還可以應用於閘極全環裝置、Omega-閘極(Ω-閘極)裝置或Pi-閘極(Π-閘極)裝置。
第1圖中繪示根據本揭露的一些實施例中製造半導體裝置的方法100的流程圖。第2圖至第11圖繪示根據第1圖的方法在各方面中處於各個階段的半導體裝置。在一些實施例中,第2圖至第11圖中繪示的半導體裝置可以是在積體電路(integrated circuit,IC)(或其一部分)的製程期間製造的中間裝置,其可以包括靜態隨機存取記憶體(static random access memory,SRAM)、邏輯電路、被動元件(例如,電阻器、電容器和電感器)、以及/或主動元件(例如,p型場效應電晶體、n型場效應電晶體、多閘極場效應電晶體、雙極電晶體、高壓電晶體、高頻電晶體)、其他記憶體單元及其組合。在一些實施例中,第2圖至第11圖中所示的半導體裝置具有水平電晶體結構。
方法100開始於操作102之提供基板。參考第2圖的實施例,在操作102的一些實施例中,提供基板210。基板210可以是半導體基板,例如矽基板。基板210可以包括各種層,包括形成在基板210上的導電層或絕緣層。基板210可以包括基於本領域已知的設計要求的各種摻雜配置。基板210還可以包括其他半導體(例如,鍺、碳化矽(SiC)、矽鍺(SiGe)或鑽石)。或者,基板210可以包括化合物半導體和/或合金半導體。此外,在一些實施例中,基板210可以包括磊晶層(epitaxial layer),基板210可以是應變的以提高性能,基 板210可以包括絕緣體上矽結構,和/或基板210可具有其他合適的增強特徵。
方法100前進到操作104,其中在基板中形成第一源極/汲極區域和核心通道區域。參考第3圖的實施例,在操作104的一些實施例中,在基板210中形成第一源極/汲極區域310和核心通道區域320。在一些實施例中,核心通道區域320可包括與基板210相同的材料(例如,當基板210包括高遷移率材料時)。高遷移率材料是具有比矽更高遷移率的材料。高遷移率材料包括矽鍺(SiGe)、砷化銦(InAs)、銻化銦(InSb)或其他合適的材料。因為這種高遷移率半導體材料在導帶(conduction band)和價帶(valence band)之間具有較小的能隙(band gap),因此即使這些材料可以提供良好的導通狀態性能,這些材料可能會具有更多的漏電流。在一些實施例中,核心通道區域320可以包括在基板210上生長(例如,透過磊晶生長製程)的高遷移率材料,其中基板210包括與核心通道區域320的材料不同的材料。在一些實施例中,第一源極/汲極區域310可以透過眾所周知的製程形成,例如光刻製程、離子注入製程、退火製程、擴散製程、磊晶生長製程中的一個或多個,以及/或本領域已知的其他方法。
在一些其他實施例中,第一源極/汲極區域310和核心通道區域320可以以各種方式形成。例如,在平面電晶體裝置的情況下,可以透過用適當類型的摻雜劑摻雜基板的區域來形成第一源極/汲極區域和核心通道區域。適當類型的摻雜劑取決於電晶體的類型。在鰭式場效應電晶體的情況下,核心 通道區域形成為鰭狀結構。在奈米線電晶體的情況下,核心通道區域形成為奈米線結構的一部分。在一些實施例中,可以使用磊晶製程來形成第一源極/汲極區域。例如,可以使用諸如蝕刻製程的去除製程來去除要形成第一源極/汲極區域的區域。然後,第一源極/汲極區域可以在透過去除製程形成的凹槽內磊晶生長。在一些實施例中,第一源極/汲極區域可以原位摻雜。
核心通道區域320具有第一摻雜劑,並且第一源極/汲極區域310具有與第一摻雜劑相同導電類型的第三摻雜劑。在半導體裝置是n型電晶體的一些實施例中,第一摻雜劑和第三摻雜劑是p型摻雜劑。在半導體裝置是p型電晶體的一些實施例中,第一摻雜劑和第三摻雜劑是n型摻雜劑。第一源極/汲極區域310和核心通道區域320可以適度地摻雜。第一源極/汲極區域310的摻雜濃度低於核心通道區域320的摻雜濃度。例如,第一源極/汲極區域310可具有範圍從約1×1017/cm3到約5×1020/cm3的摻雜濃度,核心通道區域320的摻雜濃度可以為約5×1018/cm3至約1×1021/cm3
方法100進行到操作106,其中在基板中並且在鄰近核心通道區域的地方形成阻擋層。參考第4圖的實施例,在操作106的一些實施例中,圖案化並蝕刻基板210。在一些實施例中,可以透過光刻或電子束光刻來圖案化基板210。例如,光刻製程可包括形成覆蓋基板210的光阻410(光阻層),將光阻410暴露於圖案,執行曝光後烘烤製程,以及顯影光阻410以形成包括光阻410的遮罩元件。在一些實施例中,可以使用 電子束(e-beam)光刻製程來執行圖案化光阻410以形成製造元件。然後,遮罩元件可用於保護基板210的區域,而蝕刻製程在基板210中形成凹槽212。用於形成凹槽212的蝕刻可包括乾式蝕刻(例如,反應式離子蝕刻(reactive ion etcher,RIE)、感應耦合式電漿(inductively coupled plasma,ICP))、濕式蝕刻或其他合適的製程。
參考第5圖的實施例,在操作106的一些實施例中,阻擋層510形成在凹槽212中(即,在基板210中並且鄰近核心通道區域320)。在一些實施例中,阻擋層在凹槽212中再生長。在各種實施例中,使用磊晶生長製程(例如,分子束磊晶(molecular beam epitaxy,MBE)、有機金屬化學氣相沉積(metalorganic chemical vapor deposition,MOCVD)和/或其他合適的再生長製程)再生長阻擋層510。舉例來說,再生長阻擋層510可以包括多種半導體材料中的任何一種,例如矽鍺(SiGe)、應變的鍺(Ge)、鍺(Ge)、砷化鎵(GaAs)、磷化鎵(GaP)、磷化銦(InP)、砷化銦(InAs)、銻化銦(InSb)、磷砷化鎵(GaAsP)、砷化鋁銦(InAlAs)、砷化鎵鋁(AlGaAs)、砷化鎵銦(InGaAs)、磷化銦鎵(GaInP)和/或磷砷化銦鎵(GaInAsP)、或其組合。
應該注意,為了清楚的討論,再生長阻擋層510可以包括具有與核心通道區域320的組成不同的組成的材料。此外,再生長阻擋層510可以包括與用於核心通道區域320的材料之不同能隙的材料。例如,阻擋層510包括能隙大於核心通道區域320的材料的材料。因此,在阻擋層/核心通道區域界 面處形成異質接面(heterojunction)。在阻擋層/核心通道區域界面處形成的異質接面(heterojunction)導致界面處的能帶偏移(band-offsets)(即,導帶偏移(conduction band-offset)和/或價帶偏移(valence band-offset)),這將影響流過核心通道區域320的源極-汲極電流。在一些實施例中,界面處的能帶偏移導致抑制和/或顯著降低的能帶間穿隧(band-to-band tunneling,BTBT)電流,以便最小化截止狀態下的漏電流。在一些實施例中,阻擋層510包括與核心通道區域320相同的材料但具有相反類型的摻雜濃度,以便在核心通道和阻擋區域之間產生價能帶偏移(對於N型裝置)或傳導能帶偏移(用於P型裝置)以抑制洩漏。在一些實施例中,阻擋層510包括氧化物材料,例如二氧化矽(SiO2)、二氧化鉿(HfO2)、三氧化二鋁(Al2O3)、二氧化鋯(ZrO2)、氧化的銻化鋁(AlSb)或其他合適的材料。調整阻擋層510的長度LBL以使洩漏最小化,長度LBL越長,則給定材料裝置中的洩漏越低。在一些實施例中,阻擋層510的長度LBL為約20nm至約35nm。
方法100進行到操作108,其中摻雜阻擋層。參照第6圖的實施例,在操作108的一些實施例中,阻擋層510'摻雜有與第一摻雜劑相同的導電類型的第二摻雜劑。例如,可以在磊晶生長製程期間原位摻雜阻擋層510'。或者,可以在形成第5圖的阻擋層510之後摻雜阻擋層510'。在N型電晶體的情況下,阻擋層510'可以高度摻雜有P型摻雜劑。例如,如果阻擋層510'由銻化鎵(GaSb)製成,則P型摻雜劑是鋅(Zn);如 果阻擋層510'由砷化鎵(GaAs)製成,則P型摻雜劑是碳(C)。在P型電晶體的情況下,阻擋層510'可以高度摻雜有N型摻雜劑。例如,如果阻擋層510'由砷化銦(InAs)製成,則N型摻雜劑是硫(S)(也可以使用硒(Se)和錫(Sn));如果阻擋層510'由砷化鎵(GaAs)製成,則N型摻雜劑是矽(Si)。在一些實施例中,阻擋層510'的摻雜濃度低於或等於核心通道區域320的摻雜濃度。在其他實施例中,阻擋層510'的摻雜濃度可高於第一源極/汲極區域310的摻雜濃度。在部分實施例中,阻擋層510'的摻雜濃度可以具有與第一源極/汲極區域310的摻雜濃度基本上相同的值。在一個實施例中,阻擋層510'的摻雜濃度可以低於第一源極/汲極區域310的摻雜濃度。在另一個實施例中,阻擋層510'可以摻雜有與核心通道區域320相反的導電類型。
方法100進行到操作110,其中在核心通道區域和阻擋層上形成外殼。參照第7圖的實施例,在操作110的一些實施例中,在基板210中形成另一凹槽214。具體地,在形成阻擋層510(或510')之後,移除第6圖的光阻410。在基板210上形成另一光阻710,以覆蓋第一源極/汲極區域310、核心通道區域320和阻擋層510'。在光阻710中形成開口712。開口712暴露出與阻擋層510'相鄰之一部分的基板210。執行蝕刻製程以去除基板210的暴露部分,並且在基板210中形成凹槽214。
參考第8圖的實施例,在操作110的一些實施例中,形成外殼810。具體地,去除第7圖的光阻710,並形成半導體材料以代替光阻710留下的空間。半導體材料填充在基板 210的凹槽214中並且過度填充在第一源極/汲極區域310的頂表面、核心通道區域320和阻擋層510'上。半導體材料附著到阻擋層510'的頂表面和一側。半導體材料可以包括與核心通道區域320不同的材料,並且半導體材料可以是無雜質的(未摻雜的、本徵的)或摻雜的。在一些實施例中,外殼810可以使用與核心通道區域320的材料相同的材料,但是使用與核心通道區域320的材料相反之摻雜的導電類型,以便形成PN接面(PN junction)。
然後將半導體材料圖案化為外殼810。外殼810在核心通道區域320和阻擋層510'上形成L形塊。外殼810的L形塊的一邊設置在核心通道區域320和阻擋層510'的頂表面上。外殼810的L形塊的另一邊豎立在基板210上並覆蓋阻擋層510'的另一側。外殼810的彎角在阻擋層510'的彎角上。在一些實施例中,外殼810的厚度T在約1nm至約7nm的範圍內。
方法100前進到操作112,其中在鄰近外殼的地方形成第二源極/汲極區域。參照第9圖的實施例,在操作112的一些實施例中,另一凹槽216形成在基板210中並鄰近外殼810。然後,第二源極/汲極區域910形成在凹槽216中並鄰近外殼810。在一些實施例中,第二源極/汲極區域910包括擴散的源極/汲極區域、離子注入的源極/汲極區域、磊晶生長區域或其組合。當第二源極/汲極區域910是摻雜的,第二源極/汲極區域910可以具有與外殼810相同的材料。
在一些實施例中,取決於半導體裝置是N型還是P型裝置,為核心通道區域320、阻擋層510(510')、第一源 極/汲極區域310、外殼810和第二源極/汲極區域910中的每一個選擇材料。此外,材料可以在核心通道區域320中的核心和外殼之間呈現足夠的交錯或破裂的間隙配置。舉例來說,用於N型裝置,阻擋層510(510')可以包括砷化鎵(GaAs)、銻化鋁(AlSb)、砷化鎵銦(InGaAs)、砷化鋁銦(InAlAs)、銻化鎵(GaSb)、矽(Si)或矽鍺(SiGe)中的至少一種;用於P型裝置,阻擋層510'可以包括砷化鎵(GaAs)、銻化鋁(AlSb)、砷化鎵銦(InGaAs)、砷化鋁銦(InAlAs)、矽(Si)或矽鍺(SiGe)、磷化銦(InP)或砷化銦(InAs)中的至少一種。僅出於說明的目的,下面將提供在給定的N型或P型裝置中使用之阻擋層510'、核心通道區域320、第一源極/汲極區域310、外殼810、第二源極/汲極區域910中的每一個之材料的實施例性組合。在一些實施例中,對於P型裝置,阻擋層510'包括砷化鎵(GaAs)、銻化鋁(AlSb)或砷化鎵銦(InGaAs),核心通道區域320和第一源極/汲極區域310包括砷化銦(InAs),外殼810和第二源極/汲極區域910包括銻化鎵(GaSb)。在其他實施例中,對於P型裝置,阻擋層510'包括砷鋁化銦(InAlAs),核心通道區域320和第一源極/汲極區域310包括砷化鎵銦(InGaAs),外殼810和第二源極/汲極區域910包括銻化砷鎵(GaAsSb)。在其他實施例中,對於P型裝置,阻擋層510'包括砷化鎵(GaAs)、銻化鋁(AlSb)或砷化鎵銦(InGaAs),核心通道區域320和第一源極/汲極區域310包括砷化銦(InAs),外殼810和第二源極/汲極區域910包括砷化銦(InAs)。在其他實施例中,對於N型電晶體, 阻擋層510'包括銻化鋁(AlSb)、銻化鋁銦(InAlSb)、砷化鎵(GaAs)或砷化鎵銦(InGaAs),核心通道區域320和第一源極/汲極區域310包括銻化鎵(GaSb)、替化砷鎵(GaAsSb)或銻化鎵銦(InGaSb),外殼810以及第二源極/汲極區域910包括砷化銦(InAs)、砷化鎵銦(InGaAs)或銻化銦(InSb)。在其他實施例中,對於N型裝置,阻擋層510'包括矽(Si)或矽鍺(SiGe),核心通道區域320和第一源極/汲極區域310包括鍺(Ge),外殼810和第二源極/汲極區域910包括矽(Si)。在一些實施例中,對於P型電晶體,阻擋層510'包括矽(Si)、矽鍺(SiGe)或磷化銦(InP),核心通道區域320和第一源極/汲極區域310包括鍺(Ge),外殼810和第二源極/汲極區域910包括矽(Si)。
方法100前進到操作114,其中在外殼上形成閘極堆疊。參照第10圖的實施例,在操作114的一些實施例中,在外殼810上形成閘極堆疊1000。閘極堆疊1000包括在核心通道區域320上方的第一閘極1010和在阻擋層510'上方的第二閘極1020。也就是說,第一閘極1010至少部分地與核心通道區域320對齊,並且第二閘極1020至少部分地與阻擋層510'對齊。第一閘極1010包括閘極介電層1012和各種第一金屬層1014。第二閘極1020包括閘極介電層1022和各種第二金屬層1024。
在一些實施例中,使用閘極先製的製程(gate-first process)形成第一閘極1010和第二閘極1020。或者,在一些實施例中,使用閘極後製的製程(gate-last process)形成第一閘極1010和第二閘極1020。在一些實施例中,閘極先製的製程包括在形成源極/汲極或激活源極/汲極摻雜劑之前形成閘極堆疊。僅作為實施例,閘極先製的製程可以包括閘極介電質和閘極沉積,然後是閘極堆疊蝕刻製程以限定閘極臨界尺寸(critical dimension,CD)。在閘極先製的製程的一些實施例中,形成閘極堆疊之後可以形成源極/汲極(包括摻雜源極/汲極區域),並且在一些實施例中,退火激活的源極/汲極摻雜劑。在一些實施例中,閘極後製的製程包括形成虛設閘極堆疊,此虛設閘極堆疊將在半導體裝置的後續處理階段由最終閘極堆疊替換。例如,可以在稍後的處理階段用高介電常數介電層(high-K dielectric layer,HK)和金屬閘極電極(metal gate electrode,MG)替換虛設閘極堆疊。
閘極介電層1012和1022可以是高介電常數閘極介電質,如本文所使用和描述的,包括具有高介電常數的介電材料(例如,大於熱氧化矽(thermal silicon oxide)(~3.9)的介電常數)。閘極介電層1012和1022可以是相同或不同的材料。在第一閘極1010和第二閘極1020內使用的金屬層可以包括金屬、金屬合金或金屬矽化物。另外,第一閘極1010和第二閘極1020的形成包括沉積以形成各種閘極材料和一個或多個化學機械平坦化製程以去除過量的閘極材料並由此平坦化半導體裝置的頂表面。
在一些實施例中,第一閘極1010和第二閘極1020皆包括在外殼810和閘極介電層1012(1022)之間的界面層。舉例來說,界面層可以包括介電材料(例如,氧化矽(SiO2)、 鉿矽酸鹽(HfSiO)或氮氧化矽(SiON))。界面層可以透過化學氧化、熱氧化、原子層沉積(atomic layer deposition,ALD)、化學氣相沉積(chemical vapor deposition,CVD)和/或其他合適的方法形成。閘極介電層1012和1022可以包括高介電常數介電層(例如,氧化鉿(HfO2))。或者,閘極介電層1012和1022可以包括其他高介電常數介電質,例如二氧化鈦(TiO2)、氧化鋯鉿(HfZrO)、氧化鉭(Ta2O3)、矽酸鉿(HfSiO4)、氧化鋯(ZrO2)、氧化矽鋯(ZrSiO2)、氧化鑭(LaO)、氧化鋁(AlO)、氧化鋯(ZrO)、氧化鈦(TiO)、五氧化二鉭(Ta2O5)、氧化釔(Y2O3)、鈦酸鍶(SrTiO3(STO))、鈦酸鋇(BaTiO3(BTO))、氧化鋯鋇(BaZrO)、氧化鋯鉿(HfZrO)、氧化鑭鉿(HfLaO)、氧化矽鉿(HfSiO)、氧化矽鑭(LaSiO)、氧化矽鋁(AlSiO)、氧鉭化鉿(HfTaO)、氧化鈦鉿(HfTiO)、鈦酸鋇(BaTiO3)、鈦酸鍶(SrTiO3)、鈦酸鍶鋇(BST)、氧化鋁(Al2O3)、氮化矽(Si3N4)、氮氧化矽(SiON)、它們的組合或其他合適的材料。閘極介電層1012和1022可以透過原子層沉積、物理氣相沉積(physical vapor deposition,PVD)、化學氣相沉積、氧化和/或其他合適的方法形成。金屬層1014和1024可以包括單層或者可選地多層結構(例如,具有選定功函數的金屬層的各種組合以增強裝置性能(功函數金屬層))、襯墊層、潤濕層、粘合層、金屬合金或金屬矽化物。舉例來說,金屬層1014和1024可以包括鈦(Ti)、銀(Ag)、鋁(Al)、氮化鋁鈦(TiAlN)、碳化鉭(TaC)、氮化碳鉭(TaCN)、氮 矽化鉭(TaSiN)、錳(Mn)、鋯(Zr)、氮化鈦(TiN)、氮化鉭(TaN)、釕(Ru)、鉬(Mo)、鋁(Al)、氮化鎢(WN)、銅(Cu)、鎢(W)、錸(Re)、銥(Ir)、鈷(Co)、鎳(Ni)、其他合適的金屬材料或其組合。金屬層1014和1024可以透過原子層沉積、物理氣相沉積、化學氣相沉積、電子束蒸發或其他合適的製程形成。此外,金屬層1014和1024可以分別用於形成使用不同的金屬層的N型場效應電晶體和P型場效應電晶體。在各種實施例中,可以執行化學機械平坦化製程以從金屬層1014和1024去除過量的金屬,從而提供金屬層1014和1024基本上平坦的頂表面。
在一些實例中,閘極堆疊1000可形成於核心通道區域320的單側上。在一些實例中,閘極堆疊1000可定位於核心通道區域320的不止一側上。第一閘極1010被定位成使得其覆蓋核心通道區域320。然而,在一些實施例中,核心通道區域320可以略微延伸超出第一閘極1010。在一些實施例中,第一閘極1010可以略微延伸超出核心通道區域320。第二閘極1020被定位成使其覆蓋阻擋層510'。然而,在一些實施例中,阻擋層510'可以略微延伸超出第二閘極1020。在一些實施例中,第二閘極1020可以稍微延伸超過阻擋層510'。
第一閘極1010和第二閘極1020的功函數不同。例如,對於N型裝置,第一閘極1010的功函數小於第二閘極1020的功函數;對於P型裝置,第一閘極1010的功函數大於第二閘極1020的功函數。在一些實施例中,第二閘極1020的等效氧化物厚度(equivalent oxide thickness,EOT)小於第一閘極 1010的等效氧化物厚度。等效氧化物厚度通常是以奈米表示的距離,其表示氧化矽膜需要多厚才能產生與所使用的高介電常數材料相同的效果。
在一些實施例中,由閘極堆疊1000覆蓋之一部分的核心通道區域320具有在約3nm至約100nm範圍內的長度LCC,並且阻擋層510'具有在約5nm至約50nm範圍內的長度LBL。第一閘極1010的長度Lg1在約3nm至約105nm的範圍內,並且第一閘極1010與核心通道區域320的長度比在約0.7至約1.3的範圍內。第二閘極1020的長度Lg2在約5nm至約55nm的範圍內。第二閘極1020和阻擋層3510'的長度比在約0.7至約1.3的範圍內。
方法100進行到操作116,其中在閘極堆疊的側壁上形成間隔物。參照第11圖的實施例,在操作116的一些實施例中,間隔物1110和1120形成在閘極堆疊1000的側壁上。在一些實施例中,間隔物1110和1120由低介電常數介電材料製成。例如,將低介電常數介電材料毯覆式沉積在基板210上。然後圖案化低介電常數介電材料以去除閘極堆疊1000頂表面上的多餘部分。間隔物1110和1120的厚度可以不同。如第11圖所示,在第一源極/汲極區域310處的間隔物1110具有較大的厚度,因為它位於與基板210相同的水平上。間隔物1110的厚度是外殼810和第一閘極1010之厚度的組合。第二源極/汲極區域910處的間隔物1120較短,因為它位於外殼810上。間隔物1120的厚度為第二閘極1020的厚度。在一些實施例中,間隔物1110位於一部分的核心通道區域320上並且可以位於一 部分的第一源極/汲極區域310上,並且間隔物1120位於外殼810和一部分的第二源極/汲極區域910上。在一些實施例中,可以省略第二源極/汲極區域910處的間隔物1120。
半導體裝置可以經歷進一步處理以形成本領域中已知的各種特徵和區域。例如,後續處理可以在基板210上形成各種接觸/通孔/線和多層互連特徵(例如,金屬層和層間介電質),以連接各種特徵並形成可以包括一個或多個裝置的功能性電路。在進一步的實例中,多層互連可以包括垂直的互連(例如,通孔或接觸),以及水平的互連(例如,金屬線)。各種互連特徵可以採用各種導電材料,包括銅、鎢和/或矽化物。在一個實施例中,使用鑲嵌和/或雙鑲嵌製程(dual damascene process)形成銅相關的多層互連結構。
此外,可以在方法100之前、期間和之後執行附加的處理操作,並且可以根據方法100的各種實施例替換或消除上述一些處理操作。在一些實施例中,例如,可以執行至少一部分的方法100,以製造包括阻擋層510的裝置。參考第12圖,其中繪示半導體裝置的示意圖,此半導體裝置包括在裝置的汲極側的阻擋層510。在第12圖中省略了方法100的操作108。即,阻擋層510未被摻雜。第二閘極1020形成在阻擋層510上方。第二閘極1020被定位成使得其覆蓋阻擋層510。然而,在一些實施例中,阻擋層510可以稍微地延伸超出第二閘極1020。在一些實施例中,第二閘極1020可略微地延伸超過阻擋層510。在替代實施例中,外殼810是直的並且在核心通道區域320的相對邊緣與阻擋層510'的邊緣(即,相對邊緣之 一)之間延伸(如第21圖和第22圖所示)。
第一閘極1010和第二閘極1020的功函數不同。在實施例性實施例中,對於N型裝置,第一閘極1010的功函數小於第二閘極1020的功函數約0.3eV至約0.6eV或者大於0.6eV(例如,0.9eV);對於P型裝置,第一閘極1010的功函數大於第二閘極1020的功函數約0.2eV至約0.6eV或大於0.6eV(例如,0.9eV)。如果功函數的差異太小(例如,如果小於約0.2eV),則能帶可能不會對齊,並且如果差異太大(例如,如果大於約0.6eV),則裝置的截止狀態電流可能會降低。在一些實施例中,第二閘極1020的等效氧化物厚度較小,並且在約0.25nm至約10nm的範圍內。此外,第二閘極1020的等效氧化物厚度小於第一閘極1010的等效氧化物厚度。
在一些其他實施例中,例如,可以實施至少一部分的方法100以製造包括阻擋層510'的裝置。參考第13圖,其中繪示半導體裝置的示意圖,其包括在半導體裝置的汲極側的阻擋層510'。方法100的操作114在第13圖中不同。在第13圖中,第一閘極1010形成在核心通道區域320和阻擋層510'上。將第一閘極1010定位成使其覆蓋阻擋層510'。然而,在一些實施例中,阻擋層510'可以略微延伸超出第一閘極1010。在一些實施例中,第一閘極1010可以稍微延伸超過阻擋層510'。在一些實施例中,阻擋層510'可具有大於約5×1018/cm3(例如,約5×1019/cm3)的摻雜濃度,並且核心通道區域320可具有約1×1020/cm3的摻雜濃度。核心通道區域320的第一摻雜劑和阻擋層510'的第三摻雜劑具有相同的導電類型。在一些實施例中, 阻擋層510'的摻雜濃度高於第一源極/汲極區域310的摻雜濃度並且低於核心通道區域320的摻雜濃度。
利用這種配置,第11圖到第13圖中的半導體裝置可以在VDD約0.3V時實現高性能互補式金屬氧化物半導體(complementary metal-oxide-semiconductor,CMOS)速度。進一步參考第14A圖和第14B圖,其分別為第11圖至第13圖處於截止狀態(off-state)和導通狀態(on-state)之N型半導體裝置的能帶圖。Ev是核心通道區域320的價帶,Ec是外殼810和第二源極/汲極區域910的導帶,J(E)是光譜電流密度。具體而言,如第11圖至第13圖的實施例所示,半導體裝置可以包括雙閘極(即,第一閘極1010和第二閘極1020),和/或半導體裝置可以包括摻雜的阻擋層510'。這種配置調控外殼810的傳導能帶以實現核心/外殼線穿隧(core/shell line tunneling),其增加了半導體裝置的導通狀態電流。更詳細地,外殼810包括通道部分812和阻擋部分814。通道部分812在核心通道區域320面向第一閘極1010的側壁上並且與其接觸,阻擋部分814在阻擋層510(或510')面向第二閘極1020的側壁上並與其接觸。在各個實施例中,第二閘極1020和阻擋層510(或510')的重疊提供在阻擋部分814處的靜電調控(例如,透過施加到第二閘極1020的電壓偏置)。因此,透過這種靜電閘極調控,可以調節阻擋部分814的傳導能帶,使得通道部分812和阻擋部分814之間的傳導能帶差△E可以減小。換句話說,阻擋部分814的傳導能帶可以基本上與通道部分812的傳導能帶對齊。在一些實施例中,傳導能帶差△E小 於或等於約0.2eV。在一些其他實施例中,傳導能帶差△E小於或等於約0.1eV。再者,摻雜的阻擋層510'在阻擋部分814處提供靜電調控,從而實現這種對齊。
當半導體裝置從截止狀態(VG約為0V並且參考第14A圖)切換到導通狀態(VG約為0.3V並且參考第14B圖)時,首先發生來自核心通道區域320到阻擋部分814的傳導。在這種情況下,電流從核心通道區域320直接流向阻擋部分814,並且這種傳導稱為點隧道(point tunneling)。隨後,由於通道部分812和阻擋部分814的導帶對齊,在從核心通道區域320到阻擋部分814的傳導之後,同時地或馬上地發生從核心通道區域320到通道部分812的傳導。在這種情況下,電流進一步從核心通道區域320流向通道部分812,然後流到阻擋部分814,並且這種傳導稱為線隧道(line tunneling)。因此,導通狀態電流以低功耗(約0.3V)增加。
第15圖繪示根據本揭露的一些實施例中製造半導體裝置的方法1500的流程圖。第16圖至第21圖繪示根據第15圖的方法的各方面中處於各個階段的半導體裝置。方法1500開始於操作1502,其中提供基板。參考第16圖的實施例,在操作1502的一些實施例中,提供基板210。基板210可以是半導體基板,例如矽基板。基板210在許多方面類似於上面關於第11圖中的基板210所討論的那些。
在基板210中第形成一源極/汲極區域310、第二源極/汲極區域910和核心通道區域320。第16圖中的第一源極/汲極區域310、第二源極/汲極區域910和核心通道區域320在 許多方面與上面關於第11圖中的第一源極/汲極區域310、第二源極/汲極區域910和核心通道區域320類似。
方法1500進行到操作1504,其中在核心通道區域和第二源極/汲極區域之間形成阻擋層。參照第17圖的實施例,在操作1504的一些實施例中,在核心通道區域320和第二源極/汲極區域910之間形成阻擋層510。例如,圖案化第二源極/汲極區域910以在其中形成凹槽218,並且在凹槽218中生長阻擋層510。即,阻擋層510與第二源極/汲極區域910接觸。阻擋層510在許多方面類似於上面在第5圖中討論的阻擋層510。
方法1500進行到操作1506,其中摻雜阻擋層。參考第18圖的實施例,在操作1506的一些實施例中,阻擋層510'摻雜有第二摻雜劑。阻擋層510'在許多方面類似於上面在第11圖中所討論的阻擋層510'。
方法1500前進到操作1508,其中在核心通道區域和阻擋層上形成外殼。參照第19圖的實施例,在操作1508的一些實施例中,在第18圖的結構上形成半導體材料1910。半導體材料1910在許多方面類似於上面在第8圖所討論的半導體材料。
參考第20圖。將第二源極/汲極區域910上之一部分的半導體材料1910摻雜以形成摻雜區域2010,其具有與第二源極/汲極區域910相同的導電類型和類似的摻雜濃度。摻雜區域2010和第二源極/汲極區域910形成半導體裝置的汲極。剩餘的半導體材料形成外殼810。外殼810在許多方面類似於 上面關於第11圖中所討論的外殼810。
方法1500進一步前進到操作1510和1512以及第21圖。由於閘極堆疊1000和間隔物1110和1120的形成類似於上面提到的閘極堆疊1000和間隔物1110和1120的形成,因此在此省略其描述。在第21圖中,外殼810包括通道部分812和阻擋部分814。阻擋層510'的摻雜和/或第一閘極和第二閘極的配置在阻擋部分814處提供靜電調控以對齊通道部分812和阻擋部分814的能帶。
在一些實施例中,省略操作1506。也就是說,阻擋層510未被摻雜,並且包括第一閘極1010和第二閘極1020的閘極堆疊1000提供靜電調控。在一些其他實施例中,閘極堆疊1000沒有第二閘極1020,並且具有與核心通道區域320相同的導電類型的摻雜劑的阻擋層510'提供靜電調控。在其他一些實施例中,阻擋層510'和核心通道區域320具有相反的導電類型的摻雜劑以抑制電流洩漏,並且包括第一閘極1010和第二閘極1020的閘極堆疊1000提供靜電調控。
在一些實施例中,在形成間隔物1110之前,未被閘極堆疊1000覆蓋的核心通道區域320的部分322具有與第一源極/汲極區域310相同的摻雜濃度。即,核心通道區域320的邊緣與閘極堆疊1000的邊緣對齊。然後,使用閘極堆疊1000作為遮罩進一步摻雜部分322,以延伸核心通道區域320。
參考第22圖,其中繪示半導體裝置的示意圖,此半導體裝置包括在阻擋層510'(或510)和第二源極/汲極區域910之間的半導體材料2210。在第22圖中,半導體材料2210 可以具有與第二源極/汲極區域910相同的材料,但具有比第二源極/汲極區域910低的摻雜濃度。在一些實施例中,半導體材料2210是未摻雜的(本徵的)。在一些其他實施例中,半導體材料2210摻雜有與第二源極/汲極區域910相同的導電類型的摻雜劑。半導體材料2210中的摻雜劑分佈可以是均勻的或不均勻的。例如,半導體材料2210的摻雜劑濃度在從阻擋層510'朝向第二源極/汲極區域910的方向上增加。半導體材料2210減少半導體裝置在截止狀態下的雙極漏電流(ambipolar leakage current)。
第23圖是根據本揭露的一些實施例中製造半導體裝置的方法的流程圖。第24圖至第25圖繪示根據第23圖的方法的各方面的處於各個階段的半導體裝置。如第23圖所示,方法100省略了操作108(參見第1圖)並且還包括操作2310。在一些實施例中,可以在操作110和112之間執行操作2310。
在一些實施例中,預先執行操作102至106和110的製造過程。由於相關的製造細節類似於第2圖至第5圖和第7圖至第8圖,因此,在下文中將不再重複這方面的描述。方法100前進到操作2310,其中外殼是摻雜的。參考第24圖的實施例,在操作2310的一些實施例中,外殼810是摻雜的。在一些實施例中,可以摻雜通道部分812和/或阻擋部分814以調控其能帶水平,並且本揭露不限於此方面。通道部分812摻雜有第四摻雜劑,阻擋部分814摻雜有第五摻雜劑。例如,如果半導體裝置是N型裝置,則通道部分812可以摻雜有N型摻雜劑,並且如果半導體裝置是P型裝置,則通道部分812可以摻雜有P型 摻雜劑。也就是說,通道部分812的第四摻雜劑具有與核心通道區域320的第一摻雜劑不同的導電類型。通道部分812的摻雜濃度可以是從未摻雜的(本徵的)到約5×1020/cm3。阻擋部分814可以摻雜有與通道部分812相同或不同的摻雜濃度和導電類型。例如,可使用與通道部分812相比相同的導電類型之較低的摻雜濃度的阻擋部分814,或甚至可使用與通道部分812相比相反的導電類型的摻雜濃度的阻擋部分814,以減小在通道部分812和阻擋部分814之間的能帶未對齊(band misalignment)△E。阻擋部分814的摻雜濃度可以從約未摻雜的(本徵的)到約5×1020/cm3
方法100前進到操作112、114和116以及第25圖。具體地,在操作114中,閘極堆疊1000包括第一閘極1010並且沒有第二閘極1020(參見第11圖)。如此,摻雜的通道部分812和摻雜的阻擋部分814在阻擋部分814處提供靜電調控,以對齊通道部分812和阻擋部分814的能帶。此外,由於第二源極/汲極區域910、第一閘極1010以及間隔物1110和1120的形成類似於上述第二源極/汲極區域910、第一閘極1010和間隔物1110和1120的形成,因此在此省略其描述。
在一些實施例中,第25圖中的阻擋層510被摻雜,並且摻雜的阻擋層類似於第11圖的阻擋層510'。在一些實施例中,第25圖中的閘極堆疊1000包括第一閘極1010和第二閘極1020,並且閘極堆疊1000類似於第11圖的閘極堆疊1000。
第26圖中所示的是根據本揭露的一些實施例中 製造半導體裝置的方法2600的流程圖。第27圖至第38圖繪示根據第26圖的方法在各方面的各個階段的半導體裝置。在一些實施例中,第26圖至第38圖所示的半導體裝置可以是積體電路(integrated circuit,IC)或其一部分在處理期間製造的中間裝置,其可以包括靜態隨機存取記憶體、邏輯電路、被動元件(例如,電阻器、電容器和電感器),以及/或主動元件(例如,p型場效應電晶體(p-type field effect transistors,PFET)、n型場效應電晶體(n-type field effect transistors,NFET)、多閘極場效應電晶體、雙極電晶體、高壓電晶體、高頻電晶體),其他記憶體單元及其組合。在一些實施例中,第26圖至第38圖中所示的半導體裝置包具有垂直電晶體結構。
方法2600開始於操作2602,其中提供基板。參考第27圖的實施例,在操作2602的一些實施例中,提供基板2710。基板2710可以是半導體基板(例如,矽基板)。基板2710可以包括各種層,包括形成在基板2710上的導電層或絕緣層。取決於本領域已知的設計要求,基板2710可以包括各種摻雜配置。基板2710還可以包括其他半導體(例如,鍺、碳化矽(SiC)、矽鍺(SiGe)或金鑽石)。或者,基板2710可以包括化合物半導體和/或合金半導體。此外,在一些實施例中,基板2710可以包括磊晶層,基板2710可以是應變的以提高性能,基板2710可以包括絕緣體上矽結構,和/或基板2710可以具有其他合適的增強特徵。基板2710可以包括高遷移率材料。
方法2600進行至操作2604,其中在基板中或基板上形成第一源極/汲極區域和核心通道區域。參考第28圖的實施例,在操作2604的一些實施例中,在基板2710中形成第一源極/汲極區域2810和核心通道區域2820。在一些實施例中,第一源極/汲極區域2810和核心通道區域2820可以包括與基板2710相同的材料(例如,當基板2710包括高遷移率材料時)。例如,蝕刻基板2710以形成突出結構2805,突出結構2805突出於基板2710的平面之外。突出結構2805被稱為核心結構2805。核心結構2805可以透過光刻和蝕刻製程形成。在一些實施例中,首先在基板2710上沉積硬遮罩層。硬遮罩包括氧化矽、氮化矽、氮氧化矽或任何其他合適的介電材料。可透過光刻和蝕刻製程圖案化硬遮罩以限定核心結構2805。透過使用圖案化的硬遮罩作為蝕刻遮罩來蝕刻基板2710以形成核心結構2805。蝕刻製程可包括濕式蝕刻、乾式蝕刻或其組合。在一些實施例中,核心結構2805形成為圓柱形,並且核心結構2805的直徑可以為約4nm至約30nm。或者,核心結構2805形成為方柱、橢圓柱、矩形柱、六邊形柱或其他多邊形柱形。
在一些實施例中,隔離特徵2830形成在基板2710中,包括在每個核心結構2805之間。隔離特徵2830包括透過使用不同的製程技術形成的不同結構。在一些實施例中,隔離特徵2830是淺溝槽隔離(shallow trench isolation,STI)特徵。淺溝槽隔離的形成可以包括在基板2710中蝕刻溝槽並用諸如氧化矽、氮化矽或氮氧化矽的絕緣體材料填充溝槽。填充 的溝槽可以具有多層結構(例如,具有氮化矽填充溝槽的熱氧化物襯墊層。
隨後,在基板2710上形成第一源極/汲極區域2810。透過合適的技術摻雜基板2710的預定頂部來形成第一源極/汲極區域2810,例如用圖案化的光阻注入作為注入遮罩。對於p型穿隧式場效應電晶體,第一源極/汲極區域2810可以摻雜有n型摻雜劑(例如,磷、砷或其組合)。對於n型穿隧式場效應電晶體,第一源極/汲極區域2810可以摻雜有p型摻雜劑(例如,硼或二氟化硼(BF2))。在注入之後,可以執行一個或多個退火製程以激活摻雜劑。退火製程可包括快速熱退火(rapid thermal anneal,RTA)、雷射退火或其他合適的退火製程。作為實施例,高溫退火包括具有非常短的持續時間的「尖峰」退火製程(spike annealing process)。在形成期間,摻雜劑擴散到核心結構2805的底部。
應注意,儘管在第28圖中,透過圖案化和摻雜基板2710來形成第一源極/汲極區域2810和核心通道區域2820,但是在一些其他實施例中,第一源極/汲極區域2810和/或核心通道區域2820可以是磊晶層。例如,在基板2710上依次形成源材料層(source material layer)和通道材料層,並對源材料層和通道材料層執行光刻和蝕刻製程,以形成第一源極/汲極區域2810和核心通道區域2820。或者,在其他一些實施例中,摻雜基板2710以形成第一源極/汲極區域2810,並且在第一源極/汲極區域2810上磊晶形成通道材料層。然後,圖案化通道材料層以形成核心通道區域2820。
在一些實施例中,核心通道區域2820可以是摻雜的,並且其導電類型與第一源極/汲極區域2810的導電類型相同。即,第一源極/汲極區域2810和核心通道區域2820具有相同的導電類型。此外,核心通道區域2820的摻雜濃度高於約5×1018/cm3並且可以高於第一源極/汲極區域2810的摻雜濃度。當核心通道區域2820磊晶形成時,核心通道區域2820可以在磊晶製程期間原位摻雜。在一些實施例中,核心通道區域2820未被原位摻雜,而是執行注入製程(即,結注入製程(junction implant process))以摻雜核心通道區域2820。
方法2600進行到操作2606,其中在核心通道區域上形成阻擋層。參照第29圖的實施例,在操作2606的一些實施例中,在核心通道區域2820上形成阻擋層2910。即,阻擋層2910與核心通道區域2820接觸。在各種實施例中,使用諸如分子束磊晶、有機金屬化學氣相沉積的磊晶生長製程和/或其他合適的再生長製程再生長阻擋層2910。舉例來說,再生長阻擋層2910可以包括多種半導體材料中的任何一種,例如矽鍺(SiGe)、應變的鍺(Ge)、鍺(Ge)、砷化鎵(GaAs)、磷化鎵(GaP)、磷化銦(InP)、砷化銦(InAs)、銻化銦(InSb)、磷砷化鎵(GaAsP)、砷化鋁銦(InAlAs)、砷化鎵鋁(AlGaAs)、砷化鎵銦(InGaAs)、磷化銦鎵(GaInP)和/或磷砷化銦鎵(GaInAsP)、或其組合在一些其他實施例中,阻擋層2910是氧化物層。阻擋層2910在許多方面類似於上面關於第5圖的阻擋層510所討論的那些。在一些其他實施例中,可以在基板2710上形成多個磊晶層,然後蝕刻成第一 源極/汲極區域2810、核心通道區域2820和阻擋層2910。
然後,在基板上形成第一間隔物。參照第29圖的實施例,在基板2710上形成第一間隔物2920。透過沉積和凹陷製程在第一源極/汲極區域2810上形成第一間隔物2920。第一間隔物2920包括低介電常數材料(例如,氧化矽、氮化矽、碳化矽、氮氧化物或其他合適的材料)。第一間隔物2920包括單層或多層。透過合適的技術沉積第一間隔物2920,例如化學氣相沉積、原子層沉積、物理氣相沉積、熱氧化或其組合。在第29圖中,回蝕刻第一間隔物2920以纏繞第一源極/汲極區域2810和一部分的核心通道區域2820。可以透過選擇性乾式蝕刻、選擇性濕式蝕刻或者其組合凹陷第一間隔物2920。
方法2600進行到操作2608,其中摻雜阻擋層。參考第30圖的實施例,阻擋層2910'在許多方面類似於上面關於第6圖和第11圖中的阻擋層510'所討論的那些。
方法2600進行到操作2610,其中形成外殼以纏繞阻擋層和核心通道區域。參照第31圖的實施例,在操作2610的一些實施例中,形成外殼3110以纏繞阻擋層2910'和核心通道區域2820。即,外殼3110與阻擋層2910'和核心通道區域2820接觸。外殼3110在許多方面類似於上面關於第8圖中的外殼810所討論的那些。
方法2600進行到操作2612,其中在外殼上方形成第二源極/汲極區域。參照第32圖的實施例,在操作2612的一些實施例中,在外殼3110上方形成第二源極/汲極區域3210。在一些實施例中,透過光刻圖案化和注入形成第二源極/汲極 區域3210。第二源極/汲極區域3210具有與第一源極/汲極區域2810不同的導電類型。在一些其它實施例中,半導體材料磊晶生長在外殼3110上。半導體材料包括元素半導體材料(例如,鍺(Ge)或矽(Si));或化合物半導體材料(例如,砷化鎵(GaAs)、砷化鎵鋁(AlGaAs));或半導體合金(例如,矽鍺(SiGe)、磷化砷鎵(GaAsP))。例如,半導體材料可以包括用於N型裝置的砷化銦(InAs)或砷鎵化銦(InGaAs)以及用於P型裝置的銻化鎵(GaSb)或銻化砷鎵(GaAsSb)。磊晶製程包括化學氣相沉積技術(例如,氣相磊晶(vapor-phase epitaxy,VPE)和/或超高真空化學氣相沉積(ultra-high vacuum chemical vapor deposition,UHV-CVD))、分子束磊晶和/或其他合適的製程。第二源極/汲極區域3210可以透過一個或多個磊晶製程形成。在磊晶製程期間,可以原位摻雜第二源極/汲極區域3210。在一些實施例中,第二源極/汲極區域3210未被原位摻雜,並且執行注入製程(即,結注入製程)以摻雜第二源極/汲極區域3210。
方法2600進行到操作2614,其中形成圍繞外殼的閘極堆疊。參照第33圖的實施例,在操作2614的一些實施例中,形成圍繞外殼3110的第一閘極3310'。第一閘極3310'包括平面部分(此平面部分平行於基板2710的表面並且在第一間隔物2920上方)和閘極表面(此閘極表面圍繞核心通道區域2820)。第一閘極3310'包括閘極介電層3312'和各種金屬層3314'。
在一些實施例中,使用閘極先製製程形成第一閘 極3310'。或者,在一些實施例中,使用閘極後製製程形成第一閘極3310'。在一些實施例中,閘極先製製程包括在形成源極/汲極或激活源極/汲極摻雜劑之前形成閘極堆疊。僅作為實施例,閘極先製製程可以包括閘極介電質和金屬閘極沉積,然後是閘極堆疊蝕刻製程以限定閘極臨界尺寸。在閘極先製製程的一些實施例中,可以在形成閘極堆疊之後形成源極/汲極,包括摻雜源極/汲極區域,並且在一些實施例中,退火激活的源極/汲極摻雜劑。在一些實施例中,閘極後製製程包括形成虛設閘極堆疊,此虛設閘極堆疊將在半導體裝置的後續處理階段由最終閘極堆疊替換。例如,可以在後續的處理階段透過高介電常數介電層(high-K dielectric layer,HK)和金屬閘極電極(metal gate electrode,MG)替換虛設閘極堆疊。在一些其他實施例中,第一閘極3310'是多晶矽閘極堆疊。多晶矽閘極堆疊可以包括沈積在閘極介電層上的閘極介電層和多晶矽層。閘極介電層包括氧化矽、氮化矽或任何其他合適的材料。
第一閘極3310'透過任何合適的一種或多種製程形成。例如,透過包括沉積、光刻圖案化和蝕刻製程的製程形成第一閘極3310'。沉積製程包括化學氣相沉積、物理氣相沉積、原子層沉積、有機金屬化學氣相沉積,其他合適的方法和/或其組合。光刻圖案化製程包括光阻塗覆(例如,旋塗)、軟烘烤、遮罩對準、曝光、曝光後烘烤、顯影光阻、漂洗、乾燥(例如,硬烘烤)、其他合適的製程和/或其組合。蝕刻製程包括乾式蝕刻、濕式蝕刻或乾式蝕刻和濕式蝕刻的組合。乾式蝕刻製程可以使用含氟氣體(例如,四氟化碳(CF4)、六 氟化硫(SF6)、二氟甲烷(CH2F2)、三氟甲烷(CHF3)、和/或六氟乙烷(C2F6))、含氯氣體(例如,氯氣、三氯甲烷、四氯化碳、和/或三氯化硼(BCl3))、含溴氣體(例如、溴化氫(HBr)和/或三溴甲烷(CHBr3))、含碘氣體、其他合適的氣體和/或電漿和/或其組合。蝕刻製程可包括多步驟蝕刻以獲得蝕刻選擇性、靈活性和所需的蝕刻輪廓。
在一些實施例中,第一閘極3310'包括在核心通道區域2820和閘極介電層3312'之間的界面層。舉例來說,界面層可以包括介電材料,例如氧化矽(SiO2)、矽氧化鉿(HfSiO)或氮氧化矽(SiON)。界面層可以透過化學氧化、熱氧化、原子層沉積、化學氣相沉積和/或其他合適的方法形成。閘極介電層3312'可以包括諸如氧化鉿(HfO2)的高介電常數介電層。或者,閘極介電層1012和1022可以包括其他高介電常數介電質,例如二氧化鈦(TiO2)、氧化鋯鉿(HfZrO)、氧化鉭(Ta2O3)、矽酸鉿(HfSiO4)、氧化鋯(ZrO2)、氧化矽鋯(ZrSiO2)、氧化鑭(LaO)、氧化鋁(AlO)、氧化鋯(ZrO)、氧化鈦(TiO)、五氧化二鉭(Ta2O5)、氧化釔(Y2O3)、鈦酸鍶(SrTiO3(STO))、鈦酸鋇(BaTiO3(BTO))、氧化鋯鋇(BaZrO)、氧化鋯鉿(HfZrO)、氧化鑭鉿(HfLaO)、氧化矽鉿(HfSiO)、氧化矽鑭(LaSiO)、氧化矽鋁(AlSiO)、氧鉭化鉿(HfTaO)、氧化鈦鉿(HfTiO)、鈦酸鋇(BaTiO3)、鈦酸鍶(SrTiO3)、鈦酸鍶鋇(BST)、氧化鋁(Al2O3)、氮化矽(Si3N4)、氮氧化矽(SiON)、它們的組合或其他合適的材料。閘極介電層3312'可以透過原子層沉積、物理氣相 沉積、化學氣相沉積、氧化和/或其他合適的方法形成。金屬層3314'可以包括單層或者可選地多層結構(例如,具有選定功函數的金屬層的各種組合以增強裝置性能(功函數金屬層))、襯墊層、潤濕層、粘附層、金屬合金或金屬矽化物。透過實施例的方式,金屬層3314'可包括鈦(Ti)、銀(Ag)、鋁(Al)、氮化鋁鈦(TiAlN)、碳化鉭(TaC)、氮化碳鉭(TaCN)、氮矽化鉭(TaSiN)、錳(Mn)、鋯(Zr)、氮化鈦(TiN)、氮化鉭(TaN)、釕(Ru)、鉬(Mo)、鋁(Al)、氮化鎢(WN)、銅(Cu)、鎢(W)、錸(Re)、銥(Ir)、鈷(Co)、鎳(Ni)、其他合適的金屬材料或其組合。金屬層3314'可以透過原子層沉積、物理氣相沉積、化學氣相沉積、電子束蒸發或其他合適的製程形成。此外,金屬層3314'可以分別使用不同的金屬層以形成N型場效應電晶體和P型場效應電晶體。在各種實施例中,可以執行化學機械平坦化製程以從金屬層3314'去除過量的金屬,從而提供金屬層3314'基本上平坦的頂表面。在一些實施例中,第一閘極3310'可以形成在核心通道區域2820的單側上。在一些實施例中,第一閘極3310'可以位於核心通道區域2820的多於一側上。
參考第34圖的實施例,在第一間隔物2920上方和第一閘極3310'周圍形成第一層間介電質(interlayer dielectric,ILD)3410。第一層間介電質3410在許多方面類似於上面關於第29圖中的第一間隔物2920所討論的那些。回蝕刻第一層間介電質3410以暴露第一閘極3310'的閘極表面的頂部的預定高度。
參考第35圖的實施例,回蝕刻第34圖的第一閘極3310'以形成第一閘極3310,其暴露第二源極/汲極區域3210。第一閘極3310包括閘極介電層3312和第一金屬層3314。可以透過選擇性乾式蝕刻、選擇性濕式蝕刻,其組合或其他合適的製程來去除第一閘極3310'的閘極表面的頂部部分。在一些實施例中,移除第一層間介電質3410上方的第一閘極3310'的閘極表面。
參考第36圖的實施例,在第一閘極3310上方形成第二閘極3610,並且回蝕刻第二閘極3610。第二閘極3610和第二層間介電層3620的形成類似於第一閘極3310和第一層間介電質3410的形成,因此在此省略其描述。而且,第二閘極3610在許多方面類似於上面關於第10圖中的第二閘極1020所討論的那些。此外,核心通道區域2820、阻擋層2910'、外殼3110、第一閘極3310和第二閘極3610的長度與上面討論的核心通道區域320、阻擋層510'、外殼810、第一閘極1010和第二閘極1020的長度與其之間的長度比相同或相似,因此在這裡省略了其詳細說明。第一閘極3310和第二閘極3610形成閘極堆疊3600。
參考第37圖的實施例,第二間隔物3710形成在第二層間介電層3620上並纏繞在第二源極/汲極區域3210周圍。第二間隔物3710沉積在第二層間介電層3620上。第二間隔物3710在許多方面類似於上面關於第29圖中的第一間隔物2920所討論的那些。另外,執行化學機械平坦化製程以平坦化第二間隔物3710的頂表面。
方法2600進行到操作2616,其中形成接觸以分別接觸第一源極/汲極區域、閘極堆疊和第二源極/汲極區域。參照第38圖的實施例,第二層間介電層3810形成在第二間隔物3710和第二源極/汲極區域3210上。第二層間介電層3810在許多方面類似於上面關於第29圖的第一間隔物2920所討論的那些。
然後,接觸3820、3830和3840形成在第二層間介電層3810中,以分別與第一源極/汲極區域2810、閘極堆疊3600和第二源極/汲極區域3210接觸。在一些實施例中透過各種方法(包括乾式蝕刻、濕式蝕刻或乾式蝕刻和濕式蝕刻的組合)蝕刻第二層間介電層3810以形成多個開口。開口延伸穿過至少第二層間介電層3810。填充材料形成在開口中。在一些實施例中,可以在開口中填充金屬材料,並且透過執行化學機械平坦化製程來移除金屬材料的過量部分以形成接觸3820、3830和3840。填充材料可以由鎢、鋁、銅或其他合適的材料製成。
半導體裝置可以經歷進一步處理以形成本領域中已知的各種特徵和區域。例如,後續處理可以在基板2710上形成各種通孔/線和多層互連特徵(例如,金屬層和層間介電質),以連接各種特徵而形成包括一個或多個裝置的功能電路。在進一步的實施例中,多層互連可以包括垂直互連(例如,通孔或接觸),以及水平互連(例如,金屬線)。各種互連特徵可以採用各種導電材料,包括銅、鎢和/或矽化物。在一個實施例中,使用鑲嵌和/或雙鑲嵌製程形成銅相關的多層互連 結構。
此外,可以在方法2600之前、期間和之後實施附加的處理操作,並且可以根據方法100的各種實施例替換或消除上述一些處理操作。在一些實施例中,例如,可以實施方法2600的至少一部分以製造包括阻擋層2910的裝置。參考第39圖,其中繪示半導體裝置的示意圖,此半導體裝置包括在裝置的汲極側的阻擋層2910。在第39圖中省略了方法2600的操作2608(參見第26圖)。即,阻擋層2910未被摻雜。形成圍繞阻擋層2910的第二閘極3610。在一些實施例中,阻擋層2910可以略微延伸超出第二閘極3610。在一些實施例中,第二閘極3610可以稍微延伸超過阻擋層2910。
第一閘極3310和第二閘極3610的功函數不同。在示例性實施例中,對於N型裝置,第一閘極3310的功函數小於第二閘極3610的功函數約0.3eV至約0.6eV或大於0.6eV(例如,0.9eV);對於P型裝置,第一閘極3310的功函數大於第二閘極3610的功函數約0.2eV至約0.6eV或大於0.6eV(例如,0.9eV)。如果功函數的差異太小(例如,小於約0.2eV),則能帶可能不會對齊,並且如果差異太大(例如,大於約0.6eV),則裝置的截止狀態電流可能會降低。在一些實施例中,第二閘極3610的等效氧化物厚度較小,並且可具有約0.25nm至約10nm的範圍。此外,第二閘極3610的等效氧化物厚度小於第一閘極3310的等效氧化物厚度。
在一些其他實施例中,例如,實施至少一部分的方法2600以製造包括阻擋層2910'的裝置。參考第40圖,其中 繪示半導體裝置的示意圖,此半導體裝置包括在半導體裝置的汲極側的阻擋層2910'。方法2600的操作2614在第40圖中不同。在第40圖中,形成第一閘極3310以纏繞核心通道區域2820和阻擋層2910'。將第一閘極3310定位成使其纏繞阻擋層2910'。在一些實施例中,阻擋層2910'可以略微延伸超出第一閘極3310。在一些實施例中,第一閘極3310可以稍微延伸超過阻擋層2910'。在一些實施例中,阻擋層2910'可具有大於約5×1018/cm3(例如,約5×1019/cm3)的摻雜濃度,並且核心通道區域2820可具有約1×1020/cm3的摻雜濃度。核心通道區域2820的第一摻雜劑和阻擋層2910'的第三摻雜劑具有相同的導電類型。在一些實施例中,阻擋層2910'的摻雜濃度高於第一源極/汲極區域2810的摻雜濃度並且低於核心通道區域2820的摻雜濃度。
利用這種配置,第38圖至第40圖中的半導體裝置可以在VDD約0.3V時實現高性能互補式金屬氧化物半導體速度。外殼3110包括通道部分3112和阻擋部分3114。在各種實施例中,摻雜的阻擋層2910'和/或第二閘極3610在阻擋部分3114處提供靜電調控。因此,透過這種靜電閘極調控,可以調控阻擋部分3114的能帶,使得通道部分3112和阻擋部分3114之間的傳導能量差可以減小。換句話說,阻擋部分3114的能帶可以基本上與通道部分3112的能帶對齊。在一些實施例中,傳導能帶差△E小於約0.2eV。
第41圖繪示根據本揭露的一些實施例中製造半導體裝置的方法4100的流程圖。第42圖至第46圖繪示根據第 41圖的方法在各方面中處於各個階段的半導體裝置。方法4100開始於操作4102,其中提供基板。參考第42圖的實施例,在操作4102的一些實施例中,提供基板2710。基板2710可以是半導體基板(例如,矽基板)。基板2710在許多方面類似於上面關於第27圖中的基板2710所討論的那些。
方法4100進行至操作4104,其中在基板上形成第一源極/汲極區域、核心通道區域、摻雜的阻擋層和第二源極/汲極區域。參照第43圖的實施例,在操作4104的一些實施例中,在基板上依序地形成第一源極/汲極區域2810、核心通道區域2820、阻擋層2910'和第二源極/汲極區域3210。在一些實施例中,預先在基板2710上形成多個磊晶層,並對這些磊晶層執行蝕刻製程以形成第一源極/汲極區域2810、核心通道區域2820、阻擋層2910'和第二源極/汲極區域3210。第一源極/汲極區域2810、核心通道區域2820、阻擋層2910'和第二源極/汲極區域3210在許多方面類似於上面關於第38圖中討論的那些第一源極/汲極區域2810、核心通道區域2820、阻擋層2910'和第二源極/汲極區域3210。
在一些實施例中,在基板2710中並且在每個核心通道區域2820之間形成隔離特徵2830。隔離特徵2830在許多方面類似於上面關於第28圖中的隔離特徵2830所討論的那些。
然後,在基板2710上形成第一間隔物2920。透過沉積和蝕刻製程形成纏繞第一源極/汲極區域2810的第一間隔物2920。第一間隔物2920在許多方面類似於上面關於第29圖 中的第一間隔物2920所討論的那些。
方法4100進行到操作4106,其中形成外殼以纏繞核心通道區域和阻擋層。參照第44圖的實施例,在操作4106的一些實施例中,形成半導體材料4410以纏繞第一源極/汲極區域2810、核心通道區域2820、阻擋層2910'和第二源極/汲極區域3210。例如,形成半導體層以覆蓋第43圖的結構,然後執行蝕刻製程以形成半導體材料4410。半導體材料4410在許多方面類似於上面關於第19圖中的半導體材料1910所討論的那些。
參考第45圖。將纏繞第二源極/汲極區域3210之一部分的半導體材料4410摻雜以形成摻雜區域4510,其具有與第二源極/汲極區域3210相同的導電類型和類似的摻雜濃度。摻雜區域4510和第二源極/汲極區域3210形成半導體裝置的汲極。剩餘的半導體材料形成外殼3110。外殼3110在許多方面類似於上面關於第38圖中的外殼3110所討論的那些。
方法4100進一步前進到操作4108和4110以及第46圖。由於閘極堆疊3600和接觸3820、3830和3840的形成類似於上面提到的閘極堆疊3600和接觸3820、3830以及3840的形成,因此在此省略其描述。在第46圖中,外殼3110包括通道部分3112和阻擋部分3114。阻擋層2910'的摻雜和/或第一閘極和第二閘極的配置在阻擋部分3114處提供靜電調控以對齊通道部分3112和阻擋部分3114的能帶。
在一些實施例中,阻擋層2910未被摻雜,並且包括第一閘極3310和第二閘極3610的閘極堆疊3600提供靜電調 控。在一些其它實施例中,閘極堆疊3600沒有第二閘極3610,並且具有與核心通道區域320相同的導電類型的摻雜物的阻擋層2910'提供靜電調控。在其他一些實施例中,阻擋層2910'和核心通道區域2820具有相反的導電類型的摻雜劑以抑制電流洩漏,並且包括第一閘極3310和第二閘極3610的閘極堆疊3600提供靜電調控。
參考第47圖,其中繪示半導體裝置的示意圖,此半導體裝置包括在阻擋層2910'(或2910)和第二源極/汲極區域3210之間的半導體材料4710。在第47圖中,半導體材料4710可以具有與第二源極/汲極區域3210相同的材料,但具有比第二源極/汲極區域3210更低的摻雜濃度。在一些實施例中,半導體材料4710是未摻雜的(本徵的)。在一些其他實施例中,半導體材料4710摻雜有與第二源極/汲極區域3210相同的導電類型的摻雜劑。半導體材料4710中摻雜劑的分佈可以是均勻的或不均勻的。例如,半導體材料4710的摻雜劑濃度在從阻擋層2910'朝向第二源極/汲極區域3210的方向上增加。半導體材料4710減少裝置在截止狀態下的雙極漏電流。
參考第48圖,其繪示根據一些實施例的半導體裝置的示意圖。在第48圖中,第二源極/汲極區域3210、阻擋層2910'(或2910),核心通道區域2820和第一源極/汲極區域2810依序地形成在基板2710上。然後,外殼3110和摻雜區域4510形成在基板2710上。此外,閘極堆疊3600的第一閘極3310形成在閘極堆疊3600的第二閘極3610上方。由於上面已提到了其他元件的形成,因此在此省略其描述。
第49圖是根據本揭露的一些實施例中製造半導體裝置的方法的流程圖。第50圖至第51圖繪示根據第49圖的方法在各方面處於各個階段的半導體裝置。如第49圖所示,方法2600省略了操作2608(參見第26圖)並且還包括操作4910。在一些實施例中,可以在操作2610和2612之間執行操作4910。
在本揭露的一些實施例中,預先執行操作2602至2606和2610的製造過程。由於相關的製造細節類似於第27圖至第29圖和第31圖,因此,在下文中將不再重複這方面的描述。方法2600進行到操作4910,其中外殼是摻雜的。參考第50圖的實施例,在操作4910的一些實施例中,外殼3110是摻雜的。
在本揭露的一些實施例中,可以摻雜通道部分3112和/或阻擋部分3114以調控其能帶水平,並且本揭露不限於此方面。例如,如果半導體裝置是N型裝置,則通道部分3112可以摻雜有N型摻雜劑,並且如果半導體裝置是P型裝置,則通道部分3112可以摻雜有P型摻雜劑。通道部分3112的摻雜濃度可以從未摻雜的(本徵的)到約5×1020/cm3。阻擋部分3114可以摻雜有與通道部分3112相同或不同的摻雜濃度和導電類型。例如,阻擋部分3114與通道部分3112相比具有相同的導電類型之較低摻雜濃度或者甚至是具有相反的導電類型的摻雜濃度,以減小通道部分3112和阻擋部分3114之間的能帶差△E。阻擋部分3114的摻雜濃度可以從大約未摻雜的(本徵的)到大約5×1020/cm3
方法2600進行到操作2612、2614和2616以及第51圖。具體地,在操作2614中,閘極堆疊3600包括第一閘極3310並且沒有第二閘極3610(參見第38圖)。如此,摻雜的通道部分3112和摻雜的阻擋部分3114在阻擋部分3114處提供靜電調控,以對齊通道部分3112和阻擋部分3114的能帶。此外,由於第二源極/汲極區域3210、第一閘極3310和接觸3820至3840的形成類似於上述第二源極/汲極區域3210、第一閘極3310和接觸3820至3840的形成,因此在此省略其描述。
在一些實施例中,第51圖中的阻擋層2910被摻雜,並且摻雜的阻擋層類似於第38圖的阻擋層2910'。在一些實施例中,第51圖中的閘極堆疊3600包括第一閘極3310和第二閘極3610,並且閘極堆疊3600類似於第38圖的閘極堆疊3600。
第52圖繪示根據一些實施例的半導體裝置的I-V曲線。在第52圖中,在半導體裝置的第一源極/汲極區域和第二源極/汲極區域之間流動的電流被繪示為施加在閘極堆疊上的閘極電壓(Vg)的函數。線5201是具有低摻雜濃度(通常
Figure 108130489-A0101-12-0045-54
約5×1018/cm3)的核心通道區域的半導體裝置的I-V曲線。在這種情況下,閘極電場在導通狀態下使核心通道空乏,並且導通電流保持非常低(並且對於實用的互補式金屬氧化物半導體應用而言太低)。更具體地,由核心通道空乏產生的長隧道距離強烈地抑制了導通狀態下的能帶間穿隧電流。核心通道空乏係透過高核心通道摻雜NCC得以緩解。線5202和5203是半導體裝置的I-V曲線,其具有摻雜濃度為約1×1020/cm3的核心通 道區域,即足夠大使得在導通狀態下未觀察到核心通道空乏(應理解,摻雜係取決於尺寸,即取決於核心通道層的直徑/厚度,此直徑越小則摻雜越高,但是至少
Figure 108130489-A0101-12-0046-55
5×1018/cm3)。導通電流顯著增加(
Figure 108130489-A0101-12-0046-56
50倍)。如本揭露中所討論的,存在各種方式來對齊外殼能帶。一種是摻雜阻擋層。另一種可能性是使用雙功函數閘極,第三種可能性是在外殼中使用摻雜,或這些方法的組合。
根據本揭露的一些實施例,可以看出本揭露提供優於穿隧式場效應電晶體裝置的益處。然而,應該理解,其他實施例可以提供額外的益處,並且並非所有益處都必須在此公開,並且並非所有實施例都需要特別的益處。其中一個益處是包括雙閘極堆疊、摻雜的阻擋層和/或摻雜的外殼的裝置在外殼的阻擋部分處提供靜電調控。阻擋部分的能帶可以基本上與外殼的通道部分的能帶對齊。利用這種配置,可以以低功耗增加裝置的導通電流。
根據本揭露的一些實施例,半導體裝置包括第一源極/汲極區域和第二源極/汲極區域、核心通道區域、阻擋層、外殼和閘極堆疊。核心通道區域位於第一源極/汲極區域和第二源極/汲極區域之間,並摻雜有第一摻雜劑。阻擋層位於核心通道區域和第二源極/汲極區域之間,並摻雜有第二摻雜劑。外殼位於核心通道區域和阻擋層上方。閘極堆疊位於外殼上方。
在本揭露的一些實施例中,核心通道區域具有大於或等於5×1018/cm3的摻雜濃度。
在本揭露的一些實施例中,阻擋層的第二摻雜劑的濃度低於核心通道區域的第一摻雜劑的濃度。
在本揭露的一些實施例中,阻擋層的第二摻雜劑具有與核心通道區域的第一摻雜劑相同的導電類型。
在本揭露的一些實施例中,阻擋層的第二摻雜劑具有與核心通道區域的第一摻雜劑不同的導電類型。
在本揭露的一些實施例中,第一源極/汲極區域摻雜有第三摻雜劑,第一源極/汲極區域的第三摻雜劑具有與阻擋層的第二摻雜劑相同的導電類型。
在本揭露的一些實施例中,阻擋層的第二摻雜劑的濃度比第一源極/汲極區域的第三摻雜劑的濃度更高。
在本揭露的一些實施例中,外殼包括在核心通道區域上的通道部分,通道部分摻雜有第四摻雜劑,並且外殼的第四摻雜劑具有與核心通道區域的第一摻雜劑不同的導電類型。
在本揭露的一些實施例中,閘極堆疊包括核心通道區域上方的第一閘極和阻擋層上方的第二閘極,並且第二閘極與第一閘極具有不同的功函數。
在本揭露的一些實施例中,第二閘極的等效氧化物厚度低於第一閘極的等效氧化物厚度。
根據本揭露的一些實施例,半導體裝置包括第一源極/汲極區域和第二源極/汲極區域、核心通道區域、阻擋層、外殼和閘極堆疊。核心通道區域位於第一源極/汲極區域和第二源極/汲極區域之間。阻擋層位於核心通道區域和第二 源極/汲極區域之間。外殼位於核心通道區域和阻擋層上方。閘極堆疊位於外殼上方。閘極堆疊包括在核心通道區域上方的第一閘極和在阻擋層上方的第二閘極,並且第二閘極與第一閘極具有不同的功函數。
在本揭露的一些實施例中,外殼是未摻雜的。
在本揭露的一些實施例中,外殼包括在核心通道區域上的通道部分和在阻擋層上的阻擋部分。通道部分摻雜有第四摻雜劑,阻擋部分摻雜有第五摻雜劑。外殼的通道部分的第四摻雜劑與外殼的阻擋部分的第五摻雜劑具有相同的導電類型。
在本揭露的一些實施例中,外殼包括在核心通道區域上的通道部分和在阻擋層上的阻擋部分。通道部分摻雜有第四摻雜劑,阻擋部分摻雜有第五摻雜劑。外殼的通道部分的第四摻雜劑與外殼的阻擋部分的第五摻雜劑具有不同的導電類型。
根據本揭露的一些實施例,一種用於製造半導體裝置的方法包括在基板上形成第一源極/汲極區域和核心通道區域。在核心通道區域附近形成阻擋層。形成包括在核心通道區域上方的通道部分和在阻擋層上的阻擋部分的外殼。在阻擋層附近形成第二源極/汲極區域。外殼的通道部分的傳導能帶與外殼的阻擋部分的傳導能帶對齊。
在本揭露的一些實施例中,對齊傳導能帶包括用具有與核心通道區域的第一摻雜劑相同的導電類型的第二摻雜劑摻雜阻擋層。
本揭露的一些實施例中,對齊傳導能帶包括形成閘極堆疊,使得閘極堆疊的第一閘極在核心通道區域上方,並且閘極堆疊的第二閘極在阻擋區域上方並且第二閘極具有與第一閘極不同的功函數。
在本揭露的一些實施例中,對齊傳導能帶包括用第四摻雜劑摻雜外殼的通道部分。
在本揭露的一些實施例中,在形成外殼之後執行第二源極/汲極區域的形成。
在本揭露的一些實施例中,在形成外殼之前執行第二源極/汲極區域的形成。
以上概述了若干實施例的特徵,使得本領域技術人員可以更好地理解本揭露的各方面。本領域技術人員應當理解,他們可以容易地使用本揭露作為設計或修改其他過程和結構的基礎,以實現相同的目的和/或實現本文介紹的實施例的相同益處。本領域技術人員還應該認識到,這樣的等同構造不脫離本揭露的精神和範圍,並且在不脫離本揭露的精神和範圍的情況下,它們可以在本文中進行各種改變、替換和變更。
210‧‧‧基板
310‧‧‧源極/汲極區域
320‧‧‧核心通道區域
510'‧‧‧阻擋層
810‧‧‧外殼
812‧‧‧通道部分
814‧‧‧阻擋部分
910‧‧‧源極/汲極區域
1000‧‧‧閘極堆疊
1010‧‧‧第一閘極
1012‧‧‧閘極介電層
1014‧‧‧金屬層
1020‧‧‧第二閘極
1022‧‧‧閘極介電層
1024‧‧‧金屬層
1110‧‧‧間隔物
1120‧‧‧間隔物

Claims (1)

  1. 一種半導體裝置,包含:一第一源極/汲極區域和一第二源極/汲極區域;一核心通道區域,位於該第一源極/汲極區域和該第二源極/汲極區域之間,並摻雜有複數個第一摻雜劑;一阻擋層,位於該核心通道區域和該第二源極/汲極區域之間,並摻雜有複數個第二摻雜劑;一外殼,位於該核心通道區域和該阻擋層上;以及一閘極堆疊,位於該外殼上。
TW108130489A 2018-09-27 2019-08-26 半導體裝置 TW202013739A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862737861P 2018-09-27 2018-09-27
US62/737,861 2018-09-27
US16/358,394 2019-03-19
US16/358,394 US11024729B2 (en) 2018-09-27 2019-03-19 Method for manufacturing semiconductor device

Publications (1)

Publication Number Publication Date
TW202013739A true TW202013739A (zh) 2020-04-01

Family

ID=69946527

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108130489A TW202013739A (zh) 2018-09-27 2019-08-26 半導體裝置

Country Status (3)

Country Link
US (3) US11024729B2 (zh)
CN (1) CN110957363A (zh)
TW (1) TW202013739A (zh)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10141436B2 (en) * 2016-04-04 2018-11-27 Purdue Research Foundation Tunnel field effect transistor having anisotropic effective mass channel
US11018226B2 (en) 2018-08-14 2021-05-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11515424B2 (en) * 2019-02-08 2022-11-29 Intel Corporation Field-effect transistors with asymmetric gate stacks
CN111106177B (zh) * 2019-12-06 2023-09-29 中国科学院微电子研究所 半导体器件及其制造方法及包括该器件的电子设备

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2823009B1 (fr) * 2001-04-02 2004-07-09 St Microelectronics Sa Procede de fabrication d'un transistor vertical a grille isolee a faible recouvrement de la grille sur la source et sur le drain, et circuit integre comportant un tel transistor
US8734583B2 (en) * 2006-04-04 2014-05-27 Micron Technology, Inc. Grown nanofin transistors
JP5072392B2 (ja) * 2007-03-08 2012-11-14 株式会社東芝 縦型スピントランジスタ及びその製造方法
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US9006829B2 (en) 2012-08-24 2015-04-14 Taiwan Semiconductor Manufacturing Company, Ltd. Aligned gate-all-around structure
US9209247B2 (en) 2013-05-10 2015-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned wrapped-around structure
US20160021965A1 (en) * 2013-10-03 2016-01-28 Myron Dave Mayerovitch Multi-layer safety helmet assembly
US9136332B2 (en) 2013-12-10 2015-09-15 Taiwan Semiconductor Manufacturing Company Limited Method for forming a nanowire field effect transistor device having a replacement gate
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9608116B2 (en) 2014-06-27 2017-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. FINFETs with wrap-around silicide and method forming the same
US9412817B2 (en) 2014-12-19 2016-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Silicide regions in vertical gate all around (VGAA) devices and methods of forming same
US9536738B2 (en) 2015-02-13 2017-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Vertical gate all around (VGAA) devices and methods of manufacturing the same
US9502265B1 (en) 2015-11-04 2016-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Vertical gate all around (VGAA) transistors and methods of forming the same
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US11264452B2 (en) * 2015-12-29 2022-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. Hetero-tunnel field-effect transistor (TFET) having a tunnel barrier formed directly above channel region, directly below first source/drain region and adjacent gate electrode
US10141252B2 (en) * 2017-02-16 2018-11-27 Advanced Semiconductor Engineering, Inc. Semiconductor packages
US10475908B2 (en) * 2017-04-25 2019-11-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of fabricating the same

Also Published As

Publication number Publication date
US20210288168A1 (en) 2021-09-16
US20240178308A1 (en) 2024-05-30
CN110957363A (zh) 2020-04-03
US11894451B2 (en) 2024-02-06
US20200105914A1 (en) 2020-04-02
US11024729B2 (en) 2021-06-01

Similar Documents

Publication Publication Date Title
US11855151B2 (en) Multi-gate device and method of fabrication thereof
US9853125B2 (en) Vertical tunneling field-effect transistor cell and fabricating the same
US11211295B2 (en) FinFET doping methods and structures thereof
TW202111947A (zh) 半導體裝置
US10276566B2 (en) Leakage current suppression methods and related structures
US11894451B2 (en) Semiconductor device
US11688771B2 (en) Method for manufacturing semiconductor device
US10861977B2 (en) FinFET isolation structure
US11605638B2 (en) Transistors with multiple threshold voltages
US12015066B2 (en) Triple layer high-k gate dielectric stack for workfunction engineering
US20230262950A1 (en) Multi-gate device and related methods
CN221102090U (zh) 半导体装置
US20240347536A1 (en) Integrated circuit and method for forming the same
US20240339530A1 (en) Integrated circuit and method for forming the same
US20240047546A1 (en) Integrated circuit structure with backside via