TW202008082A - 製造半導體元件的方法 - Google Patents

製造半導體元件的方法 Download PDF

Info

Publication number
TW202008082A
TW202008082A TW108127267A TW108127267A TW202008082A TW 202008082 A TW202008082 A TW 202008082A TW 108127267 A TW108127267 A TW 108127267A TW 108127267 A TW108127267 A TW 108127267A TW 202008082 A TW202008082 A TW 202008082A
Authority
TW
Taiwan
Prior art keywords
exposure
mask
sequence
photoresist layer
stepping
Prior art date
Application number
TW108127267A
Other languages
English (en)
Inventor
游信勝
劉如淦
黃旭霆
山添賢治
陳銘鋒
周碩彥
林進祥
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202008082A publication Critical patent/TW202008082A/zh

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70641Focus
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/22Exposing sequentially with the same light pattern different positions of the same surface
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2022Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2022Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure
    • G03F7/203Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure comprising an imagewise exposure to electromagnetic radiation or corpuscular radiation
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/7055Exposure light control in all parts of the microlithographic apparatus, e.g. pulse length control or light interruption
    • G03F7/70558Dose control, i.e. achievement of a desired dose

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Electromagnetism (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

一種製造半導體元件的方法包括在半導體基板上描繪的曝光場矩陣中的每個曝光場中沿晶粒矩陣中的X軸劃分複數晶粒,其中X軸平行於包圍曝光場矩陣的最小矩形的邊緣。在晶粒矩陣中沿Y軸劃分複數晶粒,其中Y軸垂直於X軸。形成序列SNx0、SNx1、SNx、SNxr、SNy0、SNy1、SNy與SNyr。p*(Nbx+1)-2個步進操作在第三方向上執行,並且交替執行第一序列曝光/步進/曝光操作與第二序列曝光/步進/曝光操作於任何兩個相鄰的步進操作之間、第一步進操作之前與最後步進操作之後。每個步進操作的距離按次序遵循序列SNx。

Description

製造半導體元件的方法
本揭露的一些實施方式是有關於一種半導體元件的製造方法及用於製造半導體元件的設備。
隨著消費元件響應於消費者需求而變得越來越小,這些裝置的各個組件也必然減少尺寸。構成行動電話、電腦平板等裝置的主要組件的半導體元件已經受到壓力變得越來越小,半導體元件內部的各個元件(例如,電晶體、電阻器與電容器等)在相應的壓力之下也會減少尺寸。隨著諸如微影的半導體製造技術的進步,元件尺寸的減少可以被滿足。
舉例來說,用於微影的輻射波長從紫外線減少到深紫外線(deep ultraviolet;DUV),並且最近減少到極紫外線(extreme ultraviolet;EUV)。組件尺寸的進一步減少需要進一步改善微影的解析度,這可以使用極紫外線微影(extreme ultraviolet lithography;EUVL)來實現。EUVL採用的輻射,其波長約1奈米(nm)至100nm。
隨著半導體工業已經發展到奈米技術製程的節點以追求更高的元件密度、更高的性能與更低的成本,在減少半導體特徵尺寸的方面存在許多挑戰。
在一些實施方式中,一種製造半導體的方法包括以下步驟。將Nax劃分成p項,其中Nax是在半導體基板上描繪的曝光場矩陣中的每一者的晶粒矩陣中沿X軸的複數晶粒,且該些p項的每一者至少為1,其中X軸平行於包圍曝光場矩陣的最小矩形的邊緣,稱為曝光場矩陣外殼。Nay劃分成q項,其中Nay是晶粒矩陣沿Y軸的複數晶粒,且q項的每一者至少為1,其中Y軸垂直於X軸。通過按次序重複將Nbx+1乘以p項來形成序列SNx0,其中Nbx是最接近Rx/Fx的整數,其中Rx是沿曝光場矩陣外殼的X軸的尺寸,並且Fx是沿X軸的曝光場尺寸。通過消除SNx0的第一元件與最後元件形成序列SNx1。通過將SNx1的元件的每一者乘以Dx來形成序列SNx,其中Dx是沿X軸的晶粒尺寸。通過反轉SNx的次序形成序列SNxr。通過按次序重複將Nby+1乘以q項來形成序列SNy0,其中Nby是最接近Ry/Fy的整數,其中Ry是沿曝光場矩陣外殼的Y軸的尺寸,並且Fy是沿Y軸的曝光場尺寸。通過消除SNy0的第一元件與最後元件形成序列SNy1。通過將SNy1的元件的每一者乘以Dy來形成序列SNy,其中Dy是沿Y軸的晶粒尺寸。通過反轉SNy的次序形成序列SNyr。在第三方向上執行p*(Nbx+1)-2步進操作並在相鄰步進操作的任兩者之間、在第一步進操作之 前以及在最後步進操作之後,交替執行第一序列曝光/步進/曝光操作以及第二序列曝光/步進/曝光操作,其中步進操作的每一者的距離按次序遵循序列SNx。第一序列曝光/步進/曝光操作包括在第一方向上的q*(Nby+1)-2步進操作與在相鄰步進操作的任兩者之間、在第一步進操作之前以及在最後步進操作之後的曝光操作,其中步進操作的每一者的距離按次序遵循序列SNy。第一序列曝光/步進/曝光操作中的第一曝光操作在曝光場矩陣外殼的角落中的曝光場的角落中曝光Nax_1*Nay_1晶粒。第二序列曝光/步進/曝光操作包括在第二方向上的q*(Nby+1)-2步進操作與在相鄰步進操作的任兩者之間、在第一步進操作之前以及在最後步進操作之後的曝光操作,其中步進操作的每一者的距離按次序遵循序列SNyr。
30‧‧‧基板
35‧‧‧多層
37‧‧‧矽層
39‧‧‧鉬層
40‧‧‧覆蓋層
45‧‧‧吸收層
55‧‧‧圖案
60‧‧‧導電背側塗層
65‧‧‧邊界
100‧‧‧EUV輻射源
105‧‧‧腔室
110‧‧‧收集器
115‧‧‧靶材液滴產生器
120‧‧‧噴嘴
125‧‧‧液滴捕捉器
130‧‧‧第一緩衝氣體供應
140‧‧‧氣體出口
200‧‧‧曝光元件
205a、205b、205c、205d、205e‧‧‧光學元件
205c'‧‧‧遮罩
205c"‧‧‧遮罩
210‧‧‧基板
250‧‧‧光阻層
255‧‧‧晶圓台
265、270‧‧‧EUV輻射
300‧‧‧激發雷射源
310‧‧‧雷射產生器
320‧‧‧雷射引導光學元件
330‧‧‧聚焦設備
400‧‧‧微影設備
500‧‧‧控制器
501‧‧‧計算機
502‧‧‧鍵盤
503‧‧‧滑鼠
504‧‧‧顯示器
505、506‧‧‧驅動器
511‧‧‧處理器
512‧‧‧ROM
516‧‧‧RAM
514‧‧‧硬碟
515‧‧‧匯流排
521‧‧‧光盤
522‧‧‧磁盤
600‧‧‧方法
S605、S610、S615、S620、S625、S630、S635、S640、S645、S650‧‧‧步驟
700‧‧‧方法
S705、S710、S715、S720、S725、S730、S735、S740、S745、S750、S755、S760、S765‧‧‧步驟
800‧‧‧方法
S810、S820、S830、S840、S850、S860、S870、S880‧‧‧步驟
900‧‧‧方法
S905、S910、S915、S920、S925、S930、S935、S940、S945、S950、S955、S960、S965‧‧‧步驟
1000‧‧‧方法
S1005、S1010、S1015、S1020、S1025、S1030、S1035、S1040、S1045、S1050、S1055、S1060、S1065‧‧‧步驟
BF‧‧‧地下層
D1‧‧‧距離
DP‧‧‧靶材液滴
DP1、DP2‧‧‧阻尼器
Dx、Dy‧‧‧尺寸
EUV‧‧‧極紫外線
F‧‧‧場
L‧‧‧橫向
LR1、LR2‧‧‧激發雷射
MF‧‧‧主層
PP1、PP2‧‧‧基座板
V‧‧‧垂直
ZE‧‧‧EUV光輻射器
θ‧‧‧夾角
當結合圖式進行閱讀時得以自以下詳細描述最佳地理解本揭露的一些實施方式之態樣。應注意,根據產業上之標準實務,各種特徵並未按比例繪製。實際上,為了論述清楚可任意地增大或減小各種特徵之尺寸。
第1圖繪示根據本揭露之一實施方式的極紫外線微影工具。
第2圖繪示根據本揭露之一實施方式的極紫外線微影工具的細節的示意圖。
第3圖是根據本揭露之一些實施方式的反射遮罩的剖面圖。
第4A圖、第4B圖、第4C圖與第4D圖繪示逐行的摺疊曝光操作的平面視圖。
第5A圖、第5B圖、第5C圖與第5D圖繪示逐列的摺疊曝光操作的平面視圖。
第6圖繪示根據本揭露之一實施方式的具有複數晶粒的半導體晶圓。
第7圖繪示根據本揭露之一實施方式的微影設備。
第8A圖與第8B圖繪示根據本揭露之一實施方式的用於微影設備的控制器。
第9圖繪示根據本揭露之一實施方式的半導體元件的製造方法的流程圖。
第10圖繪示根據本揭露之一實施方式的半導體元件的製造方法的流程圖。
第11圖繪示根據本揭露之一實施方式的半導體元件的製造方法的流程圖。
第12圖繪示根據本揭露之一實施方式的半導體元件的製造方法的流程圖。
第13圖繪示根據本揭露之一實施方式的在半導體基板上曝光光阻層的方法的不同順序操作之一。
第14圖繪示根據本揭露之一實施方式的半導體元件的製造方法的流程圖。
第15圖繪示根據本揭露之一實施方式的在半導體基板上曝光光阻層的方法的不同順序操作之一。
第16圖繪示根據本揭露之一實施方式的在半導體基板上曝光光阻層的方法的不同順序操作之一。
第17圖繪示根據本揭露之一實施方式的在半導體基板上曝光光阻層的方法的不同順序操作之一。
第18圖繪示根據本揭露之一實施方式的在半導體基板上曝光光阻層的方法的不同順序操作之一。
第19圖繪示根據本揭露之一實施方式的在半導體基板上曝光光阻層的方法的不同順序操作之一。
第20圖繪示根據本揭露之一實施方式的在半導體基板上曝光光阻層的方法的不同順序操作之一。
第21圖繪示根據本揭露之一實施方式的在半導體基板上曝光光阻層的方法的不同順序操作之一。
第22圖繪示根據本揭露之一實施方式的在半導體基板上曝光光阻層的方法的不同順序操作之一。
第23圖繪示根據本揭露之一實施方式的在半導體基板上曝光光阻層的方法的不同順序操作之一。
第24圖繪示根據本揭露之一實施方式的在半導體基板上曝光光阻層的方法的不同順序操作之一。
第25圖繪示根據本揭露之一實施方式的在半導體基板上曝光光阻層的方法的不同順序操作之一。
第26圖繪示根據本揭露之一實施方式的在半導體基板上曝光光阻層的方法的不同順序操作之一。
第27圖繪示根據本揭露之一實施方式的在半導體基板上曝光光阻層的方法的不同順序操作之一。
第28圖繪示根據本揭露之一實施方式的在半導體基板上曝光光阻層的方法的不同順序操作之一。
第29圖繪示根據本揭露之一實施方式的在半導體基板上曝光光阻層的方法的不同順序操作之一。
第30圖繪示根據本揭露之一實施方式的在半導體基板上曝光光阻層的方法的不同順序操作之一。
第31圖繪示根據本揭露之一實施方式的在半導體基板上曝光光阻層的方法的不同順序操作之一。
第32圖繪示根據本揭露之一實施方式的在半導體基板上曝光光阻層的方法的不同順序操作之一。
第33圖繪示根據本揭露之一實施方式的在半導體基板上曝光光阻層的方法的不同順序操作之一。
第34圖繪示根據本揭露之一實施方式的在半導體基板上曝光光阻層的方法的不同順序操作之一。
第35圖繪示根據本揭露之一實施方式的在半導體基板上曝光光阻層的方法的不同順序操作之一。
第36圖繪示根據本揭露之一實施方式的在半導體基板上曝光光阻層的方法的不同順序操作之一。
第37圖繪示根據本揭露之一實施方式的在半導體基板上曝光光阻層的方法的不同順序操作之一。
第38圖繪示根據本揭露之一實施方式的在半導體基板上曝光光阻層的方法的不同順序操作之一。
第39圖繪示根據本揭露之一實施方式的在半導體基板上曝光光阻層的方法的不同順序操作之一。
第40圖繪示根據本揭露之一實施方式的在半導體基板上曝光光阻層的方法的不同順序操作之一。
第41圖繪示根據本揭露之一實施方式的在半導體基板上曝光光阻層的方法的不同順序操作之一。
第42圖繪示根據本揭露之一實施方式的在半導體基板上曝光光阻層的方法的不同順序操作之一。
第43圖繪示根據本揭露之一實施方式的在半導體基板上曝光光阻層的方法的不同順序操作之一。
第44圖繪示根據本揭露之一實施方式的在半導體基板上曝光光阻層的方法的不同順序操作之一。
第45圖繪示根據本揭露之一實施方式的在半導體基板上曝光光阻層的方法的不同順序操作之一。
第46圖繪示根據本揭露之一實施方式的在半導體基板上曝光光阻層的方法的不同順序操作之一。
第47圖繪示根據本揭露之一實施方式的在半導體基板上曝光光阻層的方法的不同順序操作之一。
第48圖繪示根據本揭露之一實施方式的在半導體基板上曝光光阻層的方法的不同順序操作之一。
第49圖繪示根據本揭露之一實施方式的在半導體基板上曝光光阻層的方法的不同順序操作之一。
第50圖繪示根據本揭露之一實施方式的在半導體基板上曝光光阻層的方法的不同順序操作之一。
第51圖繪示根據本揭露之一實施方式的在半導體基板上曝光光阻層的方法的不同順序操作之一。
第52圖繪示根據本揭露之一實施方式的在半導體基板上曝光光阻層的方法的不同順序操作之一。
第53圖繪示根據本揭露之一實施方式的在半導體基板上曝光光阻層的方法的不同順序操作之一。
第54圖繪示根據本揭露之一實施方式的在半導體基板上曝光光阻層的方法的不同順序操作之一。
第55圖繪示根據本揭露之一實施方式的在半導體基板上曝光光阻層的方法的不同順序操作之一。
第56圖繪示根據本揭露之一實施方式的在半導體基板上曝光光阻層的方法的不同順序操作之一。
第57圖繪示根據本揭露之一實施方式的在半導體基板上曝光光阻層的方法的不同順序操作之一。
第58圖繪示根據本揭露之一實施方式的在半導體基板上曝光光阻層的方法的不同順序操作之一。
第59圖繪示根據本揭露之一實施方式的在半導體基板上曝光光阻層的方法的不同順序操作之一。
第60圖繪示根據本揭露之一實施方式的在半導體基板上曝光光阻層的方法的不同順序操作之一。
第61圖繪示根據本揭露之一實施方式的在半導體基板上曝光光阻層的方法的不同順序操作之一。
第62圖繪示根據本揭露之一實施方式的在半導體基板上曝光光阻層的方法的不同順序操作之一。
第63圖繪示根據本揭露之一實施方式的在半導體基板上曝光光阻層的方法的不同順序操作之一。
第64圖繪示根據本揭露之一實施方式的在半導體基板上曝光光阻層的方法的不同順序操作之一。
第65圖繪示根據本揭露之一實施方式的在半導體基板上曝光光阻層的方法的不同順序操作之一。
第66圖繪示根據本揭露之一實施方式的在半導體基板上曝光光阻層的方法的不同順序操作之一。
第67圖繪示根據本揭露之一實施方式的在半導體基板上曝光光阻層的方法的不同順序操作之一。
第68圖繪示根據本揭露之一實施方式的在半導體基板上曝光光阻層的方法的不同順序操作之一。
第69圖繪示根據本揭露之一實施方式的在半導體基板上曝光光阻層的方法的不同順序操作之一。
第70圖繪示根據本揭露之一實施方式的在半導體基板上曝光光阻層的方法的不同順序操作之一。
第71圖繪示根據本揭露之一實施方式的在半導體基板上曝光光阻層的方法的不同順序操作之一。
第72圖繪示根據本揭露之一實施方式的在半導體基板上曝光光阻層的方法的不同順序操作之一。
第73圖繪示根據本揭露之一實施方式的在半導體基板上曝光光阻層的方法的不同順序操作之一。
第74圖繪示根據本揭露之一實施方式的在半導體基板上曝光光阻層的方法的不同順序操作之一。
第75圖繪示根據本揭露之一實施方式的在半導體基板上曝光光阻層的方法的不同順序操作之一。
第76圖繪示根據本揭露之一實施方式的在半導體基板上曝光光阻層的方法的不同順序操作之一。
第77圖繪示根據本揭露之一實施方式的在半導體基板上曝光光阻層的方法的不同順序操作之一。
第78圖繪示根據本揭露之一實施方式的在半導體基板上曝光光阻層的方法的不同順序操作之一。
第79圖繪示根據本揭露之一實施方式的在半導體基板上曝光光阻層的方法的不同順序操作之一。
第80圖繪示根據本揭露之一實施方式的在半導體基板上曝光光阻層的方法的不同順序操作之一。
第81圖繪示根據本揭露之一實施方式的在半導體基板上曝光光阻層的方法的不同順序操作之一。
第82圖繪示根據本揭露之一實施方式的在半導體基板上曝光光阻層的方法的不同順序操作之一。
第83圖繪示根據本揭露之一實施方式的在半導體基板上曝光光阻層的方法的不同順序操作之一。
第84圖繪示根據本揭露之一實施方式的在半導體基板上曝光光阻層的方法的不同順序操作之一。
第85圖繪示根據本揭露之一實施方式的在半導體基板上曝光光阻層的方法的不同順序操作之一。
第86圖繪示根據本揭露之一實施方式的在半導體基板上曝光光阻層的方法的不同順序操作之一。
第87圖繪示根據本揭露之一實施方式的在半導體基板上曝光光阻層的方法的不同順序操作之一。
第88圖繪示根據本揭露之一實施方式的在半導體基板上曝光光阻層的方法的不同順序操作之一。
第89圖繪示根據本揭露之一實施方式的在半導體基板上曝光光阻層的方法的不同順序操作之一。
第90圖繪示根據本揭露之一實施方式的在半導體基板上曝光光阻層的方法的不同順序操作之一。
第91圖繪示根據本揭露之一實施方式的在半導體基板上曝光光阻層的方法的不同順序操作之一。
第92圖繪示根據本揭露之一實施方式的在半導體基板上曝光光阻層的方法的不同順序操作之一。
第93圖繪示根據本揭露之一實施方式的在半導體基板上曝光光阻層的方法的不同順序操作之一。
第94圖繪示根據本揭露之一實施方式的在半導體基板上曝光光阻層的方法的不同順序操作之一。
第95圖繪示根據本揭露之一實施方式的在半導體基板上曝光光阻層的方法的不同順序操作之一。
第96圖繪示根據本揭露之一實施方式的在半導體基板上曝光光阻層的方法的不同順序操作之一。
第97圖繪示根據本揭露之一實施方式的在半導體基板上曝光光阻層的方法的不同順序操作之一。
第98圖繪示根據本揭露之一實施方式的在半導體基板上曝光光阻層的方法的不同順序操作之一。
第99圖繪示根據本揭露之一實施方式的在半導體基板上曝光光阻層的方法的不同順序操作之一。
第100圖繪示根據本揭露之一實施方式的在半導體基板上曝光光阻層的方法的不同順序操作之一。
第101圖繪示根據本揭露之一實施方式的在半導體基板上曝光光阻層的方法的不同順序操作之一。
第102圖繪示根據本揭露之一實施方式的在半導體基板上曝光光阻層的方法的不同順序操作之一。
第103圖繪示根據本揭露之一實施方式的在半導體基板上曝光光阻層的方法的不同順序操作之一。
第104圖繪示根據本揭露之一實施方式的在半導體基板上曝光光阻層的方法的不同順序操作之一。
第105圖繪示根據本揭露之一實施方式的在半導體基板上曝光光阻層的方法的不同順序操作之一。
第106圖繪示根據本揭露之一實施方式的在半導體基板上曝光光阻層的方法的不同順序操作之一。
第107圖繪示根據本揭露之一實施方式的在半導體基板上曝光光阻層的方法的不同順序操作之一。
第108圖繪示根據本揭露之一實施方式的在半導體基板上曝光光阻層的方法的不同順序操作之一。
第109圖繪示根據本揭露之一實施方式的在半導體基板上曝光光阻層的方法的不同順序操作之一。
第110圖繪示根據本揭露之一實施方式的在半導體基板上曝光光阻層的方法的不同順序操作之一。
以下揭示內容提供用於實施所提供標的物之不同特徵的許多不同實施方式或示例。以下描述部件及佈置之特定 示例以簡化本揭露的一些實施方式。當然,此等僅為示例且並不意欲為限定性的。舉例而言,在如下描述中第一特徵在第二特徵之上或在第二特徵上的形成可包括其中第一及第二特徵直接接觸形成之實施方式,且亦可包括其中額外特徵可在第一及第二特徵之間形成而使得第一及第二特徵可不直接接觸的實施方式。
另外,為了描述簡單起見,可在本揭露的一些實施方式中使用諸如「在...之下」、「在...下方」、「下方」、「在...上方」、「上方」以及其類似術語的空間相對術語,以描述如圖式中所說明之一個元件或特徵相對於另一(其他)元件或特徵的關係。除了圖式中所描繪之定向以外,所述空間相對術語意欲亦涵蓋在使用中或操作中部件的不同定向。裝置可以其他方式定向(旋轉90度或在其他定向上),且本揭露的一些實施方式中所使用之空間相對描述詞可同樣相應地作出解釋。
本揭露的一些實施方式是有關於極紫外線(extreme ultraviolet;EUV)微影系統與方法。在極紫外線微影(extreme ultraviolet lithography;EUVL)工具中,雷射產生的電漿(laser-produced plasma;LPP)產生極紫外線輻射,其用於對覆有光阻(photoresist-coated)的基板成像。在EUV工具中,激發雷射加熱LPP腔室中的金屬(例如,錫、鋰等)靶材液滴,以將液滴電離化為發射EUV輻射的電漿。為了可再現地產生EUV輻射,到達焦點(在此也稱為「激發區」)的靶材液滴必須具有實質上相同的尺寸,並且在激發脈衝的同時從 激發雷射到達激發區域。因此,以均勻(或可預測)速度從靶材液滴產生器行進到激發區域的靶材液滴的穩定產生,有助於LPP EUV輻射源的效率與穩定性。
第1圖是具有基於雷射產生的電漿(LPP)的EUV輻射源的EUV微影工具的示意圖。EUV微影系統包括用於產生EUV光的EUV輻射源100、諸如掃描儀的曝光元件200,以及激發雷射源300。如第1圖所示,在一些實施方式中,EUV輻射源100與曝光元件200安裝於清洗室的主層MF之上,而激發雷射源300安裝於位在主層MF下方的地下層BF中。EUV輻射源100與曝光元件200中的每一個分別經由阻尼器DP1與阻尼器DP2放置在基座板PP1與基座板PP2之上。EUV輻射源100與曝光元件200通過耦合機構彼此耦合,耦合機構可以包括聚焦單元。
微影系統是極紫外線(EUV)微影系統,其被設計為通過EUV光(在此也可以互換地稱為EUV輻射)以曝光抗蝕劑層。抗蝕劑層是對EUV光敏感的材料。EUV微影系統採用EUV輻射源100來產生EUV光,例如波長範圍在約1奈米(nm)與約100nm之間的EUV光。在一個特定示例中,EUV輻射源100產生具有以約13.5nm為中心的波長的EUV光。在本實施方式中,EUV輻射源100利用雷射產生的電漿(LPP)的機制來產生EUV輻射。
曝光元件200包括各種反射光學組件,例如凸/凹/平面鏡、包括遮罩台的遮罩保持機構,以及晶圓保持機構。由EUV輻射源100產生的EUV輻射被反射光學組件引導到固 定在遮罩台上的遮罩。在一些實施方式中,遮罩台包括靜電盤(electrostatic chuck;e-chuck),以固定遮罩。
第2圖是根據本揭露的一實施方式的極紫外線微影工具的細節的簡化示意圖,繪示具有EUV光的圖案化光束的光阻塗覆的基板210的曝光。曝光元件200是積體電路微影工具,例如步進器、掃描器、步進與掃描系統、直接寫入系統、使用接觸及/或接近遮罩的元件等、設置有一個或多個光學元件205a及205b,例如用EUV光束來照射圖案化光學元件205c,諸如遮罩,以產生圖案化光束,以及用於將圖案化光束投射到基板210上的一個或多個縮小投影光學元件205d,205e。機械組件(未繪示)可用以在基板210與圖案化光學元件205c之間產生受控制的相對運動。如第2圖中進一步所示,EUVL工具包括EUV輻射源100,EUV輻射源100包括在腔室105中發射EUV光的EUV光輻射器ZE,其被收集器110反射,沿著進入曝光元件200的路徑,以照射基板210。
如本文所使用的術語「光學元件(optic)」旨在廣義地解釋為包括但不必限制於反射及/或透射及/或操作入射光的一個或多個組件,並且包括但不限制於一個或多個透鏡、窗、濾光片、楔形物棱鏡、棱鏡、光柵、傳輸光纖、標準具、散光器、均化器、探測器及其他的儀器組件、孔徑、軸與鏡,包括多層鏡、接近垂直(near-normal)入射鏡、光柵入射鏡、鏡面反射鏡、散光反射鏡及其組合。再者,除非另有說明,否則本文所用的術語「光學元件」均不限於在一個或多個特定波長範圍內的單獨或有利地操作的組件,前述的波長範圍可例如 是在EUV輸出光波長下、照射雷射波長,以及適合於計量或任何其他特定波長的波長。
由於氣體分子吸收EUV光,因此用於EUV微影圖案化的微影系統保持在真空或低壓環境中,以避免EUV強度損失。
在本揭露的一些實施方式中,術語遮罩(mask)、光遮罩(photomask)與標線片(reticle)可互換使用。在本實施方式中,第3圖的圖案化光學元件205c是反射遮罩。在一些實施方式中,如第3圖所示,圖案化光學元件205c包括具有適當材料的基板30,基板30的材料可例如是低熱膨脹材料或熔融石英。在不同示例中,材料可包括二氧化鈦(TiO2)、摻雜的二氧化矽(SiO2),或低熱膨脹的其他適當材料。圖案化光學元件205c(可例如為反射標線片)包括沉積在基板上的多個反射的多層(multiple layers;ML)35。多層35包括多個膜對(film paurs),例如鉬-矽(Mo/Si)膜對,例如,每個膜對中的鉬層39位於矽層37的上方或下方。或者,多層35可以包括鉬-鈹(Mo/Be)膜對,或可配置以高度反射EUV光的其他適當材料。圖案化光學元件205c(反射遮罩)還可以包括設置在多層35上用於保護的覆蓋層40,例如釕(Ru)。圖案化光學元件205c(反射遮罩)還包括沉積在多層35上的吸收層,例如氮化硼鉭(TaBN)層、及/或氧化硼鉭(TaBO)層。吸收層45被圖案化以定義積體電路(integrated circuit;IC)的層。圖案化光學元件205c(反射遮罩)包括導電背側圖層60。在一些其他的實施方式中,可以在多層35上沉積另一反射層,並且將其圖案化, 以定義積體電路的層,進而形成EUV相偏移遮罩(phase shift mask)。在一些實施方式中,圖案化光學元件205c(反射遮罩)包括向下蝕刻到圍繞圖案55的基板30的邊界65,也稱為黑色邊界65,以定義成像的電路區域與不成像的外圍區域。在一些實施方式中,黑色邊界65降低漏光(light leakage)。
在本揭露的不同實施方式中,光阻塗覆的基板210是半導體晶圓,例如矽晶圓或其他類型的待圖案化的晶圓。
在一些實施方式中,EUVL工具還可以包括其他的模組,或與其他的模組積體(或與其耦合)。
如第1圖所示,EUV輻射源100包括由腔室105包圍的靶材液滴產生器115與LPP收集器110。在一些實施方式中,靶材液滴產生器115包括用於保持源材料的儲存器與噴嘴120,通過噴嘴120將源材料的靶材液滴(target droplets)DP供應到腔室105中。
在一些實施方式中,靶材液滴DP是錫(Sn)液滴、鋰(Li)液滴,或錫與鋰的合金。在一些實施方式中,每個靶材液滴DP具有範圍約10微米(μm)至100μm的直徑。舉例來說,在一些實施方式中,靶材液滴DP是錫液滴,並具有範圍約10μm至100μm的直徑。在一些其他的實施方式中,靶材液滴DP經由噴嘴120供應,並以每秒約50個液滴的速率(即噴射頻率約50Hz)至每秒約50,000個液滴的速率(即噴射頻率約50kHz)。在一些實施方式中,靶材液滴DP以範圍在約100Hz制約25kHz的噴射頻率(ejection frequency)來供應。在一些其他的實施方式中,靶材液滴DP以範圍在約500Hz制 約10kHz的噴射頻率來供應。在一些實施方式中,靶材液滴DP通過噴嘴120噴射到EUV光輻射器ZE(激發區)中,速度範圍為約10米/秒(m/s)至約100m/s。在一些實施方式中,靶材液滴DP具有約10m/s至約75m/s的速度。在其他的實施方式中,靶材液滴具有約25m/s至約50m/s的速度。
再回到第1圖,由激發雷射源300產生的激發雷射LR2是脈衝雷射(pulse laser)。激發雷射源300可包括雷射產生器310、雷射引導光學元件320與聚焦設備330。在一些實施方式中,雷射產生器310產生的雷射源包括波長在電磁波譜的紅外線區域的二氧化碳(CO2)或釹摻雜的釔鋁石榴石(neodymium-doped yttrium aluminum garnet;Nd:YAG)雷射源。舉例來說,在一實施方式中,雷射產生器310產生的雷射源具有9.4μm的波長,或具有10.6μm的波長。由雷射產生器310產生的激發雷射(雷射光)LR1由雷射引導光學元件320引導,並且通過聚焦設備330聚焦到激發雷射LR2中,然後引入EUV輻射源100。
在一些實施方式中,激發層LR2包括預熱雷射(pre-heat laser)與主雷射(main laser)。在此實施方式中,預熱雷射脈衝(在此可互換地被稱為「預脈衝」)用於加熱(或預熱)一個給定的靶材液滴,以產生具有多個小液滴的低密度的靶材流(target plume),其隨後被主雷射脈衝加熱(或再加熱),進而產生EUV光的增強發射。
在不同的實施方式中,預熱雷射脈衝具有約100微米(μm)或更小的光點尺寸(spot size),並且主雷射脈衝具 有約150μm至300μm的光點尺寸。在一些實施方式中,預熱雷射脈衝與主雷射脈衝的持續時間在約10ns至約50ns的範圍內,且脈衝頻率(pulse-frequency)在約1kHz至約100kHz的範圍內。在不同的實施方式中,預熱雷射與主雷射的平均功率在約1千瓦(kilowatt;kW)至約50kW的範圍內。在一實施方式中,激發雷射LR2的脈衝頻率與靶材液滴DP的噴射頻率相匹配。
激發雷射LR2(雷射光)被引導通過窗口(或透鏡)進入EUV光輻射器ZE(激發區)。前述的窗口採用對雷射光束實質上透明的適當材料。脈衝雷射的產生與經由噴嘴120噴射的靶材液滴DP同步。當靶材液滴DP移動通過激發區時,預脈衝加熱靶材液滴DP,並將靶材液滴DP轉換成低密度的靶材流。控制預脈衝與主脈衝之間的延遲,以允許靶材流形成並擴展到最佳的尺寸與幾何形狀。當主脈衝加熱靶材流時,產生高溫電漿。電漿發射EUV輻射,其由收集器110的鏡所收集。收集器110進一步反射與聚焦EUV輻射,用於通過曝光元件200的微影曝光製程。液滴捕捉器125用於捕捉過量的靶材液滴DP。舉例來說,雷射脈衝可能故意地錯過一些靶材液滴DP。
再回到第1圖,收集器110被設計為具有適當的塗層材料與形狀,以作為EUV收集、反射與聚焦的鏡。在一些實施方式中,收集器110設計成具有橢圓形的幾何形狀。在一些實施方式中,收集器110的塗層材料類似於EUV遮罩的反射多層。在一些示例中,收集器110的塗層材料包括多層(ML),例 如複數鉬/矽膜對,並且還可以包括塗覆在ML上,以實質上反射EUV光的覆蓋層(例如釕)。在一些實施方式中,收集器110可以進一步包括光柵結構(grating structure),前述的光柵結構被設計成有效地散射被引導到收集器110上的雷射光束。舉例來說,氮化矽層被塗覆在收集器110上且被圖案化,以具有光柵圖案。
在這種EUV輻射源100中,由雷射應用引起的電漿產生的物理碎片,例如液滴的離子、氣體與原子,以及期望的EUV輻射。防止材料在收集器110上的積聚是重要的,並且還可以防止物理碎片離開腔室105,且進入曝光元件200。
如第1圖所示,在一些實施方式中,緩衝氣體(buffer gas)從第一緩衝氣體供應130通過收集器110中的孔徑,以將脈衝雷射傳送至錫液滴。在一些實施方式中,緩衝氣體是氫氣(H2)、氦氣(He)、氬氣(Ar)、氮氣(N2),或其他的惰性氣體。在一些特定的實施方式中,使用氫氣作為通過電離緩衝氣體產生的氫基團(H radicals)可用於清洗之目的。緩衝氣體還可以通過一個或多個第二緩衝氣體供應,朝向收集器110及/或收集器110的邊緣提供。再者,腔室105包括一個或多個氣體出口140,使得緩衝氣體可排出於腔室105的外部。
氫氣對EUV輻射具有低吸收。到達收集器110的塗層表面的氫氣與液滴的金屬產生化學反應,形成氫化物(hydride),例如金屬氫化物。當使用錫(Sn)作為液滴時,形成錫烷(stannane;SnH4),SnH4是EUV產生製程中的氣態副產物。然後,氣態SnH4通過氣體出口140抽出(pump out)。
提高EUVL操作的解析度,以增加半導體元件的產量是被期待的。在一些情況下,當使用具有缺陷或污染物的光罩在光阻層中形成圖案時,遮罩中的圖案缺陷或遮罩表面上的微粒污染導致光阻層圖案中的缺陷。為了提高EUVL操作中的曝光解析度,並且避免由於顆粒或遮罩缺陷導致的缺陷圖案,執行覆蓋光阻(photoresist-covered)的晶圓的多次曝光。根據本揭露的一些實施方式的方法通過累積來自於N個遮罩區域的遮罩圖像來實現在晶圓上的晶片圖案的曝光,在每個遮罩區域上存在相同的遮罩圖案。
在一些實施方式中,使用p*q的折疊曝光。折疊曝光包括重複曝光全場圖像,同時步進(stepping)遮罩,相對於光阻塗覆的晶圓,曝光一個晶粒尺寸或在每個曝光間的多個晶粒尺寸的步進距離(stepping distance)。在一些實施方式中,折疊曝光是逐行(column-wise)的,而在其他的實施方式中是逐列(row-wise)的。折疊曝光的示例繪示於第4A圖至第5D圖。第4A圖至第4D圖繪示在晶圓(未繪示)上的平面圖中觀察的逐行的折疊曝光操作。第4A圖至第4D圖的星號表示掃描與步進操作的起點,箭頭表示晶圓台的步進方向。舉例來說,在第4A圖中,步進與掃描開始於晶圓(未繪示)的左上角,並且步進與掃描沿箭頭方向進行。在另一實施方式中,如第4B圖所示,步進與掃描開始於晶圓的右上角,並且步進與掃描沿箭頭方向進行。在另一實施方式中,如第4C圖所示,步進與掃描開始於晶圓的左下角,並且步進與掃描沿箭頭方向 進行。在另一實施方式方式中,如第4D圖所示,步進與掃描開始於晶圓的右下角,並且步進與掃描沿箭頭方向進行。
第5A圖至第5D圖繪示在晶圓(未繪示)上的平面圖中觀察的逐列的折疊曝光操作。星號表示掃描與步進操作的起點,箭頭表示晶圓台的步進方向。舉例來說,在第5A圖中,步進與掃描開始於晶圓(未繪示)的左上角,並且步進與掃描沿箭頭方向進行。在另一實施方式中,如第5B圖所示,步進與掃描開始於晶圓的右上角,並且步進與掃描沿箭頭方向進行。在另一實施方式中,如第5C圖所示,步進與掃描開始於晶圓的左下角,並且步進與掃描沿箭頭方向進行。在另一實施方式中,如第5D圖所示,步進與掃描開始於晶圓的右下角,並且步進與掃描沿箭頭方向進行。
將晶粒佈置為矩陣,Nax*Nay晶粒在曝光場(exposure field)F,或場(field),亦即,一次曝光區域(one-time exposure region)。如第6圖所示,沿X軸的晶粒尺寸Dx,並且沿Y軸的晶粒尺寸Dy。場F在晶圓上排列成矩陣,然而,這些矩形形狀的場從矩陣中完全或部分地在圓形晶圓210的外部移除。
在場的矩陣中,有Nbx個行。在第一行(最左邊的行)中,有Nby個場,在第二行(第一行旁邊的行)中,有Nby個場,其餘的每行以此類推。沿X軸的場尺寸Fx,沿著Y軸行的場尺寸Fy。在一些實施方式中,Nax被劃分為(divided into)p個項:Nax_1、Nax_2...至Nax_p,其中滿足以下等式:Nax_1+Nax_2+...+Nax_p=Nax)。通過按次序重複將Nbx+1 乘以p項,形成序列SNx0。Nbx是最接近Rx/Fx的整數,其中Rx是沿著包圍曝光場矩陣的最小矩形的X軸的尺寸,稱為曝光場矩陣外殼(exposure field matrix enclosure),並且Fx是沿X軸的曝光場尺寸。消除SNx0的第一個與最後一個元件導致新的序列SNx1。通過將SNx1的每個元件乘以Dx形成序列SNx,其中Dx是沿X軸的晶粒尺寸。通過反轉SNx的次序,形成序列SNxr。
在一些實施方式中,Nay被劃分為q個項:Nay_1、Nay_2...至Nay_q,其中滿足以下等式:Nay_1+Nay_2+...+Nay_q=Nay。通過按次序重複將Nby+1乘以q項,形成序列SNy0。Nby是最接近Ry/Fy的整數,其中Ry是沿著包圍曝光場矩陣的最小矩形的Y軸的尺寸,稱為曝光場矩陣外殼,並且Fy是沿Y軸的曝光場尺寸。消除SNy0的第一個與最後一個元件導致新的序列SNy1。通過將SNy1的每個元件乘以Dy形成序列SNy,其中Dy是沿Y軸的晶粒尺寸。通過反轉SNy的次序,形成序列SNyr。
為了達到p*q折疊曝光,在一些實施方式中需要滿足p
Figure 108127267-A0101-12-0023-118
Nax與q
Figure 108127267-A0101-12-0023-119
Nay。
在一些實施方式中,形成序列SFy0,其中Sfy0:F-、F+、F-、F+...,總共q*(Nby[1]+1)項。F-指的是在靶材焦點位置上方的焦點位置完成的曝光,而F+指的是在靶材焦點位置下方的焦點位置完成的曝光。消除SFy0的最後一個元件會產生一個新序列SFy1。相似地,可以產生SFy2、SFy3...至SFyNbx。
在一些實施方式中,曝光光阻層的方法包括將晶圓台移動到起始位置,然後執行曝光,使得Nax_1*Nay_1被曝光於起始位置的周圍,例如第一行中最低場的左下角。晶圓台執行q*(Nby1+1)-2向上步進,其中每一個的順序依次遵循序列SNy1。在每一次步進之後,執行曝光。每個曝光的焦點設置遵循序列SFy1。舉例來說,第一曝光的焦點設置是SFy1中的第一個元件;第二曝光的焦點設置是SFy1中的第二個元件,以此類推。
再來,晶圓台在SNx的第一元件之後向右步進一段距離,然後執行曝光。在向右步進與曝光之後,晶圓台執行q*(Nby1+1)-2個向下步進,其中每一個的順序依次遵循序列SNyr1。在每一次步進之後,執行曝光。每個曝光順序的焦點設置遵循序列SFy1。
繼續曝光與步進操作,直到場的第一行向上或向下經過p次。然後,晶圓台沿SNx的第p個元件向右步進一段距離,並執行曝光。
在一些實施方式中,每個晶粒中累積的總劑量在靶材劑量的約75%至約125%之間。在一些實施方式中,曝光寬容度(latitude)大於25%。
在一些實施方式中,在逐行的折疊曝光中執行上述曝光與步進操作,在其他的實施方式中,在逐行的折疊曝光中執行上述曝光與步進操作。
舉例來說,在一些實施方式中,使用遮罩的不同部分,用相同的圖案將光阻塗覆的晶圓上的每個晶粒曝光四 次。在一些實施方式中,曝光遮罩在遮罩上的四個相鄰位置中包括相同的圖案,並且隨著晶圓相對於曝光光束移動,每個晶粒被曝光四次到相同的圖案。為了防止給定晶粒的過度曝光,每次曝光的曝光劑量是所需總曝光劑量的四分之一。在一些實施方式中,通過在每次曝光時更快地掃描曝光光束來實現每次曝光時減少的曝光劑量。因此,在每次掃描曝光期間,光阻塗覆的晶圓曝光較短的時間。舉例來說,掃描光束可以正常速度的四倍相對於光阻層移動,使得在每次曝光時,每個晶粒曝光總曝光時間的四分之一。在一些實施方式中,通過在每次掃描曝光時更快地移動晶圓台來實現減小的曝光劑量。在遮罩的另一圖案中的相同位置處不會發現一個圖案上的缺陷或顆粒污染物。因為每次曝光低於所需的總曝光量,所以遮罩的一部分上的隔離的缺陷或顆粒將不會成像到光阻層中。根據本揭露的一些實施方式,使用具有相同電路或晶片圖案的遮罩的不同部分的多次曝光的累積曝光劑量將再現光阻的圖案,而不對隔離的缺陷或顆粒成像。
曝光遮罩不限於四個相鄰的相同圖案。其他的遮罩佈置可包括多於四個相鄰的相同圖案。在一些實施方式中,可以具有五個、六個、七個、八個或更多個相鄰的相同圖案。本揭露的一些實施方式不限於每個晶粒的四次曝光,並且多次曝光可以是兩次、三次、五次或更多次。在一些實施方式中,具有相同圖案的遮罩的不同部分的多次曝光平滑了可能存在於遮罩的給定位置中的任何缺陷。
在一些實施方式中,多個曝光中的每一個在不同的焦點位置執行。通過使晶圓台移近或遠離成像光源或遮罩來實現不同的焦點位置。在一些實施方式中,晶圓台在掃描曝光期間傾斜,以實現在一系列焦點位置形成的圖像。在一些實施方式中,在一個場的曝光期間,晶圓台相對於水平方向傾斜高達約50微弧度(micro radians)。在一些實施方式中,在掃描曝光期間,晶圓台是向上傾斜(+)或向下傾斜(-)的其中一者,但不是兩者。在一些實施方式中,晶圓台相對於成像光源或`遮罩在垂直方向上移動,以使晶圓移近或遠離成像光源或遮罩。在一些實施方式中,晶圓台在曝光一個場期間相對於靶材移動從-50nm到+50nm或最佳焦點位置。
在不同焦點深度處曝光光阻塗覆的晶圓210的相同區域被稱為聚焦寬容度增強曝光(focus latitude enhanced exposure;FLEX)。在FLEX操作中,使用若干不同焦平面中的多次曝光來沿著光軸延伸遮罩圖案的圖像對比度。FLEX操作可以使圖案焦點位置增加3倍(3X)至4倍(4X)。
在一些實施方式中,在光遮罩上的所需圖案附近提供子解析度輔助特徵(sub resolution assist features;SRAF)以改善圖案解析度。
第7圖繪示根據本揭露的一些實施方式的微影設備400。其上塗覆有光阻層250的半導體基板210在微影設備400中曝光於輻射。光阻塗覆的半導體基板210由晶圓台255支撐。在一些實施方式中,光罩205c與光阻層被距離D1所分開。在一些實施方式中,晶圓台255被配置為相對於光罩205c 橫向L或垂直V移動。橫向L移動包括沿X軸或Y軸(進入紙面)的移動。垂直V移動沿Z軸。在一些實施方式中,晶圓台255從-XX移動到+XX,或從+XX移動到-XX,其中XX是相對於光罩205c在10nm到200nm的範圍內,進而改變光罩205c與光阻曾250之間的距離。因此,在一些實施方式中,光罩205c與光阻層250之間的距離相對於初始距離D1增大或減小。在掃描曝光期間,在一些實施方式中,晶圓台255配置以當掃描方向沿著Y軸時向X軸傾斜。在一些實施方式中,傾斜X軸的夾角θ是TT,其中TT在約10微弧度到約200微弧度的範圍內。
在一些實施方式中,輻射是極紫外線輻射,並且光罩205c是反射EUV光罩(reflective EUV photomask)。在一些實施方式中,如前述所討論的,在EUV輻射源100中產生極紫外線輻射。EUV輻射源100產生EUV輻射265,其朝向光罩205,並被反射光罩205c反射。反射的EUV輻射270包括根據光罩205c中的圖案的圖案信息。圖案化的反射EUV輻射270以圖案方式曝光光阻層250,進而在光阻層250中形成對應於光罩圖案的潛在圖案。通過使用適當的顯影劑使曝光的光阻層顯影,在圖案式曝光的光阻層中形成圖案。光阻是正性抗蝕劑(positive-tone resist)或負性抗蝕劑(negative-tone)。在正性光阻中,隨後在顯影操作期間移除光阻層的曝光部分。在負性光阻中,隨後在顯影操作期間移除光阻層的未曝光部分。
在一些實施方式中,使用適當的蝕刻操作將光阻劑的圖案延伸到下層中。蝕刻操作可以是濕式蝕刻操作或乾式 蝕刻操作。在下層中形成圖案之後,通過適當的光阻剝離或電漿灰化(ashing)操作移除剩餘的光阻。
在一些實施方式中,根據需要,在EUV輻射源100與光罩205c之間,或者在光罩205c與光阻層250之間具有另外的光學元件,以進一步減少光阻層250上的圖案的尺寸或者聚焦EUV輻射。
在一些實施方式中,晶圓台255的移動與曝光輻射的產生由控制器控制。第8A圖與第8B圖繪示根據本揭露的一些實施方式的控制器500。在一些實施方式中,控制器500是計算機系統。第8A圖是控制輻射產生與晶圓台255移動的計算機系統的示意圖。可以使用在其上執行的計算機硬體與計算機程序來實現前述的實施方式的全部或部分過程、方法及/或操作。操作包括晶圓台255的移動、曝光照射的順序、曝光劑量、EUV輻射的產生,包括Sn液滴產生的頻率與雷射脈衝的定時。在一些實施方式中,計算機系統(控制器500)配備有計算機501,計算機501包括光碟唯讀記憶體(例如,CD-ROM或DVD-ROM)驅動器505與磁盤驅動器506、鍵盤502、滑鼠503與顯示器504。
第8B圖繪示控制器500的一些實施方式的內部配置圖。如第8B圖所示,除了光盤驅動器505與磁盤驅動器506之外,計算機501還具有一個或多個處理器511,例如微處理單元(microprocessing unit;MPU)511、唯讀記憶體(ROM)512、隨機存取記憶體(RAM)513、硬碟514、匯流排(bus)515。ROM512為一個程式,可諸如被儲存的啟動(boot up)程式。RAM513連接到MPU 511,其中儲存應用程式的命令被暫時儲存以及臨時儲存區域被提供。硬碟514中的應用程式、系統程式與資料被儲存。匯流排515連接MPU 511、ROM 512等等。值得注意的是,計算機501可以包括用於提供到LAN的連接的網卡(未繪示)。
用於使控制器500執行前述的實施方式的曝光拍攝、曝光劑量與晶圓台移動的順序的功能的程式可以儲存在插入的光盤521或磁盤522中。可選地,程式可以經由網絡(未繪示)發送到計算機501並儲存在硬碟514中。或者,可以將程式發送到光盤驅動器505或磁盤驅動器506中。執行時,程式被加載到RAM 513中。程式可以從光盤521或磁盤522加載,或者直接從網絡加載。前述的程式不一定必須包括例如操作系統(operating system;OS)或第三方程序,以使計算機501執行前述的實施方式中的光罩數據生成與合併裝置的功能。程式可以僅包括命令部分,以在受控模式下調用適當的功能(模組)並獲得期望的結果。
第9圖繪示根據本揭露的一實施方式的製造半導體元件的方法600的流程圖。在步驟S605中,將光阻層250塗覆在半導體基板210上。在步驟S610中,確定光阻層250的靶材總曝光劑量(exposure dose),並且在步驟S615中,確定光阻層250的靶材焦點位置(focus position)。在一些實施方式中,靶材總曝光劑量是光阻層250的平均最佳曝光劑量。在一些實施方式中,靶材焦點位置是光阻層250的平均最佳焦點位置。在一些實施方式中,靶材總曝光劑量與靶材焦點位置先前 已確定,並儲存在記憶體中。可以針對各種晶圓尺寸、光阻組合物與光阻層厚度確定與儲存靶材總曝光劑量與靶材焦點深度。在一些實施方式中,在曝光操作之前將儲存的靶材總曝光劑量與焦點位置輸入到控制器500。
隨後,在步驟S620中,在第一焦點位置使用極紫外線遮罩205c的第一部分將光阻層250的第一部分曝光至極紫外線輻射的第一曝光劑量。在一些實施方式中,曝光是掃描曝光(scanning exposure),並且半導體基板210在掃描曝光操作期間移動,使得掃描輻射在光阻層250中曝光出期望的圖案。隨後在步驟S625中,半導體基板210相對於極紫外線遮罩205c移動。在步驟S630中,在第二焦點位置使用遮罩205c的第二部分將光阻層250的第一部分曝光至極紫外線輻射的第二曝光劑量,並且在第二焦點位置使用極紫外線遮罩205c的第一部分將光阻層250的第二部分曝光至極紫外線輻射的第二曝光劑量。在曝光操作間半導體基板210相對於遮罩205c的移動包括移動支撐半導體的晶圓台255更接近或更遠離遮罩205c,例如,在一個垂直方向,或者在橫向方向上移動(步進)晶圓台255,例如,從半導體基板210上的一個晶粒到另一個晶粒。在步進操作的期間,晶圓台255是階梯式的,使得遮罩的相同部分在隨後的曝光操作中定位在不同的晶粒上,而不是在先前的曝光操作中。在一些實施方式中,在曝光操作的期間,光阻層250的第一部分與第二部分被同時曝光。
隨後,在一些實施方式中,在步驟S640中,半導體基板210相對於遮罩205c移動,並且在第三焦點位置使用遮 罩205c的第三部分將光阻層曝光至極紫外線輻射的第三曝光劑量,在第三焦點位置使用遮罩205c的第二部分將光阻層250的第二部分曝光至極紫外線輻射的第三曝光劑量,並且在第三焦點位置使用遮罩205c的第一部分將光阻層250的第三部分曝光至極紫外線輻射的第三曝光劑量。在一些實施方式中,光阻層250的第一部分、第二部分與第三部分在曝光操作期間實質上同時曝光。
在一些實施方式中,然後在步驟S645中,相對於遮罩205c移動半導體基板210。在步驟S650中,在第四焦點位置使用遮罩205c的第四部分將光阻層250的第一部分曝光至極紫外線輻射的第四曝光劑量,在第四焦點位置使用遮罩205c的第三部分將光阻層250的第二部分曝光至極紫外線輻射的第四曝光劑量,在第四焦點位置使用遮罩205c的第二部分將光阻層250的第三部分曝光至極紫外線輻射的第四曝光劑量,以及在第四焦點位置使用遮罩205c的第一部分將光阻層250的第四部分曝光至極紫外線輻射的第四曝光劑量。在曝光操作的期間,光阻層250的第一部分、第二部分、第三部分與第四部分實質上同時曝光。
在一些實施方式中,遮罩205c的第一部分、遮罩205c的第二部分、遮罩205c的第三部分與遮罩205c的第四部分各自具有相同的電路或晶片圖案。因此,相同的圖案圖像疊加在光阻層250的第一部分中。
在一些實施方式中,第一曝光劑量、第二曝光劑量、第三曝光劑量與第四曝光劑量是不同的。在一些實施方式 中,第一曝光劑量與第三曝光劑量相同,並且第二曝光劑量與第四曝光劑量相同,並且在一些實施方式中,第一曝光劑量與第三曝光劑量不同於第二曝光劑量與第四曝光劑量。在一些實施方式中,第一曝光劑量、第二曝光劑量、第三曝光劑量與第四曝光劑量中的每一個小於靶材曝光劑量。在一些實施方式中,由光阻層的每個部分接收的所有曝光劑量的總和實質上等於靶材曝光劑量。在一些實施方式中,第一曝光劑量、第二曝光劑量、第三曝光劑量與第四曝光劑量中的每一個是靶材總曝光劑量的約四分之一。
在一些實施方式中,在連續曝光或拍攝期間,焦點位置交替於靶材焦點位置之上與靶材焦點位置之下。在一些實施方式中,第一焦點位置在靶材焦點位置上方、第二焦點位置在靶材焦點位置下方、第三焦點位置在靶材焦點位置上方,以及第四焦點位置在靶材焦點位置下方。在其他的實施方式中,第一焦點位置低於靶材焦點位置、第二焦點位置高於靶材焦點位置、第三焦點位置低於靶材焦點位置,以及第四焦點位置高於靶材焦點位置。
在一些實施方式中,方法600包括執行另外的曝光步驟(發射),使得光阻層250的每個部分被曝光相同的次數。
第10圖繪示根據本揭露的一實施方式的製造半導體元件的方法700的流程圖。在步驟S705中,將其上設置有光阻層250的半導體基板210放置在晶圓台上。在步驟S710中,確定光阻層250的每個部分的靶材總曝光劑量。在步驟 S715中,確定將光阻層250與極紫外線遮罩205c分開的靶材距離。在一些實施方式中,預先確定靶材總曝光劑量與靶材距離,並將其儲存在記憶體中。而後在曝光操作之前,將儲存的靶材總曝光劑量與距離輸入控制器500。隨後,在步驟S720中,使用極紫外線遮罩205c的第一部分將光阻層250的第一部分曝光至極紫外線輻射的第一曝光劑量,其中光阻層250與極紫外線遮罩205c被第一距離D1分開。在一些實施方式中,曝光是掃描曝光。隨後,在步驟S725中,半導體基板210相對於極紫外線遮罩205c橫向地步進(laterally step)。在步驟S730中,改變半導體基板210與極紫外線遮罩205c之間的距離,使得光阻層250與極紫外線遮罩205c被第二距離分開。
隨後,在步驟S735中,使用遮罩205c的第二部分將光阻層250的第一部分曝光至極紫外線輻射的第二曝光劑量,並且使用遮罩205c的第一部分將光阻層250的第二部分曝光至極紫外線輻射的第二曝光劑量。在曝光操作的期間,光阻層250的第一部分與第二部分實質上同時曝光。在一些實施方式中,在步驟S740中,半導體基板210相對於遮罩205c橫向步進。在步驟S745中,改變半導體基板210與遮罩205c之間的距離,使得光阻層250與遮罩205c被第三距離分開。隨後,在步驟S750中,使用遮罩205c的第三部分將光阻層250的第一部分曝光至極紫外線輻射的第三曝光劑量,使用遮罩205c的第二部分將光阻層250的第二部分曝光至極紫外線輻射的第三曝光劑量,以及使用遮罩205c的第一部分將光阻層的第三部分曝光至極紫外線輻射的第三曝光劑量。在一些實施方式中,光阻層250 的第一部分、第二部分與第三部分實質上同時曝光。在一些實施方式方式,在步驟S755中,半導體基板210相對於遮罩205c橫向地步進,並且在步驟S760中,改變半導體基板210與遮罩205c之間的距離,使得光阻層250與極紫外線遮罩205c被第四距離分開。隨後,在步驟S765中,使用遮罩205c的第四部分將光阻層250的第一部分曝光至極紫外線輻射的第四曝光劑量,使用遮罩205c的第三部分將光阻層250的第二部分曝光至極紫外線輻射的第四曝光劑量,使用遮罩205c的第二部分將光阻層250的第三部分曝光至極紫外線輻射的第四曝光劑量,以及使用遮罩205c的第一部分將光阻層250的第四部分曝光至極紫外線輻射的第四曝光劑量。在一些實施方式中,光阻層250的第一部分、第二部分、第三部分與第四部分實質上同時曝光。
在一些實施方式中,遮罩205c的第一部分、遮罩205c的第二部分、遮罩205c的第三部分與遮罩205c的第四部分各自具有相同的圖案。在一些實施方式中,第一距離大於靶材距離、第二距離小於靶材距離、第三距離大於靶材距離,並且第四距離小於靶材距離。在其他的實施方式中,第一距離小於靶材距離、第二距離大於靶材距離、第三距離小於靶材距離,並且第四距離大於靶材距離。在一些實施方式中,通過升高或降低晶圓台255來改變光阻層250與遮罩205c之間的距離。在一些實施方式中,第一距離、第二距離、第三距離與第四距離的平均距離是靶材距離。在一些實施方式中,由光阻層250的每個部分接收的所有曝光劑量的總和等於靶材曝光劑量。
第11圖繪示根據本揭露的一實施方式的製造半導體元件的方法800的流程圖。順序的方法800包括在步驟S810中,將半導體基板210放置在晶圓台255上。半導體基板210具有設置在其上的光阻層250。在步驟S820中,使用極紫外線遮罩205c的第一部分將光阻層250的第一部分曝光至極紫外線輻射的第一曝光劑量。隨後,在步驟S830中,相對於極紫外線遮罩205c移動半導體基板210,接著進行步驟S840,使用遮罩205c的第二部分將光阻層250的第一部分曝光至極紫外線輻射的第二曝光劑量,以及使用遮罩205c的第一部分將光阻層250的第二部分曝光至第二曝光劑量。在一些實施方式中,移動的步驟S830包括橫向地步進遮罩205c,使得遮罩205的相同部分於第一曝光操作中在半導體基板210上成像第一晶粒,並且隨後成像第二晶粒,此第二晶粒是於後續曝光操作中在半導體基板210上的不同晶粒,或是在一些實施方式中,通過相對於遮罩在垂直方向上移動或傾斜晶圓台255,操作或改變遮罩205c與光阻層250之間的距離。在一些實施方式中,在曝光的步驟S820期間,通過掃描曝光,實質上同時曝光光阻層250的第一部分與第二部分。
接下來,在步驟S850中,相對於極紫外線遮罩205c移動半導體基板210。在一些實施方式中,移動的步驟S850包括通過相對於遮罩在垂直方向上移動或傾斜晶圓台255,操作或改變遮罩205c與光阻層250之間的距離。在移動的步驟S850之後,在步驟S860中,使用遮罩205c的第三部分將光阻層250的第一部分曝光至極紫外線輻射的第三曝光劑 量,使用遮罩205c的第二部分將光阻層250的第二部分曝光至極紫外線輻射的第三曝光劑量,以及使用遮罩205c的第一部分將光阻層250的第三部分曝光至第三曝光劑量。在一些實施方式中,在曝光的步驟S860的期間,通過掃描曝光,實質上同時曝光光阻層250的第一部分、第二部分與第三部分。
然後,在步驟S870中,通過橫向步進或垂直移動晶圓台255,使半導體基板210相對於遮罩205c移動,接著進行步驟S880,使用遮罩205c的第四部分將光阻層250的第一部分曝光至極紫外線輻射的第四曝光劑量,使用遮罩205c的第三部分將光阻層250的第二部分曝光至極紫外線輻射的第四曝光劑量,使用遮罩205c的第二部份將光阻層250的第三部分曝光至極紫外線輻射的第四曝光劑量,以及使用遮罩205c的第一部分將光阻層250的第四部分曝光至極紫外線輻射的第四曝光劑量。在一些實施方式中,在曝光操作的期間,通過掃描曝光實質上同時曝光光阻層250的第一部分、第二部分、第三部分與第四部分。第一曝光劑量、第二曝光劑量、第三曝光劑量與第四曝光劑量各自為靶材總曝光劑量的約四分之一。
在一些實施方式中,使半導體基板210相對於遮罩205c移動包括使半導體基板210相對於遮罩205c沿橫向L步進。在一些實施方式中,相對於遮罩205c移動半導體基板210包括在垂直V方向上移動半導體基板210更接近或更遠離遮罩205c。在一些實施方式中,相對於遮罩205c移動半導體基板210包括使半導體基板210相對於遮罩205c傾斜。在一些實施方式中,遮罩205c向X軸傾斜角度θ。在一些實施方式中,遮 罩205c的第一部分、遮罩205c的第二部分、遮罩205c的第三部分與遮罩205c的第四部分各自具有相同的圖案。
第12圖繪示根據本揭露的一實施方式的製造半導體元件的方法900的流程圖。順序的方法900包括步驟S905,將Nax劃分成p項,其中Nax是在半導體基板上描繪的曝光場矩陣中的每個曝光場中的晶粒矩陣中沿X軸的晶粒的數量,以及每個p項至少為1,其中X軸平行於包圍曝光場矩陣的最小矩形的一個邊緣,稱為曝光場矩陣。在步驟S910中,Nay被劃分成q項,其中Nay是在晶粒矩陣中沿Y軸的晶粒的數量,且每個q項至少為1,其中Y軸垂直於X軸。隨後,在步驟S915中,通過按次序重複將Nbx+1乘以p項來形成序列SNx0。Nbx是最接近Rx/Fx的整數,其中Rx是沿曝光場矩陣外殼的X軸的尺寸,並且Fx是沿X軸的曝光場尺寸。在步驟S920中,通過消除SNx0的第一個與最後一個元件來形成序列SNx1,並且在步驟S925中,通過將SNx1的每個元件乘以Dx來形成序列SNx,其中Dx是沿著X軸的晶粒尺寸。接下來,在步驟S930中,通過反轉SNx的次序來形成序列SNxr。
隨後,在步驟S935中,按次序重複將Nby+1乘以q項來形成序列SNy0。Nby是最接近Ry/Fy的整數,其中Ry是沿曝光場矩陣外殼的Y軸的尺寸,並且Fy是沿Y軸的曝光場尺寸。在步驟S940中,通過消除SNy0的第一個與最後一個元件來形成序列SNy1。在步驟S945中,通過將SNy1的每個元件乘以Dy來形成序列SNy,其中Dy是沿Y軸的晶粒尺寸。接下來,在步驟S950中,通過反轉SNy的次序來形成序列SNyr。
隨後,在步驟S955中,在第三方向上執行p*(Nbx+1)-2個步進操作,並且在任何兩個相鄰的步進操作之間以及第一步進操作之前與最後步進操作之後交替地執行第一序列曝光/步進/曝光(exposure/stepping/exposure;E/S/E)操作與第二序列曝光/步進/曝光操作。每個步進操作的距離按次序遵循序列SNx。
如步驟S960所示,第一序列曝光/步進/曝光操作包括在第一方向上的q*(Nby+1)-2個步進操作,在任何兩個相鄰的步進操作之間與在第一步進操作之前與最後步進操作之後的一曝光操作,以及每個步進操作的距離依次遵循序列SNy。第一序列曝光/步進/曝光操作中的第一曝光操作在曝光場矩陣外殼的角落中的曝光場的角落中曝光Nax_1*Nay_1個晶粒。
如步驟S965所示,第二序列曝光/步進/曝光操作包括在第二方向上的q*(Nby+1)-2個步進操作以及在任何兩個相鄰的步進操作之間與在第一步進操作之前與在最後步進操作之後的一曝光操作。每個步進操作的距離依次遵循序列SNyr。
在一些實施方式中,所有曝光操作的焦點設置按次序在Fo-dF與Fo+dF之間交替,其中Fo是最佳焦點位置,Fo-dF是在最佳焦點位置Fo上面的焦點位置,以及Fo+dF是在最佳焦點位置Fo下面的焦點位置。
在一些實施方式中,在每個晶粒中累積的總曝光劑量在0.9*Eo至1.1*Eo之內,其中Eo是最佳曝光劑量。
在一些實施方式中,方法900包括跳過曝光操作,忽略曝光場矩陣外殼中的曝光場。
在一些實施方式中,方法900包括將從先前曝光操作之後到後續曝光操作之前的步進操作合併為一個步進操作,前述的步進操作直接從先前曝光位置移動到後續曝光位置。如第13圖所示,晶圓台直接從先前曝光位置移動,使得遮罩205c"定位在隨後的曝光位置上,進而跳過曝光操作,其中由於曝光場矩陣中忽略曝光場而沒有被曝光的晶粒。
在一些實施方式中,如平面圖所示,曝光場的角(exposure field corner)與曝光場矩陣外殼的角(exposure field matrix enclosure corner)兩者都是左下角,第一方向是+Y方向、第二方向是-Y方向,以及第三方向是+X方向。
在一些實施方式中,如平面圖所示,曝光場的角與曝光場矩陣外殼的角兩者都是左上角,第一方向是-Y方向、第二方向是+Y方向,以及第三方向是+X方向。
在一些實施方式中,如平面圖所示,曝光場的角與曝光場矩陣外殼的角兩者都是右下角,第一方向是+Y方向、第二方向是-Y方向,以及第三方向是-X方向。
在一些實施方式中,如平面圖所示,曝光場的角與曝光場矩陣外殼的角兩者都是右上角,第一方向是-Y方向、第二方向是+Y方向,以及第三方向是-X方向。
第14圖繪示根據本揭露的一實施方式的製造半導體元件的方法1000的流程圖。順序的方法1000包括步驟S1005,將Nax劃分成p項,其中Nax是在半導體基板上描繪的 曝光場矩陣中的每個曝光場中的晶粒矩陣中沿X軸的晶粒的數量,並且每個p項至少為1,其中X軸平行於包圍曝光場矩陣的最小矩形的一個邊緣,稱為曝光場矩陣。在步驟S1010中,Nay被劃分成q項,其中Nay是在晶粒矩陣中沿Y軸的晶粒的數量,並且每個q項至少為1,其中Y軸垂直於X軸。隨後,在步驟S1015中,通過按次序重複將Nbx+1乘以p項來形成序列SNx0。Nbx是最接近Rx/Fx的整數,其中Rx是沿曝光場矩陣外殼的X軸的尺寸,並且Fx是沿X軸的曝光場X軸的尺寸。在步驟S1020中,通過消除SNx0的第一個與最後一個元件來形成序列SNx1,並且在步驟S1025中,通過將SNx1的每個元件乘以Dx來形成序列SNx,其中Dx是沿著X軸的晶粒尺寸。接下來,在步驟S1030中,通過反轉SNx的次序來形成序列SNxr。
隨後,在步驟S1035中,按次序重複將Nby+1乘以q項來形成序列SNy0。Nby是最接近Ry/Fy的整數,其中Ry是沿曝光場矩陣外殼的Y軸的尺寸,並且Fy是沿Y軸的曝光場尺寸。在步驟S1040中,通過消除SNy0的第一個與最後一個元件來形成序列SNy1。在步驟S1045中,通過將SNy1的每個元件乘以Dy來形成序列SNy,其中Dy是沿Y軸的晶粒尺寸。接下來,在步驟S1050中,通過反轉SNy的次序來形成序列SNyr。
隨後,在步驟S1055中,在第三方向上執行q*(Nby+1)-2個步進操作,並且在任何兩個相鄰的步進操作之間以及第一步進操作之前與最後步進操作之後交替地執行第 一序列曝光/步進/曝光操作與第二序列曝光/步進/曝光操作。每個步進操作的距離按次序遵循序列SNy。
如步驟S1060所示,第一序列曝光/步進/曝光操作包括在第一方向上的p*(Nbx+1)-2個步進操作,在任何兩個相鄰的步進操作之間與在第一步進操作之前與最後步進操作之後的一曝光操作,以及每個步進操作的距離依次遵循序列SNx。第一序列曝光/步進/曝光操作中的第一曝光操作在曝光場矩陣外殼的角落中的曝光場的角落中曝光Nax_1*Nay_1個晶粒。
如步驟S1065所示,第二序列曝光/步進/曝光操作包括在第二方向上的p*(Nbx+1)-2個步進操作以及在任何兩個相鄰的步進操作之間與在第一步進操作之前與在最後步進操作之後的一曝光操作。每個步進操作的距離依次遵循序列SNxr。
第15圖至第49圖繪示根據本揭露的一實施方式的曝光光阻塗覆的半導體晶圓210的方法。如第15圖所示,左下側的晶粒首先曝光至位於靶材焦點上方的焦點位置的輻射。在此實施方式中,數字表示晶粒中累積曝光的總數;負號(-)表示在晶粒中位於靶材焦點上方的焦點位置完成的不成對曝光(unpaired exposure);正號(+)表示在晶粒中位於靶材焦點下方的焦點位置完成的不成對曝光。
隨後,遮罩205c相對於第16圖中的晶圓210向上移動,三個晶粒在靶材焦點下方的焦點位置曝光。因此,最初曝光的晶粒(左下的晶粒)已經曝光兩次,一次在靶材焦點上 方,且一次在靶材焦點下方,導致位於第一晶粒的兩次曝光。第一晶粒上方的兩個晶粒各自在靶材焦點下方的焦點位置曝光一次。
遮罩205c繪示具有六個具有相同圖案的圖案區,因此可以一次曝光多達六個晶粒。然而,本揭露不限於具有六個相同圖案的遮罩,並且在一些實施方式中,遮罩包含兩個、三個、四個、五個,或多於六個相同的圖案,使得能夠使用兩個、三個、四個、五個,或更多的晶粒在晶圓上同時成像。
如第17圖所示,遮罩205c相對於晶圓210向上移動,並且晶圓210在靶材焦點上方的焦點位置曝光。如解釋的,在一些實施方式中,通過移動晶圓台255來完成相對於晶圓210的移動遮罩205c。
如第18圖所示,遮罩205c相對於晶圓210向上移動,並且執行靶材焦點下方的曝光。最上面的兩個晶粒已經被曝光一次,並且四個下面的晶粒已經被曝光兩次。
如第19圖所示,遮罩205c相對於晶圓210向上移動,並且兩個左上側的晶粒在靶材焦點下方的焦點位置第二曝光。因此,左側第一行的晶粒中的每個晶粒已經被曝光兩次。
隨後,遮罩205c相對於第20圖中的晶圓210向右移動。如第20圖所示,在靶材焦點下方的焦點位置的四個晶粒被曝光。因此,第一行中的最上面兩個晶粒已經被曝光三次,一次在靶材焦點上方且在靶材焦點下方兩次,以及第二行中的最上面兩個晶粒在靶材焦點下方的焦點位置各自被曝光一次。
如第21圖所示,遮罩205c相對於晶圓210向下移動,並且晶圓210在靶材焦點上方的焦點位置被曝光。因此,第一行中的最上面兩個晶粒已經被曝光四次,以及第二行中的最上面兩個晶粒已經被曝光兩次。第一與第二行頂部的第三晶粒分別被曝光三次與一次。
如第22圖所示,遮罩205c相對於晶圓210向下移動,並且執行靶材焦點下方的曝光。從第一行的頂部開始的第三晶粒現已被曝光四次,第二行的頂部的第三晶粒已經被曝光兩次,第一行的底部的第二晶粒與第三晶粒已被曝光三次,以及從第二行的底部開始的第二晶粒與第三晶粒已經被曝光一次。
隨後,遮罩205c相對於第23圖中的晶圓210向下移動,第一行與第二行中的三個下面的晶粒曝光在靶材焦點上方的焦點位置。因此,來自第一行的底部的第二晶粒與第三晶粒已經被曝光四次。來自第二行的底部的第二晶粒與第三晶粒已經被曝光兩次。第一行的底部的晶粒已經被曝光三次,而第二行的底部的晶粒已經被曝光一次。
在第24圖中,遮罩205c相對於晶圓210向下移動,並且執行靶材焦點下方的曝光。在此步驟之後第一行中的所有晶粒都被曝光四次,第二行中的所有晶粒都被曝光兩次。在每次曝光約為總曝光劑量的四分之一的實施方式中,第一行中的每個晶粒現在完全地被曝光。
如第25圖所示,遮罩205c相對於晶圓210向右移動,並且執行靶材焦點上方的曝光。第二行中的底部的晶粒現已被曝光三次,第三行中的底部的晶粒已經被曝光一次。
隨後,遮罩205c相對於第26圖中的晶圓210向上移動。如第26圖所示,六個晶粒在靶材焦點下方的焦點位置曝光。因此,來自第二行的底部的第二晶粒與第三晶粒已經被曝光三次,一次在靶材焦點上方且在靶材焦點下方兩次,以及來自第三行的底部的第二晶粒與第三晶粒已經被曝光一次。第二與第三行的底部的晶粒分別被曝光四次與兩次。
如第27圖所示,遮罩205c相對於晶圓210向上移動,並且晶圓210在靶材焦點上方的焦點位置曝光。因此,來自第二行的底部的第二晶粒與第三晶粒已經被曝光四次,以及來自第二行的頂部的第三晶粒已經被曝光三次。從第三行的底部開始的第二晶粒與第三晶粒已經被曝光兩次,以及從第三行的頂部開始的第三晶粒已經被曝光一次。
如第28圖所示,遮罩205c相對於晶圓210向上移動,並且執行靶材焦點下方的曝光。第二行中的最上面的兩個晶粒第三曝光,以及來自第二行的頂部的第三晶粒現在被曝光四次。第三行中的最上面的兩個晶粒已經被曝光一次,以及來自第三行的頂部的第三晶粒已經被曝光兩次。
隨後,遮罩205c相對於第29圖中的晶圓210向上移動。如第29圖所示,第二與第三行中的最上面的兩個晶粒在靶材焦點上方的焦點位置曝光。因此,第二行中的最上面的兩個晶粒已經被曝光四次,並且第三行中的最上面的兩個晶粒已 經被曝光兩次。
如第30圖所示,遮罩205c相對於晶圓210向右移動,並且晶圓210在靶材焦點下方的焦點位置被曝光。因此,第三行中的最上面的兩個晶粒與第四行中的最上面的兩個晶粒分別被曝光三次與一次。
如第31圖所示,遮罩205c隨後相對於晶圓210向下移動,並且執行在靶材焦點上方的曝光。第三與第四列中的最上面的兩個晶粒現在分別被曝光四次與兩次。第三行的頂部的第三晶粒已經被曝光三次,第四行的頂部的第三晶粒已經被曝光一次。
如第32圖所示,遮罩205c相對於晶圓210向下移動,並且六個晶粒在靶材焦點下方的焦點位置被曝光。因此,來自第三與第四行的頂部的第三晶粒分別被曝光四次與兩次。來自第三行的底部的第二晶粒與第三晶粒已經被曝光三次。來自第四行的底部的第二晶粒與第三晶粒已經被曝光一次。
隨後,遮罩205c相對於第33圖的晶圓210向下移動。如第33圖所示,在靶材焦點上方的焦點位置曝光六個晶粒。因此,來自第三行的底部的第二晶粒與第三晶粒已經被曝光四次。來自第四行的底部的第二晶粒與第三晶粒已經被曝光兩次。第三與第四行的底部的晶粒分別被曝光三次與一次。
如第34圖所示,遮罩205c相對於晶圓210向下移動,以及晶圓210在靶材焦點下方的焦點位置被曝光。因此,第三與第四行中的底部的晶粒分別被曝光四次與兩次。
如第35圖所示,遮罩205c相對於晶圓210向右移 動,並且執行靶材焦點上方的曝光。第四與第五行的底部的晶粒分別被曝光三次與一次。
隨後,遮罩205c相對於第36圖中的晶圓210向上移動,第四與第五行中的六個下面的晶粒曝光在靶材焦點下方的焦點位置。因此,來自第四行的底部的第二晶粒與第三晶粒已經被曝光三次。來自第五行的底部的第二晶粒與第三晶粒已經被曝光一次。第四行中的底部的晶粒已經被曝光四次,並且第五行中的底部的晶粒已經被曝光兩次。
如第37圖所示,遮罩205c相對於晶圓210向上移動,並且晶圓210在靶材焦點上方的焦點位置曝光。來自第四行的底部的第二晶粒與第三晶粒現在已經被曝光四次,並且來自第五行的底部的第二晶粒與第三晶粒已經被曝光兩次。從第四行的頂部開始的第三晶粒已經被曝光三次,並且從第五行的頂部開始的第三晶粒已經被曝光一次。
如第38圖所示,遮罩205c相對於晶圓210向上移動,並且執行靶材焦點下方的曝光。第四行中的最上面的兩個晶粒現在已經被曝光三次,並且第五行中的最上面的兩個晶粒已經被曝光一次。從第四行的頂部開始的第三晶粒已經被曝光四次,並且從第五行的頂部開始的第三晶粒已經被曝光兩次。
如第39圖所示,遮罩205c相對於晶圓210向上移動,並且四個晶粒在靶材焦點上方的焦點位置曝光。第四行的最上面的兩個晶粒已經被曝光四次,並且第五行的最上面的兩個晶粒已經被曝光兩次。
如第40圖所示,遮罩205c相對於晶圓210向右移 動,並且執行靶材焦點下方的曝光。第五行中的最上面的兩個晶粒第三被曝光,並且第五行中的最上面的兩個晶粒已經被曝光一次。
隨後,遮罩205c相對於第41圖中的晶圓210向下移動,並且第五與第六行的右上角的六個晶粒在靶材焦點上方的焦點位置被曝光。因此,第四行中的最上面的兩個晶粒已經被曝光四次,並且第六行中的最上面的兩個晶粒已經被曝光兩次。從第五行的頂部開始的第三晶粒已經被曝光三次,並且從第六行的頂部開始的第三晶粒已經被曝光一次。
如第42圖所示,遮罩205c相對於晶圓210向右下移,並且晶圓210在靶材焦點下方的焦點位置被曝光。因此,來自第五行的底部的第二晶粒與第三晶粒以及來自底部的第二晶粒與第三晶粒分別被曝光三次與一次。從第五行的頂部開始的第三晶粒與從第六行的頂部開始的第三晶粒分別被曝光四次與兩次。
如第43圖所示,遮罩205c隨後相對於晶圓210向下移動,並且執行靶材焦點上方的曝光。從第五與第六行的底部開始的第二晶粒與第三晶粒現在分別被曝光四次與兩次。第五與第六行的底部晶粒分別被曝光三次與一次。
如第44圖所示,遮罩205c相對於晶圓210向下移動,並且執行靶材焦點下方的曝光。第五與第六行中的兩個底部晶粒現在分別被曝光四次與兩次。
隨後,遮罩205c相對於第45圖中的晶圓210向下移動,並且第六行的底部的晶粒在靶材焦點上方的焦點位置被 曝光。因此,第六行中的底部的晶粒被曝光三次。
如第46圖所示,遮罩205c相對於晶圓210向上移動,並且四個晶粒在位於靶材焦點的焦點位置被曝光。第六行的底部的晶粒現在被曝光四次,以及第六行的底部的第二晶粒與第三晶粒被曝光三次。
如第47圖所示,遮罩205c相對於晶圓210向上移動,並且執行在靶材焦點上方的曝光。來自第六行的底部的第二晶粒與第三晶粒已經被曝光四次,並且來自第六行的頂部的第三晶粒已經被曝光一次。
隨後,遮罩205c相對於第48圖中的晶圓210向上移動,並且執行低於靶材焦點的曝光。第六行中的最上面的兩個晶粒現在已經被曝光三次,並且來自第六行的頂部的第三晶粒已經被曝光四次。
如第49圖所示,遮罩205c相對於晶圓210向上移動,並且晶圓210在靶材焦點上方的焦點位置曝光。第六行的最上面的兩個晶粒已經被曝光四次,因此,6×6陣列中的所有晶粒已經被曝光四次。
在第15圖至第49圖的一個遮罩中的半導體晶圓210上的6×6個晶粒陣列或2×3個晶粒陣列為本揭露之一些實施方式的示例,並且本揭露的一些實施方式不限於具有36個晶粒的晶圓或具有6個相同晶粒圖案的遮罩。在一些實施方式中,曝光少於36個晶粒或多於36個晶粒。在如第15圖至第49圖所示的一實施方式中,遮罩205c在從一個晶粒步進到相鄰的晶粒的步驟中相對於晶圓210移動或步進,然後步進兩個晶 粒,再步進到相鄰的晶粒,並在一個晶粒步進與兩個晶粒步進之間交替。
在一些實施方式中,如第50圖至第53圖所示,使用遮罩205c'將光阻劑塗覆的半導體晶圓210上的3×8陣列的晶粒曝光於輻射,遮罩205c'在遮罩上具有1×4陣列的遮罩區域,對應於1×4曝光場。四個遮罩區都具有相同的圖案。
如第50圖所示,首先使用第一遮罩區曝光左下側的晶粒。
隨後,遮罩205c'相對於第51圖中的晶圓210向上移動,以及使用第一遮罩區曝光第二晶粒,並使用第二遮罩區曝光第一晶粒。
在第52圖中,遮罩205c'相對於晶圓210向上移動,並且使用第一遮罩區曝光第三晶粒、使用第二遮罩區曝光第二晶粒,並且使用第三遮罩區曝光第一晶粒。如所解釋的,在一些實施方式中,通過移動晶圓台255來完成相對於晶圓210的遮罩205c'移動。
如第53圖所示,遮罩205c'相對於晶圓210向上移動,並且使用第一遮罩區曝光第四晶粒,使用第二遮罩區曝光第三晶粒,以及使用第三遮罩區與第一遮罩區曝光第二晶粒。重複步進與曝光操作,一次將一個晶粒相對於晶圓210步進遮罩205c',直到一行中的所有晶粒曝光相同的次數。然後,遮罩205c'相對於晶圓210向右步進一個晶粒,並且以與第一行的晶粒類似的方式曝光第二行的晶粒。重複步進與曝光操作,直到所有的晶粒曝光相同的次數。
在一些實施方式中,光阻塗覆的半導體晶圓210上的晶粒陣列不是矩形,而是由以串聯方式排列的串聯矩形曝光場構成,以更有效地利用晶圓的圓形區域。在一實施方式中,如第54圖至第110圖所示,佈置多個矩形曝光區。特別地,繪示具有Nax=3與Nay=6的晶粒矩陣的實施方式的逐行2x3折疊曝光(其中p=2且q=3)。
如第54圖所示,沿曝光場的X軸的晶粒數為3,沿曝光場的Y軸的晶粒數為6。Dx是沿X軸的晶粒尺寸,Dy是沿Y軸的晶粒尺寸。Rx是沿曝光場矩陣外殼的X軸的尺寸,Fx是沿X軸的曝光場尺寸。Ry是沿曝光場矩陣外殼的Y軸的尺寸,Fy是沿Y軸的曝光場尺寸。
在一些實施方式中,如第55圖至第110圖所示,使用遮罩205c"將塗覆光阻的半導體基板210上的晶粒矩陣曝光於輻射,遮罩205c"在遮罩上具有3×6陣列的遮罩區域,對應於3×6曝光場。十八個遮罩區都具有相同的圖案。
如第55圖所示,遮罩205c”的右側行與晶粒的左側行對齊,並且遮罩205c”的頂部列與底部列的晶粒對齊。在第56圖中,遮罩205c”相對於半導體基板210逐步升高兩個晶粒寬度,並且不執行曝光,因為遮罩205c”不位於待曝光的晶圓的一部分上。接下來,遮罩205c”相對於半導體基板逐步增加三個晶粒寬度,如第57圖所示,使得遮罩205c”的邊緣與曝光區的左下角的邊緣相鄰。隨後,在第58圖中,遮罩相對於晶圓210逐漸升高一個晶粒,並且使用遮罩205c”的右側行中的上遮罩區曝光左側行中的第一晶粒於輻射。曝光(0)低於靶材 焦點位置(+)。
隨後,在第59圖中,遮罩205c”相對於晶圓210逐步升高兩個晶粒寬度。使用從遮罩205c”的頂部開始的第一遮罩區、第二遮罩區與第三遮罩區分別曝光來自左側列的底部的第三晶粒、第二晶粒與第一晶粒。曝光(1)高於靶材焦點位置(-)。
隨後,在第60圖中,遮罩205c”相對於晶圓逐步升高三個晶粒寬度,並且使用遮罩205c”的右側行中的六個遮罩區曝光曝光場的最左列中的六個晶粒。在此實施方式中,數字表示每個晶粒曝光於哪些點,其中「0」是第一點、「1」是第二點,「2」是第三點,依此類推。負號(-)表示在晶粒中位於靶材焦點上方的焦點位置完成的不成對曝光,正號(+)表示在晶粒中位於靶材焦點下方的焦點位置完成的不成對曝光。一對(-)和(+)表示晶粒在上方焦點位置具有一對曝光劑量低於靶材焦點。奇數的(-)和(+)表示總曝光劑量不成對(unpaired)。偶數的(-)和(+)表示總曝光劑量成對(paired)。
然後,在第61圖中,遮罩205c”相對於晶圓210逐步升高一個晶粒寬度,並且從左側(第一)行的頂部開始的第一至第五晶粒在使用從右側的頂部的第二至第六遮罩區的靶材焦點(-)上方的焦點位置被曝光(3)。
如第62圖所示,遮罩205c"相對於晶圓210升高兩個晶粒寬度,並且使用第四至第六遮罩區曝光第一行的頂部的第一晶粒至第三晶粒、使用第二遮罩區曝光第五晶粒,以及使用遮罩右列中的第三遮罩區曝光第四晶粒。曝光(4)的焦點 位置低於靶材焦點位置(+)。
接下來,如第63圖所示,遮罩205c"向右步進兩個晶粒寬度,並且使用遮罩的底部的三列曝光曝光場中的前三列晶粒。曝光(5)的焦點位置高於靶材焦點位置(-)。
如第64圖所示,遮罩205c”相對於晶圓210向下移動兩個晶粒寬度,並且使用遮罩的底部的五列曝光曝光場中的前五列的晶粒。曝光(6)的焦點位置低於靶材焦點位置(+)。
接下來,如第65圖所示,遮罩205c”向下步進一個晶粒寬度,並且遮罩中所有三行與六列用於曝光晶圓的左側曝光場。曝光(7)的焦點位置高於靶材焦點位置(-)。
在第66圖中,遮罩205c”相對於晶圓向下步進三個晶粒寬度,並且使用遮罩的上面的三列曝光左曝光場的底部的列。曝光(8)的焦點位置低於靶材焦點位置(+)。
接下來,在第67圖中,遮罩205c”相對於晶圓向下步進兩個晶粒寬度,並且使用遮罩的上列曝光左曝光場的底部的列。曝光(9)的焦點位置高於靶材焦點位置(-)。
隨後,在第68圖中,遮罩205c”向下步進一個晶粒寬度。不執行曝光是因為遮罩沒有被定位在要曝光的晶圓的一部分上。如第69圖與第70圖所示,遮罩205c”隨後在連續的步驟中向下步進兩個晶粒寬度。遮罩的上面的列對齊於且相鄰於晶粒陣列的底部的列。在這些步進操作之後,不執行任何的曝光。
隨後,在第71圖中,遮罩205c”向右步進一個晶粒寬度,並曝光出曝光區左下角的晶粒。曝光(a)的焦點位置 高於靶材焦點位置(-)。在第71圖至第96圖中,點編號(shot number)將由連續的字母(a-z)指定,因為前述已使用所有單個數字的號碼。
如第72圖、第73圖、第74圖、第75圖、第76圖、第77圖與第78圖所示,下方的焦點位置與上方的焦點位置曝光的交替序列,結合兩個晶粒寬度向上步進、三個晶粒寬度向上步進以及一個晶粒寬度向上步進之交替序列。
隨後,如第79圖所示,遮罩205c”向右步進兩個晶粒寬度,曝光中間曝光場的頂部的三列。曝光(i)高於靶材焦點位置(-)。隨後,如第80圖、第81圖、第82圖、第83圖、第84圖、第85圖與第86圖所示,下方的焦點位置與上方的焦點位置曝光的交替序列,結合兩個晶粒寬度向下步進、三個晶粒寬度向下步進以及一個晶粒寬度向下步進之交替序列來進行。隨後,如第87圖所示,遮罩205c”相對於半導體基板210向右步進一個晶粒寬度,並且執行曝光。曝光(q)高於靶材焦點位置(-)。
如第88圖、第89圖、第90圖、第91圖、第92圖、第93圖與第94圖所示,在上方的焦點位置與下方的焦點位置曝光的交替序列中,結合兩個晶粒寬度向上步進、三個晶粒寬度向上步進以及一個晶粒寬度向上步進之交替序列來進行。隨後,如第95圖所示,遮罩205c”向右兩個晶粒寬度步進,並且在最左邊的三行中的最上面的三個列的晶粒在靶材焦點上方的焦點位置被曝光(y)。
隨後,如第96圖、第97圖、第98圖與第99圖所示, 執行下方的焦點位置與上方的焦點位置曝光的交替序列,結合兩個晶粒寬度向下步進、三個晶粒寬度向下步進以及一個晶粒寬度向下步進之交替序列來進行。隨後,如第100圖所示,遮罩205c”相對於晶圓210向下步進一個晶粒寬度,以相鄰於最左邊三行的底部的列。不執行曝光是因為遮罩沒有被定位在要曝光的晶圓的一部分上。從第97圖中的曝光開始,希臘字母用於指定的點編號,因為英文字母都已被使用。
如第101圖與第102圖所示,遮罩205c”相對於晶圓210分別向下步進三個晶粒寬度與兩個晶粒寬度,並且在步進操作之後不執行曝光。隨後,遮罩相對於第103圖中的晶圓210向右步進一個晶粒寬度。隨後,如第104圖與第105圖所示,分別在兩個晶粒寬度與三個晶粒寬度的兩個步進操作中,遮罩205c”相對於晶圓210向上步進與向右步進,以相鄰於最右邊的曝光區的最右邊的兩個底部的列的晶粒。
接下來,如第106圖所示,遮罩205c”在向上的方向上步進一個晶粒寬度,並且在靶材位置(+)下方執行曝光(δ)。在第107圖、第108圖、第109圖與第110圖中,執行上述的焦點位置與下方的焦點位置曝光的交替序列,結合兩個晶粒寬度向上步進、三個晶粒寬度向上步進以及一個晶粒寬度向上步進之交替序列來進行。
在本實施方式中,當遮罩沿Y軸相對於晶圓移動時,步進操作在兩個晶粒寬度、三個晶粒寬度與一個晶粒寬度之間交替進行,並且當遮罩沿X軸相對於晶圓移動時,步進操作在兩個晶粒寬度與一個晶粒寬度之間交替。執行上方的焦點 位置與下方的焦點位置曝光的交替序列,結合交替的步進順序,直到所有晶粒曝光相同的次數,並且所有上方的焦點位置曝光均與下方的焦點位置曝光成對。
在一些實施方式中,每個晶粒曝光六次。在其他的實施方式中,曝光/步進/曝光操作被佈置以使得每個晶粒曝光四次或八次。在一些實施方式中,每個晶粒接收的總累積曝光劑量在靶材曝光劑量的約75%至約125%內。
本揭露的一些實施方式不限於所揭露的實施方式,並且鑑於本揭露的一些實施方式,額外的晶粒矩陣與遮罩佈置對於本領域技術人員而言是顯而易見的。再者,鑑於本揭露的一些實施方式,額外的步進序列對於本領域技術人員而言是顯而易見的。
在一些實施方式中,除了靶材焦點位置上方與靶材焦點位置下方的曝光之外,還執行靶材焦點位置的曝光。
在一些實施方式中,提供一種檢查光罩的方法。前述的方法包括檢查包括多個相同電路圖案的遮罩。檢查遮罩。如果在一個電路圖案的特定位置發現缺陷,而在遮罩上的其他相同電路圖案中的相同位置未發現缺陷,則遮罩通過檢查。如果在一個遮罩圖案中發現缺陷,則在一些實施方式中它將不會在成像的光阻中被解析。
在一些實施方式中,提供具有多個相同電路圖案的遮罩。多個相同電路圖案中的一個在特定位置具有缺陷。其他相同的電路圖案在同一位置沒有相同的缺陷。在一些實施方式中,遮罩可用於微影製程,因為在使用具有缺陷的遮罩曝光 的成像光阻中,不會在多個相同電路圖案中的僅一個上的缺陷被解析。
儘管在不同焦點位置執行多次曝光可能增加曝光晶片上的所有晶粒所花費的時間,但是整體半導體元件製造方法由於缺陷的減少和由以下的實施方式中所提供的增加的元件產量而更加有效。通過具有相同電路圖案的遮罩的不同部分對光阻層的相同部分的多次曝光防止在單個遮罩圖案上形成的缺陷或污染物顆粒使用具有缺陷或顆粒的遮罩不利地影響在光阻層中形成的圖案。另外,在靶材焦點的上方與下方的不同焦點位置執行光阻層的相同部分的多次曝光改善了圖案特徵從圖案特徵基板到圖案特徵的上表面的高度上的圖案解析度。執行本揭露的一些實施方式的方法提供了改進的圖像對數斜率(image log slope;ILS)與改進的遮罩誤差增強因子(mask error enhancement factor;MEEF)。
本揭露的一些實施方式是一種製造半導體元件的方法,包括在半導體基板上塗覆光阻層。確定光阻層的靶材總曝光劑量。確定光阻層的靶材焦點位置。在第一焦點位置使用極紫外線遮罩的第一部分將光阻層的第一部分曝光至極紫外線輻射的第一曝光劑量。半導體基板相對於極紫外線遮罩第一移動。在第二焦點位置使用遮罩的第二部分將光阻層的第一部分曝光至極紫外線輻射的第二曝光劑量的,並且在第二焦點使用極紫外線遮罩的第一部分將光阻層的第二部分曝光至第二曝光劑量。在一實施方式中,前述的方法包括相對於遮罩第二移動半導體基板,並且在第三焦點位置使用遮罩的第三部分將 光阻層的第一部分曝光至極紫外線輻射的第三曝光劑量、在第三焦點位置使用遮罩的第二部分將光阻層的第二部分曝光至極紫外線輻射的第三曝光劑量,以及在第三焦點位置使用遮罩的第一部分將光阻層的第三部分曝光至第三曝光劑量。在一實施方式中,前述的方法包括相對於遮罩第四移動半導體基板,並在第四焦點位置使用遮罩的第四部分將光阻層的第一部分曝光至極紫外線輻射的第四曝光劑量、在第四焦點位置使用遮罩的第三部分將光阻層的第二部分曝光至極紫外線輻射的第四曝光劑量、在第四焦點位置使用遮罩的第二部分將光阻層的第三部分曝光至第四曝光劑量,以及在第四焦點位置使用遮罩的第一部分將光阻層的第四部分曝光至極紫外線輻射的第四曝光劑量。在一實施方式中,遮罩的第一部分、遮罩的第二部分、遮罩的第三部分與遮罩的第四部分各自具有相同的圖案。在一實施方式中,第一曝光劑量、第二曝光劑量、第三曝光劑量和第四曝光劑量是不同的。在一實施方式中,第一曝光劑量和第三曝光劑量相同,並且第二曝光劑量和第四曝光劑量相同。在一實施方式中,第一焦點位置在靶材焦點位置上方,第二焦點位置在靶材焦點位置下方,第三焦點位置在靶材焦點位置上方,第四焦點位置在靶材焦點位置下方。在一實施方式中,第一曝光劑量、第二曝光劑量、第三曝光劑量和第四曝光劑量中的每一個小於靶材曝光劑量。在一實施方式中,前述的方法包括執行附加的曝光步驟,使得光阻層的每個部分曝光相同的次數。在一實施方式中,由光阻層的每個部分接收的所有曝光劑量的總和等於把材曝光劑量。在一實施方式中,焦點位 置在靶材焦點位置之上與靶材焦點位置之下交替。
本揭露的一些實施方式是一種製造半導體元件的方法,包括將Nax分成p個項,其中Nax是在曝光場矩陣中的每個曝光場中的晶粒矩陣中沿X軸的多個晶粒。在半導體基板上描繪並且每個p項至少為1,其中X軸平行於包圍曝光場矩陣的最小矩形的一個邊緣,稱為曝光場矩陣外殼。Nay被分為q個項,其中Nay是沿著晶粒矩陣中的Y軸的多個晶粒,並且每個q項至少為1,其中Y軸垂直於X軸。通過按次序重複將Nbx+1乘以p項來形成序列SNx0,其中Nbx是最接近Rx/Fx的整數,其中Rx是沿著曝光場矩陣外殼的X軸的尺寸,並且Fx是沿X軸的曝光場尺寸。通過消除SNx0的第一個與最後一個元件來形成序列SNx1,並且通過將SNx1的每個元件乘以Dx來形成序列SNx,其中Dx是沿著X軸的晶粒尺寸。通過反轉SNx的順序形成序列SNxr。通過按順序重複將Nbx+1乘以q項來形成序列SNy0,其中Nby是最接近Ry/Fy的整數,其中Ry是沿著曝光場矩陣外殼的Y軸的尺寸,並且Fy是曝光場尺寸。沿Y軸。通過消除SNy0的第一個和最後一個元件來形成序列SNy1。通過將SNy1的每個元件乘以Dy形成序列SNy,其中Dy是沿Y軸的晶粒尺寸。通過反轉SNy的順序形成序列SNyr。p*(Nbx+1)-2個步進操作在第三方向上執行,並且第一序列曝光/步進/曝光操作和第二序列曝光/步進/曝光操作在任何兩個相鄰的步進操作之間以及在第一步驟之前交替執行步進操作與最後一步操作之後。每個步進操作的距離按順序跟隨序列SNx。第一序列曝光/步進/曝光操作包括在第一方向上的q*(Nby+1)-2個步進 操作和在任何兩個相鄰步進操作之間以及在第一步進操作之前和在最後步進操作之後的一次曝光操作,其中每個步進操作的順序依次遵循序列SNy。第一序列曝光/步進/曝光操作中的第一曝光操作在曝光場矩陣外殼的角落中的曝光場的角落中曝光Nax_1*Nay_1個晶粒。第二序列曝光/步進/曝光操作包括在第二方向上的q*(Nby+1)-2個步進操作和在任何兩個相鄰步進操作之間以及在第一步進操作之前和在最後步進操作之後的一次曝光操作。每個步進操作的順序依次遵循序列SNyr。在一實施方式中,所有曝光操作的焦點設置按順序在Fo-dF與Fo+dF之間交替,其中Fo是最佳焦點位置,Fo-dF是在最佳焦點位置上方的焦點位置,並且Fo+dF是焦點位於最佳焦點位置的下方。在一實施方式中,在每個晶粒中累積的總曝光劑量在0.9*Eo至1.1*Eo內,其中Eo是最佳曝光劑量。在一實施方式中,前述的方法包括跳過曝光場矩陣外殼中的忽略曝光場的曝光操作。在一實施方式中,前述的方法包括將從先前曝光操作之後到後續曝光操作之前的步進操作合併為一個步進操作,前述的步進操作直接從先前的曝光位置移動到後續的曝光位置。在一實施方式中,曝光場角與曝光場矩陣外殼角都是在平面圖中看到的左下角,第一方向是+Y方向,第二方向是-Y方向,第三方向在+X方向。在一實施方式中,曝光場角和曝光場矩陣外殼角都是在平面圖中看到的左上角,第一方向是-Y方向,第二方向是+Y方向,第三方向在+X方向。在一實施方式中,曝光場角與曝光場矩陣外殼角都是在平面圖中看到的右下角,第一方向是+Y方向,第二方向是-Y方向,第三方 向在-X方向。在一實施方式中,曝光場角與曝光場矩陣外殼角都是在平面圖中看到的右上角,第一方向是-Y方向,第二方向是+Y方向,第三方向在-X方向。
本揭露的一些實施方式是一種製造半導體元件的方法,包括將Nax分成p個項,其中Nax是在要描繪的曝光場矩陣中的每個曝光場中的晶粒矩陣中沿X軸的多個晶粒。在半導體基板上,每個p項至少為1,其中X軸平行於包圍曝光場矩陣的最小矩形的一個邊緣,稱為曝光場矩陣外殼。Nay被分為q個項,其中Nay是沿著晶粒矩陣中的Y軸的多個晶粒,並且每個q項至少為1,其中Y軸垂直於X軸。通過按順序重複將Nbx+1乘以p項來形成序列SNx0,其中Nbx是最接近Rx/Fx的整數,其中Rx是沿著曝光場矩陣外殼的X軸的尺寸,並且Fx是沿X軸的曝光場尺寸。通過消除SNx0的第一個與最後一個元件來形成序列SNx1。通過將SNx1的每個元件乘以Dx來形成序列SNx,其中Dx是沿X軸的晶粒尺寸,並且通過反轉SNx的次序來形成序列SNxr。通過按順序重複將Nby+1乘以q項來形成序列SNy0,其中Nby是最接近Ry/Fy的整數,其中Ry是沿著曝光場矩陣外殼的Y軸的尺寸,並且Fy是沿Y軸的曝光場尺寸。通過消除SNy0的第一個與最後一個元件來形成序列SNy1。通過將SNy1的每個元件乘以Dy形成序列SNy,其中Dy是沿Y軸的晶粒尺寸。通過反轉SNy的次序形成序列SNyr。q*(Nby+1)-2個步進操作在第三方向上執行,並且第一序列曝光/步進/曝光操作與第二序列曝光/步進/曝光操作在任何兩個相鄰步進操作之間以及在第一步驟之前交替執行步進操作和 最後一個步進操作之後。每個步進操作的順序依次遵循序列SNy。第一序列曝光/步進/曝光操作包括在第一方向上的p*(Nbx+1)-2步進操作和在任何兩個相鄰步進操作之間以及在第一步進操作之前與在最後步進操作之後的一次曝光操作,其中每個步進操作的距離按順序跟隨序列SNx。第一序列曝光/步進/曝光操作中的第一曝光操作在曝光場矩陣外殼的角落中的曝光場的角落中曝光Nax_1*Nay_1個晶粒。第二序列曝光/步進/曝光操作包括在第二方向上的p*(Nbx+1)-2個步進操作和在任何兩個相鄰步進操作之間以及在第一步進操作之前和在最後步進操作之後的一次曝光操作。每個步進操作的距離按順序跟隨序列SNxr。在一實施方式中,所有曝光操作的焦點設置按順序在Fo-dF和Fo+dF之間交替,其中Fo是最佳焦點位置,Fo-dF是在最佳焦點位置上方的焦點位置,並且Fo+dF是焦點位於最佳焦點位置下方。在一實施方式中,在每個晶粒中累積的總曝光劑量在0.9*Eo至1.1*Eo內,其中Eo是最佳曝光劑量。在一實施方式中,前述的方法包括跳過曝光場矩陣外殼中的丟失曝光場的曝光操作。在一實施方式中,前述的方法包括將從先前曝光操作之後到後續曝光操作之前的步進操作合併為一個步進操作,該步進操作直接從先前曝光位置移動到後續曝光位置。在一實施方式中,曝光場角與曝光場矩陣外殼角都是在平面圖中看到的左下角,第一方向是+X方向,第二方向是-X方向,第三方向在+Y方向。在一實施方式中,曝光場角與曝光場矩陣外殼角都是在平面圖中看到的左上角,第一方向是+X方向,第二方向是-X方向,第三方向在-Y方向。在 一實施方式中,曝光場角和曝光場矩陣外殼角都是在平面圖中看到的右下角,第一方向是-X方向,第二方向是+X方向,第三方向是+Y方向。在一實施方式中,曝光場角和曝光場矩陣外殼角都是在平面圖中看到的右上角,第一方向是-X方向,第二方向是+X方向,第三方向在-Y方向。
本揭露的一些實施方式是一種製造半導體元件的方法,包括將半導體基板放置在晶圓台上,其中半導體基板具有設置在其上的光阻層。確定光阻層的靶材總曝光劑量。確定將光阻層與極紫外線遮罩分開的靶材距離。使用第一部分的極紫外線遮罩將光阻層的第一部份曝光於極紫外線輻射的第一曝光劑量,其中光阻層與極紫外線遮罩被第一距離分開。半導體基板相對於極紫外線遮罩第一橫向步進。改變半導體基板與極紫外線遮罩之間的距離,使得光阻層與極紫外遮罩被第二距離分開。使用遮罩的第二部分將光阻層的第一部分曝光至極紫外線輻射的第二曝光劑量,並且使用遮罩的第一部分將光阻層的第二部分曝光至第二曝光劑量。在一實施方式中,前述的方法包括相對於遮罩第二橫向步進半導體基板,改變半導體基板和遮罩之間的距離,使得光阻層與遮罩被第三距離分開,使用遮罩的第三部分將光阻層的第一部分曝光至極紫外線輻射的第三曝光劑量,使用遮罩的第二部分將光阻層的第二部分曝光至極紫外線輻射的第三曝光劑量,以及使用遮罩的第一部分將光阻層的第三部分曝光至極紫外線輻射的第三曝光劑量。在一實施方式中,前述的方法包括相對於遮罩第三橫向步進半導體基板,改變半導體基板與遮罩之間的距離,使得光阻層和極紫 外遮罩被第四距離分開,並使用遮罩的第四部分將光阻層的第一部分曝光至極紫外線輻射的第四曝光劑量,使用遮罩的第三部分將光阻層的第二部分曝光至極紫外線輻射的第四曝光劑量,進而使用遮罩的第二部分將光阻層的第三部分曝光至第四曝光劑量,以及使用遮罩的第一部分將光阻層的第四部分曝光至極紫外線輻射的第四曝光劑量。在一實施方式中,遮罩的第一部分、遮罩的第二部分、遮罩的第三部分與遮罩的第四部分各自具有相同的圖案。在一實施方式中,第一距離大於靶材距離,第二距離小於靶材距離,第三距離大於靶材距離,第四距離小於靶材距離。在一實施方式中,通過升高或降低晶圓台來改變光阻層和遮罩之間的距離。在一實施方式中,第一距離、第二距離、第三距離與第四距離的平均距離是靶材距離。在一實施方式中,由光阻層的每個部分接收的所有曝光劑量的總和等於靶材曝光劑量。
本揭露的一些實施方式是一種製造半導體元件的方法,包括以下順序。將半導體基板放置在晶圓台上,其中半導體基板具有設置在其上的光阻層並使用極紫外遮罩的第一部分將光阻層的第一部分曝光至極紫外線輻的射第一曝光劑量。然後使半導體基板相對於極紫外遮罩移動,接著使用遮罩的第二部分將光阻層的第一部分曝光至極紫外線輻射的第二曝光劑量,並使用遮罩的第一部分將光阻層的第二部分曝光至第二曝光劑量。接下來,使用遮罩的第三部分將半導體基板相對於極紫外線遮罩移動。然後,將光阻層的第一部分曝光至極紫外線輻射的第三曝光劑量,使用遮罩的第二部分將光阻層的 第二部分曝光至極紫外線輻射的第三曝光劑量,並使用遮罩的第一部分將光阻層的第三部分曝光至第三曝光劑量。然後,相對於遮罩移動半導體基板,接著使用遮罩的第四部分將光阻層的第一部分曝光至極紫外線輻射的第四曝光劑量,使用遮罩的第三部分將光阻層的第二部分曝光至極紫外線輻射的第四曝光劑量,使用遮罩的第二部分將光阻層的第三部分曝光至第四曝光劑量,並使用遮罩的第一部分將光阻層的第四部分曝光至極紫外線輻射的第四曝光劑量。第一曝光劑量、第二曝光劑量、第三曝光劑量和第四曝光劑量分別是靶材總曝光劑量的四分之一。在一實施方式中,相對於遮罩移動半導體基板包括相對於遮罩橫向移動半導體基板。在一實施方式中,相對於遮罩移動半導體基板包括使半導體基板移近或遠離遮罩。在一實施方式中,相對於遮罩移動半導體基板包括相對於遮罩傾斜半導體基板。在一實施方式中,遮罩的第一部分、遮罩的第二部分、遮罩的第三部分和遮罩的第四部分各自具有相同的圖案。
本揭露的一些實施方式是一種微影設備,包括輻射源與遮罩。遮罩配置以修改來自輻射源的輻射,使得輻射以圖案方式曝光設置在半導體基板上的光阻層。晶圓台配置以支撐半導體基板。控制器配置以確定光阻層的靶材總曝光劑量、確定光阻層的靶材焦點位置、在第一焦點位置使用遮罩的第一部分控制光阻層的第一部分曝光至輻射的第一曝光劑量、控制半導體基板相對於遮罩的移動,並在第二焦點位置使用遮罩的第二部分控制光阻層的第一部分曝光至輻射的第二曝光劑量,以及在第二焦點位置使用遮罩的第一部分控制光阻層的第 二部分曝光至第二曝光劑量。在一實施方式中,遮罩是反射性極紫外線遮罩。在一實施方式中,晶圓台配置以更靠近並遠離遮罩移動。在一實施方式中,控制器配置以控制晶圓台的移動。在一實施方式中,晶圓台配置以相對於遮罩橫向移動。在一實施方式中,控制器配置成控制晶圓台的移動。在一實施方式中,輻射源是極紫外線輻射源。在一實施方式中,控制器配置以在第三焦點位置使用遮罩的第三部分控制光阻層的第三部分曝光至輻射的第三曝光劑量,以及在第三焦點位置使用遮罩的第一部分將光阻層的第三部分曝光至第三曝光劑量。在一實施方式中,控制器配置以在第四焦點位置使用遮罩的第四部分控制光阻層的第四部分曝光至極紫外線輻射的第四曝光劑量、在第四焦點位置使用遮罩的第三部分將光阻層曝光至極紫外線輻射的第四曝光劑量、在第四焦點位置使用遮罩的第二部分將光阻層的第三部分曝光至第四曝光劑量,以及在第四焦點位置使用遮罩的第一部分將光阻層的第四部份曝光至極紫外線輻射的第四曝光劑量。在一實施方式中,遮罩的第一部分、遮罩的第二部分、遮罩的第三部分和遮罩的第四部分各自具有相同的圖案。在一實施方式中,控制器還配置以控制附加的曝光步驟,使得光阻層的每個部分被曝光相同的次數。
前文概述了若干實施方式之特徵,使得熟習此項技術者可較佳理解本揭露的一些實施方式之態樣。熟習此項技術者應瞭解,其可容易地使用本揭露的一些實施方式作為設計或修改用於實現相同目的及/或達成本揭露的一些實施方式中的相同優勢的其他製程及結構的基礎。熟習此項技術者亦應認 識到,此等等效構造不脫離本揭露的一些實施方式之精神及範疇,且其可在不脫離本揭露的一些實施方式之精神及範疇的情況下於本揭露的一些實施方式中進行各種改變、代替及替換。
100‧‧‧EUV輻射源
105‧‧‧腔室
110‧‧‧收集器
115‧‧‧靶材液滴產生器
120‧‧‧噴嘴
125‧‧‧液滴捕捉器
130‧‧‧第一緩衝氣體供應
140‧‧‧氣體出口
200‧‧‧曝光元件
300‧‧‧激發雷射源
310‧‧‧雷射產生器
320‧‧‧雷射引導光學元件
330‧‧‧聚焦設備
EUV‧‧‧極紫外線
MF‧‧‧主層
BF‧‧‧地下層
DP‧‧‧靶材液滴
DP1、DP2‧‧‧阻尼器
LR1、LR2‧‧‧激發雷射
PP1、PP2‧‧‧基座板
ZE‧‧‧EUV光輻射器

Claims (1)

  1. 一種製造半導體元件的方法,包含:將Nax劃分成p項,其中Nax是在一半導體基板上描繪的一曝光場矩陣中的每一者的一晶粒矩陣中沿一X軸的複數晶粒,且該些p項的每一者至少為1,其中該X軸平行於包圍該曝光場矩陣的一最小矩形的一邊緣,稱為該曝光場矩陣外殼;將Nay劃分成q項,其中Nay是該晶粒矩陣沿一Y軸的複數晶粒,且該些q項的每一者至少為1,其中該Y軸垂直於該X軸;通過按次序重複將Nbx+1乘以該些p項來形成一序列SNx0,其中Nbx是最接近Rx/Fx的一整數,其中Rx是沿該曝光場矩陣外殼的該X軸的尺寸,並且Fx是沿該X軸的一曝光場尺寸;通過消除SNx0的一第一元件與一最後元件形成一序列SNx1;通過將SNx1的該些元件的每一者乘以Dx來形成一序列SNx,其中Dx是沿該X軸的一晶粒尺寸;通過反轉SNx的一次序形成一序列SNxr;通過按次序重複將Nby+1乘以該些q項來形成一序列SNy0,其中Nby是最接近Ry/Fy的一整數,其中Ry是沿該曝光場矩陣外殼的該Y軸的尺寸,並且Fy是沿該Y軸的一曝光場尺寸;通過消除SNy0的一第一元件與一最後元件形成一序列SNy1; 通過將SNy1的該些元件的每一者乘以Dy來形成一序列SNy,其中Dy是沿該Y軸的一晶粒尺寸;通過反轉SNy的一次序形成一序列SNyr;以及在一第三方向上執行p*(Nbx+1)-2步進操作並在該些相鄰步進操作的任兩者之間、在一第一步進操作之前以及在一最後步進操作之後,交替執行第一序列曝光/步進/曝光操作以及第二序列曝光/步進/曝光操作,其中該些步進操作的每一者的一距離按次序遵循該序列SNx,其中該些第一序列曝光/步進/曝光操作包括在一第一方向上的q*(Nby+1)-2步進操作與在該些相鄰步進操作的任兩者之間、在一第一步進操作之前以及在一最後步進操作之後的一曝光操作,其中該些步進操作的每一者的一距離按次序遵循該序列SNy,其中該些第一序列曝光/步進/曝光操作中的該第一曝光操作在該曝光場矩陣外殼的一角落中的一曝光場的一角落中曝光Nax_1*Nay_1晶粒,以及其中該些第二序列曝光/步進/曝光操作包括在一第二方向上的q*(Nby+1)-2步進操作與在該些相鄰步進操作的任兩者之間、在一第一步進操作之前以及在一最後步進操作之後的一曝光操作,其中該些步進操作的每一者的一距離按次序遵循該序列SNyr。
TW108127267A 2018-07-31 2019-07-31 製造半導體元件的方法 TW202008082A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862712953P 2018-07-31 2018-07-31
US62/712,953 2018-07-31
US16/525,510 2019-07-29
US16/525,510 US10866525B2 (en) 2018-07-31 2019-07-29 Method of manufacturing a semiconductor device and apparatus for manufacturing the semiconductor device

Publications (1)

Publication Number Publication Date
TW202008082A true TW202008082A (zh) 2020-02-16

Family

ID=69228568

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108127267A TW202008082A (zh) 2018-07-31 2019-07-31 製造半導體元件的方法

Country Status (3)

Country Link
US (4) US10866525B2 (zh)
CN (1) CN110780545A (zh)
TW (1) TW202008082A (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10866525B2 (en) * 2018-07-31 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and apparatus for manufacturing the semiconductor device
JP7431694B2 (ja) * 2020-07-28 2024-02-15 キヤノン株式会社 情報処理装置、膜形成装置、物品の製造方法、およびプログラム
US20220011679A1 (en) * 2021-09-23 2022-01-13 Intel Corporation Measurement tool and method for lithography masks

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5699260A (en) * 1995-03-14 1997-12-16 Analog Devices, Incorporated Technique for optimizing the number of IC chips obtainable from a wafer
US5777744A (en) * 1995-05-16 1998-07-07 Canon Kabushiki Kaisha Exposure state detecting system and exposure apparatus using the same
AU2746799A (en) * 1998-03-09 1999-09-27 Nikon Corporation Scanning exposure method, scanning exposure apparatus and its manufacturing method, and device and its manufacturing method
US7534552B2 (en) * 2004-12-23 2009-05-19 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
KR100881184B1 (ko) * 2006-12-12 2009-02-05 삼성전자주식회사 마스크 패턴을 배치하는 방법 및 이를 이용한 장치
JP2011061039A (ja) * 2009-09-10 2011-03-24 Toshiba Corp 露光方法
US9075313B2 (en) 2013-03-13 2015-07-07 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple exposures in extreme ultraviolet lithography
US9261774B2 (en) 2013-11-22 2016-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography process and mask with reduced shadow effect and enhanced intensity
JP7071782B2 (ja) * 2017-12-28 2022-05-19 株式会社ディスコ ウェーハの加工方法
US10866525B2 (en) * 2018-07-31 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and apparatus for manufacturing the semiconductor device

Also Published As

Publication number Publication date
CN110780545A (zh) 2020-02-11
US11709435B2 (en) 2023-07-25
US10866525B2 (en) 2020-12-15
US11320747B2 (en) 2022-05-03
US20200041915A1 (en) 2020-02-06
US20230333486A1 (en) 2023-10-19
US20210096475A1 (en) 2021-04-01
US20220260931A1 (en) 2022-08-18

Similar Documents

Publication Publication Date Title
US11709435B2 (en) Method of manufacturing a semiconductor device and apparatus for manufacturing the semiconductor device
TWI237741B (en) Extreme ultraviolet radiation transparent structure in a vacuum chamber wall, e.g. for use in a lithographic projection apparatus
US8749756B2 (en) Lithographic apparatus and device manufacturing method
US20230359115A1 (en) Extreme ultraviolet mask and method of manufacturing the same
US11774844B2 (en) Extreme ultraviolet mask and method of manufacturing the same
JP3696201B2 (ja) リソグラフィ装置およびデバイス製造方法
JP4966342B2 (ja) 放射源、放射を生成する方法およびリソグラフィ装置
US20220350236A1 (en) Extreme ultraviolet mask and method of manufacturing the same
TW201316842A (zh) 輻射源
US11520237B2 (en) Method and apparatus for dynamic lithographic exposure
US8232537B2 (en) Radiation source, lithographic apparatus and device manufacturing method
TW201926416A (zh) 控制倍縮光罩遮蔽葉片的定位方法
TWI708992B (zh) 製造及維護光罩的方法
JP2006019510A (ja) 露光装置及びマイクロデバイスの製造方法
TWI822893B (zh) 生成佈局圖案的方法
US11880140B2 (en) Method and apparatus for dynamic lithographic exposure
US11605477B1 (en) EUV lithography apparatus
CN116954030A (zh) 匹配工具的系统及方法
CN115145124A (zh) 使用光掩模制造半导体器件的方法
JP2005197550A (ja) 照明光学装置及び露光装置