TW202001406A - 光罩之製作方法 - Google Patents

光罩之製作方法 Download PDF

Info

Publication number
TW202001406A
TW202001406A TW108121358A TW108121358A TW202001406A TW 202001406 A TW202001406 A TW 202001406A TW 108121358 A TW108121358 A TW 108121358A TW 108121358 A TW108121358 A TW 108121358A TW 202001406 A TW202001406 A TW 202001406A
Authority
TW
Taiwan
Prior art keywords
mask
reticle
pattern
layout
diffraction field
Prior art date
Application number
TW108121358A
Other languages
English (en)
Inventor
余志如
彭丹平
雷俊江
方源
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202001406A publication Critical patent/TW202001406A/zh

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70191Optical correction elements, filters or phase plates for controlling intensity, wavelength, polarisation, phase or the like
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/26Phase shift masks [PSM]; PSM blanks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • G03F1/24Reflection masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0005Production of optical devices or components in so far as characterised by the lithographic processes or materials used therefor
    • G03F7/001Phase modulating patterns, e.g. refractive index patterns
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • G03F7/70441Optical proximity correction [OPC]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions

Abstract

一種光罩之製作方法,包括針對用於執行微影製程之給定光罩佈局計算光罩體積校正矩陣的步驟。光罩體積校正矩陣表示預定厚度之光罩材料的繞射場。藉由將光罩體積校正矩陣應用於給定光罩佈局來計算模擬光罩圖案。將模擬光罩圖案提供給光罩製作工具。

Description

光罩之製作方法
本揭露是關於一種光罩之製作方法。
隨著積體電路之特徵尺寸越來越小,產生在用於製造積體電路之微影製程中使用的精確光罩變得更具挑戰。隨著特徵尺寸減小至低於用於微影術之照明輻射的繞射極限,由高階光學作用引起之失真可能在晶圓上生成之圖案中產生不需要的特徵。此外,隨著圖案密度增大,從鄰近圖案繞射之光的強度不再為可忽略的。另外,對於較小波長,諸如用於遠紫外線(EUV)微影術中之彼等波長,跨曝光狹縫之透鏡瞳孔函數之變化不可忽略。
寫在光罩上之圖案經由各種光學及化學製程預補償潛在的失真,使得失真之後將期望的圖案印在晶圓上。預補償圖案通常使用經校準微影模型經過微影製程之模擬,以驗證預補償圖案導致期望圖案印在晶圓上。經校準微影模型在微影步驟中考慮了各種光學及化學製程。隨後將經驗證之預補償圖案印在用於製造製程之光罩上。
本揭露之一實施方式提供了一種光罩之製作方法,包括針對用於執行微影製程之給定光罩佈局計算光罩體積校正矩陣的步驟。光罩體積校正矩陣表示預定厚度之光罩材料的繞射場。藉由將光罩體積校正矩陣應用於給定光罩設計來計算模擬光罩圖案。將模擬光罩圖案提供給光罩製作工具。
110‧‧‧步驟
112‧‧‧步驟
112C‧‧‧步驟
114‧‧‧步驟
116‧‧‧步驟
120‧‧‧步驟
122‧‧‧步驟
130‧‧‧步驟
150‧‧‧步驟
210‧‧‧光罩基板
220‧‧‧吸收材料
230‧‧‧周圍材料
410‧‧‧極低熱膨脹材料(ULE)基板
412M‧‧‧鉬薄膜
412S‧‧‧矽薄膜
415‧‧‧覆蓋層
420‧‧‧TaBN層
425‧‧‧TaBO層
700‧‧‧系統
710‧‧‧電腦或電腦系統
711‧‧‧顯示器
712‧‧‧處理器
713‧‧‧記憶體
714‧‧‧輸入/輸出介面
715‧‧‧網路介面
716‧‧‧儲存器
717‧‧‧儲存作業系統
718‧‧‧應用
719‧‧‧資料
720‧‧‧光罩及IC製造工具
730‧‧‧測試工具
740‧‧‧網路
S301‧‧‧步驟
S302‧‧‧步驟
S303‧‧‧步驟
S304‧‧‧步驟
S501‧‧‧步驟
S502‧‧‧步驟
S503‧‧‧步驟
S504‧‧‧步驟
S505‧‧‧步驟
當結合附圖閱讀時,根據以下詳細描述可更好地理解本揭示案。應強調,根據工業標準實務,各種特徵未按比例繪製並且僅用作說明目的。事實上,為論述清楚,可任意地增加或縮小各特徵之尺寸。
第1圖圖示根據本揭示之一些實施例的製造用於微影術之光罩的方法的流程圖。
第2圖示意性地圖示根據本揭示之一些實施例的用於計算DUV光罩之3D繞射場的參考點。
第3圖圖示根據本揭示之一些實施例的從DUV光罩之經計算3D繞射場計算經校正2D繞射場的方法的流程圖。
第4圖示意性地圖示根據本揭示之一些實施例的用於計算EUV光罩之3D繞射場的參考點。
第5圖圖示根據本揭示之一些實施例的從EUV光罩之經計算3D繞射場計算經校正2D繞射場的方法的流程圖。
第6圖圖示根據本揭示之一些實施例的計算光罩體積校正矩陣的流程圖,此矩陣用於基於3D繞射場計算模擬光罩圖案。
第7A圖及第7B圖圖示使用本揭示之方法的經校正2D繞射場與使用1D DUV光罩之精密計算模型的繞射場之間的比較,其中第7A圖描繪複數繞射場之實部,第7B圖描繪複數繞射場之虛部。
第8圖圖示使用本揭示之方法的經校正2D繞射場與使用1D EUV光罩之精密計算模型的繞射場之間的比較。
第9圖圖示根據本揭示之一些實施例的製造積體電路(integrated circuit;IC)的系統。
以下揭示內容提供許多不同實施例或實例,以便實現所提供標的之不同特徵。下文描述組件及排列之特定實例以簡化本揭示案。當然,此等實例僅為實例且不意欲為限制性。舉例而言,在隨後描述中在第二特徵上方或在第二特徵上第一特徵之形成可包括第一及第二特徵形成為直接接觸之實施例,以及亦可包括額外特徵可形成在第一及第二特徵之間,使得第一及第二特徵可不直接接觸之實施例。另外,本揭示案在各實例中可重複元件符號及/或字母。此重複為出於簡單清楚之目的,且本身不指示所論述各實施例及/或配置之間之關係。
另外,空間相對用語,諸如「之下」、「下方」、「下部」、「上方」、「上部」及類似者,在此為便於描述可用於描述諸圖中所圖示一個元件或特徵與另一(些)元件或(多個)特徵之關係。除圖形中描繪之方向外,空間相對用語意圖 是包含元件在使用或操作中之不同的方向。設備/裝置可為不同朝向(旋轉90度或在其他的方向)及可因此同樣地解釋在此使用之空間相對的描述詞。另外,術語「由...製成」可意謂「包含」或者「由...組成」。在本揭示案中,短語「A、B及C之一者」意謂著「A、B及/或C」(A、B、C,A及B,A及C,B及C,或者A、B及C),並且並不意謂來自A之一個元件、來自B之一個元件及來自C之一個元件,除非另外描述。
儘管本文揭示之方法被圖示及描述為一些列動作或事件,但應理解,此等動作或事件之所示順序不應被解釋為限制意義。例如,除了本文圖示及/或描述之彼等之外,一些動作可以與其他動作不同之順序及/或與其他動作同步地進行。另外,並非要求所有圖示動作實施本文描述之一或多個態樣或實施例。此外,本文描繪之動作的一或多個可在一或多個單獨的動作及/或階段中進行。
「模擬」、「優化」、「調整」、「產生」、「製造」、「製成」、「製作」、「形成」、「比較」、「生成」、「選擇」、「決定」、「計算」、「量測」等術語用於描述本揭示之方法、設備或系統之操作。此等術語表示例如藉由一或多個電腦執行的操作,此等電腦連接至網路或與網路脫離且具有用以接收用戶/設計者之輸入及/或指令之用戶介面及資料通信介面或網路介面以便與半導體製造工具及半導體測試(或表徵、量測或計量)工具交換資料,此半導體製造工具包括但不限於微影工具及電子束寫入器,此半導體測試工具包括但不 限於掃描電子顯微鏡。對應於此等術語之操作可根據特定實施方式而變化且由熟習本領域之普通技術人員輕易辨別。
所揭示之技術/操作/步驟之一些或全部可藉由包括儲存在電腦可讀取媒體上之電腦可執行指令的軟體來完全或部分地實施。此種軟體可包括例如適當的電子設計自動化(electronic design automation;EDA)軟體工具。此種軟體可在一或多個電腦或電腦系統上執行。為清除起見,僅描述基於軟體實施方式之某些選定態樣。忽略技術中眾所周知之其他細節。例如,應理解本揭示技術並不限於任何專用電腦語言、程式或電腦。例如,本揭示技術可使用執行程式之任何可購得電腦來實施,此程式以任何可購得或其他適當語言來寫入。本揭示方法之任一者可另外以硬體(部分或完全地)實施,此硬體諸如處理器、暫時或非暫時記憶體裝置及各種電路系統。
由於一些電子設計自動化製程之複雜性及許多電路系統設計之大尺寸,各種電子設計自動化工具經配置以在能夠同時運行多個處理線程的計算系統或多個處理器上操作。電腦網路之部件及操作可包括一主控或主電腦或一或多個遠端或從屬電腦。
由本揭示方法之任一者產生之任何資料(例如,中間或最終測試圖案、測試圖案值、參數或控制資料)可使用各種不同資料結構或格式儲存在電腦可讀取儲存媒體(例如,有形電腦可讀取媒體,諸如一或多個臨界尺寸(critical dimension;CD)、揮發性記憶體部件(諸如動態隨機存取記憶體(Dynamic Random Access Memory;DRAM)或靜態隨 機存取記憶體(Static Random Access Memory;SRAM)),或者非揮發性記憶體部件(諸如硬碟)上。此種資料可使用本端電腦或經由網路(例如,藉由伺服器電腦)產生、更新或儲存,並且可以在電腦、半導體製造工具與半導體測試工具之中交換。
在一些實施例中,光罩指在用以圖案化塗覆在半導體晶圓上之光阻劑的微影製程中使用的圖案化基板。在下列描述中,光罩(photomask)、光罩(mask)及主光罩可互換使用。儘管在一些實施例中僅描述一個光罩,但熟習本領域之普通技術人員應理解可根據本揭示之設計原理製造更多光罩以便各種層可與光罩一起產生以構造積體電路。
在一些實施例中,光罩佈局、光罩資料或電子射束圖指一種電子檔案或資料,其可由半導體製造工具或半導體測試工具讀取以允許半導體製造工具或半導體測試工具獲得電子檔案或資料中所含之資訊。此資訊包括但不限於待製造之光罩的位置及此種位置之性質(即,允許在顯影製程之後,光阻劑層之部分基於此種電子檔案或資料在與所製造光罩一起暴露之後保留還是去除)。
在一些實施例中,一種半導體製造工具或一種半導體測試工具指一種工具,包括但不限於:馬達、諸如光源或透鏡之光學部件、圖像擷取裝置、及電腦中之一者,此電腦包括:處理器、用戶介面、暫時及/或非暫時電腦可讀取儲存媒體、及儲存在非暫時電腦可讀取媒體上之軟體、程式或指令, 該等指令在執行時引起電腦之處理器生成命令以控制半導體製造工具或半導體測試工具之硬體或軟體模組的操作。
來自具有多層之3D光罩的光散射為由複雜電磁相互作用決定之非線性過程。精密電磁解算器可用數字表示輻射與給定光罩如何相互作用的物理特性。使用此種精密解算器來精確模型化光罩特徵之間的電磁場相互作用。然而,用精密公式計算此等相互作用的計算成本昂貴。如本文中使用,術語「精密模擬」、「精密解算器」或「精密計算模型」可互換地指一計算模型,此計算模型用數字計算輻射與光罩材料、抗蝕劑材料及/或曝光期間此抗蝕劑材料下方之基板的相互作用,而不使用從頭計算之簡化假設。
描述來自3D光罩之光散射的簡化線性模型可僅應用於光罩上之簡單特徵,諸如線性空間圖案(例如,光柵)或2D曼哈頓圖案。不可使用此等模型計算複雜特徵,諸如拐角倒圓圖案、邊牆角度及亞解析度輔助特徵。例如,基爾霍夫近似(或薄光罩模型忽略邊緣之間的全部場相互作用。僅使用光罩之啟閉框架繞射。此外,基爾霍夫近似僅當光罩上之特徵大於光源之波長時適用。
另一方面,域分解法(domain decomposition method;DDM)在1D光罩圖案中近似邊到邊相互作用,並且將其擴展至2D光罩圖案。然而,離軸照明,其在具有相干組之深紫外線(DUV)微影術及遠紫外線(EUV)微影術中通用,在光罩上產生陰影效應。幾何形狀陰影用於模型化此效應。域分解 法(DDM)不能正確地模型化陰影效應及邊-邊相互作用。此等效應可在模擬與量測資料之間產生實質性偏差。
根據本文描述之方法,使用光罩特徵之體積計算繞射場。非線性物理效應由預計算核心來處理,且圖案依賴效應使用圖案依賴特徵函數來處理。結果可藉由比較本方法之結果與1D光罩之精密計算模型的結果來迭代改進。
本文描述之方法靈活且可適用於具有圓角特徵、邊牆角度及包括亞解析度輔助特徵之甚至更小特徵的光罩。此外,此等方法之準確度可迭代地改進,由此提供光罩校正準確度與處理時間之間的最佳平衡。
此外,因為本方法中之計算相較於習用方法改進了光罩場,所以本方法可容易附加至傳統光罩校正製程。
第1圖圖示根據一些實施例的製造用於微影術之光罩的方法的流程圖。方法包括,在步驟110,形成測試結構(或IC)之佈局。此測試結構(或IC)之佈局以資料格式形成,例如在各種實施例中由半導體設備及材料國際組織(Semiconductor Equipment and Materials International;SEMI)建議之圖形資料系統(GDS或GDSII)或開放藝術品系統互換標準(OASIS或OAS)。在一些實施例中,使用電腦實施電子設計自動化(EDA)軟體或工具來生成佈局。為了本揭示之目的,除非另外明確表明或上下文清楚說明,否則術語「佈局」、「光罩佈局」、「IC佈局」及「測試佈局」可互換使用。
在一些實施例中,測試設計佈局或IC設計佈局包括基於IC產品之規格而為IC產品設計之一或多個電路圖案 層。在一些實施例中,佈局由設計者設計。在一個實例中,設計者為一設計室。在另一實例中,設計者為與半導體製造商分離之設計組,此半導體製造商能夠根據IC設計佈局製造IC產品。在各種實施例中,半導體製造商能夠製造光罩、IC產品或兩者。基於待製造之產品的規格,設計者實施適合之設計步驟以生成IC設計佈局。在一些實施例中,設計步驟包括邏輯設計、物理設計及/或放置及路由。舉例而言,IC設計佈局之一部分包括各種IC特徵(亦稱為主要特徵),諸如有源區域、摻雜阱、源極及汲極、閘電極、通孔/觸點及層間互連之金屬線、及用於接合墊之開口,此等IC特徵待形成於半導體基板(諸如矽晶圓)中及各種材料層(設置於此半導體基板上方)中。在一些實施例中,IC設計佈局亦包括某些輔助特徵,諸如用於成像效應、製程或產品測試及/或校準之彼等特徵,及/或光罩識別資訊。
在佈局由非半導體製造商之設計室生成的情況下,方法又包括由半導體製造商接收從設計室發出之生成佈局之電子資料的另一操作。所生成佈局之電子資料可由網際網路或可攜式資料儲存器或兩者組合傳送。半導體製造商可使用接收到之生成佈局的電子資料來執行剩餘操作。
在步驟112,在步驟110形成之佈局上使用光罩體積校正矩陣計算模擬晶圓圖案。在一些實施例中,光罩體積校正矩陣表示在考慮來自預定厚度之光罩的3D繞射效應之後對2D繞射場的校正。在一些實施例中,藉由迭代調整例如光罩材料補償核心來改進光罩體積校正矩陣之準確度。光罩材料補 償核心表示光罩材料對繞射場之效應,該效應例如由光罩材料之入射輻射場之反射、折射及繞射引起。
在步驟120,基於在步驟112生產之模擬晶圓圖案而形成測試結構之光罩。光罩由光罩製造製程製造,此製程由光罩製造工具,諸如電子束(electron-beam;e-beam)寫入器,使用光罩資料(例如,在步驟112處生成之模擬晶圓圖案)而執行。在一些實施例中,電子束或多束電子束之機構用於基於在步驟112生成之模擬晶圓圖案而在光罩上形成圖案。光罩可以各種適當技術而設計。在一個實施例中,光罩經設計以具有二元圖案。在此情況下,光罩圖案包括暗區及亮區。輻射束(例如,紫外線或電子束),用於暴露塗覆在晶圓上之光敏材料層(諸如光阻劑),由暗區阻斷並且透射穿過亮區。在一個實例中,二元光罩包括透明基板(例如,熔凝石英)、及塗覆在光罩之暗區中的不透明材料(例如,鉻)。在一些實施例中二元光罩為正性光罩,而在其他實施例中二元光罩為負性光罩。
在另一實施例中,光罩經設計以具有相移並且可稱為相移光罩,在形成於光罩上之圖案中的各種特徵經配置以具有適當相差以提高解析度及成像品質。在各種實例中,相移光罩可為衰減相移光罩或交替相移光罩。
在一些其他實施例中,光罩為具有反射圖案之遠紫外線(EUV)光罩。在一個實例中,EUV光罩包括具有適合材料之基板,諸如低熱膨脹材料(low thermal expansion;LTE)或極低熱膨脹材料(ultra-low thermal expansion;ULE)。LTE 及/或ULE材料之實例包括熔凝石英、TiO2摻雜SiO2、或其他適合材料。EUV光罩包括沉積於基板上之反射多層(multiple layers;ML)。在一些實施例中,ML包括複數個薄膜對,諸如鉬矽(molybdenum-silicon;Mo/Si)薄膜對(例如,每個薄膜對中鉬層在矽層上方或下方)。在其他實施例中,ML包括鉬鈹(molybdenum-beryllium;Mo/Be)薄膜對,或經配置以有效反射EUV光之其他適合材料。EUV光罩另外包括覆蓋層,諸如釕(Ru),該覆蓋層在一些實施例中設置於ML上用於保護作用。EUV光罩另外包括吸收層,諸如氮化硼鉭(TaBN)層,其在一些實施例中設置於ML上方。圖案化吸收層以定義積體電路(IC)層。或者,另一反射層可沉積於NL上方並且經適當圖案化以定義積體電路層,由此形成EUV相移光罩。
在步驟122處,步驟120中所形成之光罩用於使用微影技術印刷晶圓。在各種實施例中,將光敏材料層(根據正使用之微影技術之類型及/或期望特徵尺寸對DUV還是EUV敏感)設置在基板晶圓上並且暴露於光化輻射(即,DUV或EUV)束,此光化輻射束透射穿過步驟120中所形成之光罩或從此光罩反射。在一些實施例中,基板晶圓為未圖案化之晶圓,且在其他實施例中,基板晶圓具有一或多個圖案化層,其具有先前使用微影、沉積及/或蝕刻製程印刷之圖案。在步驟120中形成之光罩包括用於校準在步驟112生成之模擬晶圓圖案的測試結構之實施例中,未圖案化基板用於避免由圖案化基板晶圓之不平坦表面引起之複雜性。在一些實施例中,未圖案化基板包括在頂部上具有二氧化矽或氮化矽層之矽晶圓。並不 特別限制二氧化矽或氮化矽之厚度。在此種實施例中,(例如光阻劑材料之)光敏層設置於二氧化矽或氮化矽層上(例如藉由旋塗),暴露於穿過光罩或從光罩反射之光化輻射束,並且經顯影以在晶圓上形成圖案化光阻劑層。隨後藉由使用圖案化光阻劑層作為蝕刻光罩來蝕刻氧化矽或氮化矽層,而將圖案印刷在晶圓上。在一些實施例中隨後去除光阻劑層。然而,例如,在其中需要量測光阻劑本身的特徵之一些實施例中,不去除光阻劑層。在此種實施例中,可藉由保持二氧化矽或氮化矽層不蝕刻,而減少處理步驟。
第2圖示意性地圖示根據本揭示之一些實施例的用於計算3D繞射場的DUV光罩及參考點。在一實施例中,DUV光罩包括光罩基板210、在期望圖案中設置於光罩基板210上之吸收材料220、及設置於光罩基板210及吸收材料220之圖案上的光罩周圍材料230。周圍材料230用於保護光罩基板210及吸收材料220之圖案。當在操作中使用時,DUV光罩置於照明光路R中,其穿過DUV光罩之各種材料或由DUV光罩之各種材料吸收以形成光阻劑材料向其暴露之圖案。
第3圖圖示根據本揭示之一些實施例的從DUV光罩之經計算3D繞射場(例如,如參照第2圖描述)計算經校正2D繞射場的方法的流程圖。在實施例中,方法包括,在步驟S301處,向後傳播2D繞射場,其由輻射R及DUV光罩之各種材料之間的相互作用生成,以計算3D繞射場。擴展步驟將參考面PP(由r定義)上之2D場擴展至感興趣之3D體積(由r'定義)。例如,若將參考面上之場在頻率域中寫作:
Figure 108121358-A0101-12-0013-1
則向後傳播場由下列表示:
Figure 108121358-A0101-12-0013-2
其中k x ,k y ,k z 為特定場E之向量波數k之分量。向量波數表示為k=(k x ,k y ,k z )。假定向量波數k具有由θ表示之極角及由φ表示之方位角,則k x =|kcos(θsin(φ),k y =|kcos(θcos(φ)及k z =|ksin(θ)。向量波數k之絕對值為|k|=2πf c 0×ε r ×μ r .。此處,f為以Hz為單位之頻率,c 0 為真空光速(3x108m/s),ε r 為相對電容率及μ r 為在其內傳播波之材料的背景的相對磁導率,r為表示二維平面上之位置的向量並且在笛卡爾坐標中表示為r=(x,y,z 0 )。此處,xy為二維平面上之任意坐標(可變的)及z 0 為指定z坐標之實際標量數,z 0 由參考面PP(第2圖)決定,r'為表示三維空間中之位置的向量並且在笛卡爾坐標中表示為r'=(x,y,z)。x,y,z為3D體積上之任意坐標(可變的)。j為定義為
Figure 108121358-A0101-12-0013-13
的虛數。
在步驟S302,擴展之3D繞射場與表示3D二元光罩之特徵函數相乘。在一實施例中,特徵函數χ(r')取決於光罩圖案及各種光罩層之材料。換言之,特徵函數特定於正對其執行計算之光罩。
在步驟S303,使用體積核心變換來自步驟S302之乘積。在一實施例中,因為體積核心為圖案獨立的並且表示DUV輻射與光罩材料之間相互作用的物理參數,因此可預計算此體積核心。
在步驟S304,使用變換之3D場計算相對於光罩體積補償或校正之2D繞射場E 1(r),如下:經校正2D繞射場=光罩體積校正矩陣×2D繞射場=[3D繞射場×χ(r')]* G(r,r')
其中χ(r')表示圖案依賴特徵函數,G(r,r')表示圖案獨立之預計算核心,'*'表示卷積運算。
第4圖示意性地圖示根據本揭示之一些實施例的用於計算EUV光罩之3D繞射場的參考點。在一實施例中,EUV光罩包括極低熱膨脹材料(ULE)基板410;反射來自光罩之EUV的鉬薄膜412M及矽薄膜412S之多層堆疊;例如由諸如釕之材料形成之覆蓋層415;及包括TaBN層420及TaBO層425之二層吸收層。第4圖中圖示之EUV光罩包括具有寬度(即,CD)a及間距(相鄰線之間的間隙)P1之線圖案。輻射R用於照明將輻射R反射至晶圓上的圖案。距離TaBO層425之最高表面z=Z1nm距離處的平面Rx定義參考面。
第5圖圖示根據本揭示之一些實施例的從EUV光罩之經計算3D繞射場(例如,如參照第4圖描述)計算經校正2D繞射場的方法的流程圖。在一實施例中,方法包括,在步驟S501,使用例如等式(2)計算所擴展場E ~0(r')。
在步驟S502,擴展之3D繞射場與表示3D二元光罩之特徵函數χ(r')相乘。在一實施例中,特徵函數χ(r')特定於正為其執行計算之光罩。
在步驟S503,使用體積核心變換擴展之3D繞射場與特徵函數之乘積。在一實施例中,體積核心取決於光罩堆 疊(即,反射多層之數目及材料、吸收材料及覆蓋層之材料)。因此,若EUV光罩之材料不同,則體積核心變化。由於體積核心獨立於光罩圖案,則可以預計算體積核心。
在步驟S504,將入射場(或其因數)添加至此變換的擴展3D繞射場與特徵函數的乘積。由於EUV光罩為反射光罩,則當反射場穿過光罩層(及亦至少直到距離光罩之最高表面某一距離處)時與入射場相互作用。
在步驟S505,使用所變換之3D場計算相較於光罩體積校正之2D繞射場E 1(r),如下:經校正2D繞射場=光罩體積校正矩陣×2D繞射場=[3D繞射場×χ(r')]* G(r,r')+E入射(r)
其中χ(r')表示圖案依賴特徵函數,G(r,r')表示圖案獨立之預計算核心,E入射(r)表示入射光場,此E入射(r)為照明輻射之部分,及'*'表示卷積運算。
第6圖圖示根據本揭示之一些實施例的計算光罩體積校正矩陣的流程圖,此矩陣用於基於3D繞射場計算模擬光罩圖案。在一實施例中,方法包括在步驟110生成光罩佈局。
在步驟114,開始計算光罩材料補償核心,其表示光罩材料與輻射之相互作用。在一些實施例中,使用1D光罩之經校正2D繞射場來計算初始光罩材料補償核心。
在步驟112C,使用光罩材料補償核心由步驟110所生成之光罩佈局計算模擬光罩圖案。
單獨地,在步驟220處,使用數字表示光罩物理參數之精密計算模型,來計算1D光罩之理想模擬圖案。在步 驟116,比較在步驟220獲得之理想模擬圖案與在步驟112C獲得之模擬光罩圖案。隨後迭代調整光罩材料補償核心直至步驟220獲得之理性模擬圖案與在步驟112C獲得之模擬光罩圖案之間的差異落在期望準確度內(或迭代次數已超過預定極限)。例如,在步驟130,比較在步驟220獲得之理想模擬圖案與在步驟112C獲得之模擬光罩圖案之間的差異與準確度閾值(或計算迭代次數)。若差異小於準確度閾值(或若迭代次數已超過預定極限),則在步驟150,在彼迭代處獲得之光罩材料補償核心用於計算光罩體積校正矩陣,隨後該光罩體積校正矩陣用於計算此校正(或體積補償)2D繞射場。若差異大於準確度閾值(或若迭代次數小於預定極限),則在步驟114調整光罩材料補償核心,及在步驟112C重新計算模擬光罩圖案。
第7A圖及第7B圖圖示使用本揭示之方法的經校正2D繞射場與使用1D DUV光罩之精密計算模型的繞射場之間的比較。第7A圖描繪複數繞射場之實部,及第7B圖描繪複數繞射場之虛部。
第8圖圖示使用本揭示之方法的經校正2D繞射場、使用精密計算模型的繞射場與用於1D EUV光罩之相關技術的方法之間的比較。第8圖描繪用於精密模擬(RCWA)之各種繞射級之繞射場、薄光罩近似(根據相關技術之2D場)及作為核心之薄光罩+格林函數(根據本揭示之體積補償2D場)。如第8圖中可見,對於第一繞射級,本揭示之方法與精 密模擬有利地匹配。然而,因為精密模擬之計算成本昂貴,所以本揭示之方法提供顯著減少計算費用之類似準確度。
第9圖圖示根據一些實施例的製造IC的系統。系統700包括電腦或電腦系統710、光罩及IC製造工具720、測試工具730及將電腦或電腦系統710、光罩及IC製造工具720及測試工具730彼此連接以允許資料在其間互換之無線或有線網路740。
電腦或電腦系統710包括顯示器711、處理器712、記憶體713、輸入/輸出介面714、網路介面715及儲存作業系統717、諸如EDA之程式或應用718、及資料719之儲存器716。
應用718可包括指令,當由電腦或電腦系統710(或其處理器712)執行指令時,使電腦或電腦系統710(或其處理器712)執行本揭示中明確描述或暗示之操作、方法及/或製程。
資料719可包括任何預設資料,包括用於模型化之預設參數;例如經由透過輸入/輸出介面之用戶輸入或透過從光罩及IC製造工具720及/或測試工具730傳輸之網路介面715接收之任何資料;待顯示在顯示器711上之任何資料;透過網路740傳輸至光罩及IC製造工具720及/或測試工具730或從其傳輸之任何資料;或在由電腦或電腦系統710計算期間生成之任何臨時資料。
光罩及IC製造工具720包括但不限於電子束寫入器或電子束微影工具、微影工具等,及測試工具730包括但不限於諸如掃描電子顯微鏡之表面輪廓量測工具。
應當理解,在本文中不一定論述所有優點,無特定優點對於所有實施例或實例為必需,並且其他實施例或實例可以提供不同的優點。
根據本揭示之態樣,一種光罩之製作方法,包括針對用於執行微影製程之給定光罩佈局計算光罩體積校正矩陣的步驟。光罩體積校正矩陣表示預定厚度之光罩材料的繞射場。藉由將光罩體積校正矩陣應用於給定光罩設計來計算模擬光罩圖案。將模擬光罩圖案提供給光罩製作工具。在一實施例中,藉由計算圖案獨立之預計算核心及圖案依賴特徵函數而計算光罩體積校正矩陣。在一實施例中,給定光罩佈局對應於用於深紫外線(DUV)微影製程的光罩。在一實施例中,給定光罩佈局對應於用於遠紫外線(EUV)微影製程的光罩。在一實施例中,藉由假定二維光罩條件計算給定光罩佈局的2D繞射場,來計算光罩體積校正矩陣。將2D繞射場擴展至光罩材料之預定厚度以獲得三維繞射場,此三維繞射場表示提供在光罩材料中之給定光罩佈局的3D繞射場。在光罩表面作為3D繞射場之函數重新計算校正2D繞射場。在一實施例中,2D繞射場由
Figure 108121358-A0101-12-0018-14
表示,及3D繞射場由
Figure 108121358-A0101-12-0018-15
表示。在一實施例中,藉由基於模擬光罩圖案與使用測試光罩佈局之精密計算模型而計算的 理想模擬圖案之間的差異而迭代調整光罩材料補償核心,來計算圖案獨立之預計算核心。
在一實施例中,測試光罩佈局包括線圖案。在一實施例中,經校正2D繞射由下列表示:經校正2D繞射場=光罩體積校正矩陣×2D繞射場=[3D繞射場×χ(r')]*G(r,r'),其中χ(r')表示圖案依賴特徵函數,G(r,r')表示圖案獨立之預計算核心,'*'表示卷積運算。在一實施例中,經校正2D繞射由下列表示:經校正2D繞射場=光罩體積校正矩陣×2D繞射場=[3D繞射場×χ(r')]*G(r,r')+E入射(r),其中χ(r')表示圖案依賴特徵函數,G(r,r')表示圖案依賴之預計算核心,E入射(r)表示入射光場,此E入射(r)表示照明輻射之一部分,及'*'表示卷積運算。
本揭示之另一實施例為光罩之製作方法,包括基於光罩期望佈局計算特徵函數之步驟。基於電場與預定厚度之光罩材料的交互作用而計算體積核心。藉由使用體積核心變換期望佈局之2D繞射場與特徵函數的乘積,而計算光罩之期望佈局的體積補償2D繞射場。使用體積補償2D繞射場來計算模擬光罩圖案。將模擬光罩圖案提供給光罩製作工具。在一實施例中,期望佈局對應於用於DUV微影製程的光罩。在一實施例中,將入射場之因數添加至經變換乘積以獲得體積補償2D繞射場,此乘積為期望佈局之2D繞射場與使用體積核心之特徵函數的乘積。在一實施例中,期望佈局對應於用於EUV微影製程之光罩。在一實施例中,藉由基於精密模擬電場與測試光罩之相互作用計算測試光罩之3D繞射場、藉由使用3D繞射場 計算測試光罩之精密模擬光罩圖案、及藉由基於測試光罩之模擬光罩圖案與測試光罩之精密模擬光罩圖案之間的比較迭代調整體積核心,而計算體積核心。在一實施例中,測試光罩包括線圖案佈局。在一實施例中,若測試光罩之模擬光罩圖案與測試光罩之精密模擬光罩圖案之間的差異大於準確度閾值或者若迭代次數尚未超過預定數目,則藉由調整體積核心來迭代調整體積核心。
本揭示之又一實施例為微影光罩之製作工具,包括處理器及可操作地連接至處理器之記憶體,並且在其上具有電腦可執行指令。指令當由處理器執行時使處理器接收給定光罩佈局。針對用於執行微影製程之給定光罩佈局計算光罩體積校正矩陣,此光罩體積校正矩陣表示預定厚度之光罩材料的繞射場。藉由將光罩體積校正矩陣應用於給定光罩佈局來計算模擬光罩圖案。將模擬光罩圖案提供給光罩印刷機。在一實施例中,給定光罩佈局對應於用於DUV微影製程或EUV微影製程的光罩。在一實施例中,經校正2D繞射由下列表示:經校正2D繞射場=光罩體積校正矩陣×2D繞射場=[3D繞射場×χ(r')]* G(r,r'),其中χ(r')表示圖案依賴特徵函數,G(r,r')表示圖案獨立之預計算核心,及'*'表示卷積運算。
上文概述若干實施例之特徵或實例,使得熟習此項技術者可更好地理解本揭示案之態樣。熟習此項技術者應瞭解,可輕易使用本揭示案作為設計或修改其他製程及結構的基礎,以便實施本文所介紹之實施例或實例的相同目的及/或實現相同優勢。熟習此項技術者亦應認識到,此類等效結構並未 脫離本揭示案之精神及範疇,且可在不脫離本揭示案之精神及範疇的情況下產生本文的各種變化、替代及更改。
110‧‧‧步驟
112C‧‧‧步驟
114‧‧‧步驟
116‧‧‧步驟
130‧‧‧步驟
150‧‧‧步驟
220‧‧‧步驟

Claims (1)

  1. 一種光罩之製作方法,該方法包含以下步驟:針對用於執行一微影製程之一給定光罩佈局計算一光罩體積校正矩陣,該光罩體積校正矩陣表示一預定厚度之光罩材料的一繞射場;藉由將該光罩體積校正矩陣應用於該給定光罩佈局來計算一模擬光罩圖案;以及將該模擬光罩圖案提供給一光罩製作工具。
TW108121358A 2018-06-29 2019-06-19 光罩之製作方法 TW202001406A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862692340P 2018-06-29 2018-06-29
US62/692,340 2018-06-29
US16/396,529 US10838305B2 (en) 2018-06-29 2019-04-26 Lithographic mask correction using volume correction techniques
US16/396,529 2019-04-26

Publications (1)

Publication Number Publication Date
TW202001406A true TW202001406A (zh) 2020-01-01

Family

ID=69008105

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108121358A TW202001406A (zh) 2018-06-29 2019-06-19 光罩之製作方法

Country Status (3)

Country Link
US (2) US10838305B2 (zh)
CN (1) CN110658675A (zh)
TW (1) TW202001406A (zh)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11275301B2 (en) * 2018-08-31 2022-03-15 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet mask and method of manufacturing the same
WO2023056012A1 (en) * 2021-10-01 2023-04-06 Synopsys, Inc. Mask fabrication effects in three-dimensional mask simulations using feature images
TW202401145A (zh) * 2022-03-17 2024-01-01 美商應用材料股份有限公司 用於傾斜光柵的阻劑建模方法
WO2024039574A1 (en) * 2022-08-16 2024-02-22 Kla Corporation Lithography mask repair by simulation of photoresist thickness evolution

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7266480B2 (en) * 2002-10-01 2007-09-04 The Regents Of The University Of California Rapid scattering simulation of objects in imaging using edge domain decomposition
US20040225488A1 (en) 2003-05-05 2004-11-11 Wen-Chuan Wang System and method for examining mask pattern fidelity
JP4538021B2 (ja) * 2007-05-31 2010-09-08 株式会社東芝 光近接効果の補正方法
US8234601B2 (en) 2010-05-14 2012-07-31 International Business Machines Corporation Test pattern for contour calibration in OPC model build
US9367655B2 (en) 2012-04-10 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Topography-aware lithography pattern check
US8631360B2 (en) 2012-04-17 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Methodology of optical proximity correction optimization
US8745550B2 (en) 2012-07-09 2014-06-03 Taiwan Semiconductor Manufacturing Company, Ltd. Fracture aware OPC
US8739080B1 (en) 2012-10-04 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. Mask error enhancement factor (MEEF) aware mask rule check (MRC)
US9484186B2 (en) 2012-10-23 2016-11-01 Synopsys, Inc. Modeling and correcting short-range and long-range effects in E-beam lithography
US8975195B2 (en) 2013-02-01 2015-03-10 GlobalFoundries, Inc. Methods for optical proximity correction in the design and fabrication of integrated circuits
US8972908B2 (en) 2013-07-30 2015-03-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method for electron beam proximity correction with improved critical dimension accuracy
CN104570586B (zh) 2013-10-23 2019-03-29 中芯国际集成电路制造(北京)有限公司 光学邻近修正模型的获取方法
CN107003625A (zh) * 2014-12-17 2017-08-01 Asml荷兰有限公司 使用图案形成装置形貌引入的相位的方法和设备
US9679100B2 (en) 2015-08-21 2017-06-13 Taiwan Semiconductor Manufacturing Company, Ltd. Environmental-surrounding-aware OPC

Also Published As

Publication number Publication date
US20200004161A1 (en) 2020-01-02
US10838305B2 (en) 2020-11-17
CN110658675A (zh) 2020-01-07
US11531273B2 (en) 2022-12-20
US20210072648A1 (en) 2021-03-11

Similar Documents

Publication Publication Date Title
US10962875B2 (en) Method of mask simulation model for OPC and mask making
TWI503650B (zh) 用於修正光罩的資料組的系統及方法
TWI519901B (zh) 用於三維抗蝕分佈模擬之微影模型
TW202001406A (zh) 光罩之製作方法
US11080458B2 (en) Lithography simulation method
TWI823028B (zh) 用於基於機器學習的影像產生以用於模型為基礎對準之電腦可讀媒體
TWI600977B (zh) 用於三維特徵之微影模型
TWI778305B (zh) 基於可製造性判定圖案化器件圖案之方法
TWI823616B (zh) 執行用於訓練機器學習模型以產生特性圖案之方法的非暫時性電腦可讀媒體
TWI464530B (zh) 基板構形感知微影模型化
TWI495961B (zh) 用於三維拓樸晶圓之微影模型
US10867112B2 (en) Methods of making mask using transmission cross coefficient (TCC) matrix of lithography process optical system
TW202032255A (zh) 用於在嵌塊邊界處產生圖案化器件圖案之方法
CN109313391B (zh) 基于位移的重叠或对准
TWI732209B (zh) 用於判定與運算微影光罩模型相關聯之電磁場的方法
TW202340847A (zh) 用於判定基於蝕刻偏差方向之蝕刻功效之系統及方法