TW201921125A - 缺陷預測 - Google Patents

缺陷預測 Download PDF

Info

Publication number
TW201921125A
TW201921125A TW107123778A TW107123778A TW201921125A TW 201921125 A TW201921125 A TW 201921125A TW 107123778 A TW107123778 A TW 107123778A TW 107123778 A TW107123778 A TW 107123778A TW 201921125 A TW201921125 A TW 201921125A
Authority
TW
Taiwan
Prior art keywords
distribution
characteristic
attribute
pattern
substrate
Prior art date
Application number
TW107123778A
Other languages
English (en)
Other versions
TWI725325B (zh
Inventor
玲莉 鍾
馮泰納 布魯諾 拉
馬克 朱立安 基亞
亞斯理 尤迪斯提拉
麥辛姆 飛利浦 費德里科 杰尼
Original Assignee
荷蘭商Asml荷蘭公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 荷蘭商Asml荷蘭公司 filed Critical 荷蘭商Asml荷蘭公司
Publication of TW201921125A publication Critical patent/TW201921125A/zh
Application granted granted Critical
Publication of TWI725325B publication Critical patent/TWI725325B/zh

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/7065Defects, e.g. optical inspection of patterned layer for defects
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/392Floor-planning or layout, e.g. partitioning or placement

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Theoretical Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Geometry (AREA)
  • Evolutionary Computation (AREA)
  • Architecture (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Signal Processing For Digital Recording And Reproducing (AREA)
  • Ticket-Dispensing Machines (AREA)
  • Heat Treatment Of Steel (AREA)

Abstract

本發明係關於一種方法,其包括:獲得藉由一器件製造程序產生之一基板上之複數個圖案之一特性的檢驗值;使用一非概率模型來獲得該特性之運算值;基於該等檢驗值及該等運算值而獲得該非概率模型之一殘差之值;及基於該殘差之該等值而獲得該殘差之一分佈之一屬性。本文亦揭示運算獲得藉由該器件製造程序產生之一基板上之缺陷之一概率的方法,及獲得一非概率模型之殘差之一分佈之一屬性的方法。

Description

缺陷預測
本文中之描述係關於一種器件製造程序,諸如微影程序,且更特定言之,係關於一種以統計方式預測藉由該器件製造程序產生之基板上之缺陷的方法。
微影裝置可用於(例如)積體電路(IC)或其他器件之製造中。在此狀況下,圖案化器件(例如,光罩)可含有或提供對應於器件之個別層的圖案(「設計佈局」),且此圖案可藉由諸如經由圖案化器件上之圖案輻照目標部分的方法轉印至已塗佈有輻射敏感材料層之基板(例如,矽晶圓)上之目標部分(例如,包含一或多個晶粒)上。一般而言,單一基板含有複數個鄰近目標部分,圖案係由微影裝置順次地轉印至該複數個鄰近目標部分,一次一個目標部分。在一種類型之微影裝置中,將整個圖案化器件上之圖案一次性轉印至一個目標部分上;此裝置通常被稱作晶圓步進器(wafer stepper)。在通常被稱作步進掃描裝置之替代裝置中,投影光束在給定參考方向(「掃描」方向)上遍及圖案化器件進行掃描,同時平行或反平行於此參考方向而同步地移動基板。圖案化器件上之圖案之不同部分漸進地轉印至一個目標部分。
在將圖案自圖案化器件轉印至器件製造程序之基板的器件製作工序之前,基板可經歷器件製造程序之各種器件製作工序,諸如,上底漆、抗蝕劑塗佈及軟烘烤。在曝光之後,基板可經受器件製造程序之其他器件製作工序,諸如,曝光後烘烤(PEB)、顯影,及硬烘烤。此器件製作工序陣列係用作製造器件(例如IC)之個別層之基礎。基板可接著經歷器件製造程序之各種器件製作工序,諸如,蝕刻、離子植入(摻雜)、金屬化、氧化、化學-機械拋光等等,該等工序皆意欲完成器件之個別層。若在器件中需要若干層,則針對每一層來重複整個程序或其變體。最終,在基板上之每一目標部分中將存在裝置。若存在複數個器件,則接著藉由諸如切塊或鋸切之技術將此等器件彼此分離,據此,可將個別器件安裝於載體上、連接至銷釘等等。
本文中揭示一種方法,其包含:使用一非概率模型來獲得藉由一器件製造程序產生之一基板上之一圖案之一特性的一值;獲得該非概率模型之一殘差之一分佈之一屬性;基於該殘差之該分佈之該屬性且基於該圖案之該特性的該值而判定該特性之一分佈之一屬性;及基於該特性之該分佈之該屬性而判定該圖案為一缺陷之一概率。
根據一實施例,該殘差之該分佈之該屬性係該殘差之一概率密度函數(PDF)。
根據一實施例,該殘差之該分佈之該屬性係該殘差之一累積分佈函數(CDF)。
根據一實施例,該殘差之該分佈之該屬性表示該殘差之該分佈之一散佈。
根據一實施例,該殘差之該分佈之該屬性係該殘差之該分佈之一方差或標準偏差。
根據一實施例,該特性係選自由以下各者組成之一群:相對於該基板之一位置、相對於該基板上之其他圖案的一位置、一幾何大小、一幾何形狀、一隨機效應之一量度,及選自前述各者之任何組合。
根據一實施例,判定該特性之該分佈之該屬性包含:相加該殘差之該分佈之該屬性及該特性之該值。
根據一實施例,該特性之該分佈之該屬性係該特性之一PDF。
根據一實施例,判定該概率包含在該特性之一範圍內積分該特性之該PDF。
根據一實施例,該方法進一步包含使該特性之該分佈之該屬性正規化。
根據一實施例,判定該特性之該分佈之該屬性係進一步基於該特性之一範圍,在該範圍內,該圖案被視為一缺陷。
本文中揭示一種方法,其包含:獲得藉由一器件製造程序產生之一基板上之複數個圖案之一特性的檢驗值;使用一非概率模型來獲得該特性之運算值;基於該等檢驗值及該等運算值而獲得該非概率模型之一殘差之值;及基於該殘差之該等值而獲得該殘差之一分佈之一屬性。
根據一實施例,該特性係選自由以下各者組成之一群:相對於該基板之一位置、相對於該基板上之其他圖案的一位置、一幾何大小、一幾何形狀、一隨機效應之一量度,及選自前述各者之任何組合。
根據一實施例,獲得該等檢驗值包含使用一度量衡工具來量測該等圖案或使用一劇烈(vigorous)模型進行模擬。
根據一實施例,該度量衡工具經組態以使用一帶電粒子束來量測該等圖案。
根據一實施例,獲得該殘差之該等值包含獲得該等運算值與該等檢驗值之間的差。
根據一實施例,該殘差之該分佈之該屬性係該殘差之該分佈之一PDF。
根據一實施例,該方法進一步包含基於形狀、大小、功能或空間鄰近度而獲得該複數個圖案。
本文中揭示一種方法,其包含:獲得藉由一器件製造程序產生之一基板上之一組圖案分別係缺陷的概率;基於該等概率而判定應檢測之圖案的一有序清單;及遵循該有序清單之一次序而檢測該有序清單中之圖案。
根據一實施例,該方法進一步包含獲得該組圖案之部位。
根據一實施例,判定該有序清單係進一步基於該等部位。
根據一實施例,該有序清單包含該組圖案當中之圖案之一子集,其中相比於在該組中但不在該子集中之圖案,該子集中之圖案係缺陷之概率較高。
根據一實施例,判定該有序清單係進一步基於一檢測處理量、允許檢測之一時間量,或允許該基板在檢測期間接收之一輻射量。
根據一實施例,該次序係一下降概率次序。
根據一實施例,判定該有序清單包含運算作為該次序之一函數的一成本函數。
根據一實施例,該成本函數表示該等概率、檢測該組圖案之一時間量,或該組圖案之間的距離。
根據一實施例,該方法進一步包含基於自檢測該有序清單中之該等圖案獲得的資料而更新該等概率。
本文中揭示一種電腦程式產品,其包含上面記錄有指令之一非暫時性電腦可讀媒體,該等指令在由一電腦系統執行時實施本文中之該等方法中之任一者或其部分。
儘管在本文中可特定參考積體電路之製造,但應明確地理解,本文中之描述具有許多其他可能的應用。舉例而言,其可用於製造整合式光學系統、用於磁疇記憶體之導引及偵測圖案、液晶顯示面板、薄膜磁頭等等。熟習此項技術者應瞭解,在此類替代性應用之內容背景中,在本文中對術語「倍縮光罩」、「晶圓」或「晶粒」之任何使用應視為可分別與更一般之術語「圖案化器件」、「基板」及「目標部分」互換。
在本文件中,使用術語「輻射」及「光束」來涵蓋所有類型之輻射,包括紫外線輻射(例如,具有365、248、193、157或126奈米之波長)及EUV (例如具有在5至20奈米範圍內之波長的極紫外線輻射)。
作為簡要介紹,圖1高度示意性地說明微影裝置10A。主要組件包括照明光學件,其定義部分相干性(被標示為均方偏差),且可包括:塑形來自輻射源12A之輻射的組件14A、16Aa及16Ab,該輻射源12A可係深紫外線準分子雷射源或包括極紫外線(EUV)源的其他類型之源(如本文中所論述,微影裝置自身無需具有輻射源);及組件16Ac,其將圖案化器件18A之圖案化器件圖案之影像投影至基板平面22A上。投影光學件之光瞳平面處之可調整濾光器或孔徑20A可限定照射於基板平面22A上之光束角度之範圍,其中最大可能角度Θmax 定義投影光學件之數值孔徑NA=sin(Θmax )。
在光學微影裝置中,光學件經由圖案化器件而導向來自源之照明且將該照明導向至基板上且塑形該照明。光學件可產生空中影像。空中影像(AI)係在基板位階處之輻射強度分佈。曝光基板上之抗蝕劑層,且將空中影像轉印至抗蝕劑層以在其中作為潛伏「抗蝕劑影像」(RI)。可將抗蝕劑影像(RI)定義為抗蝕劑層中之抗蝕劑之溶解度的空間分佈。可使用抗蝕劑模型以根據空中影像計算抗蝕劑影像,其實例可在美國專利第8,200,468號中找到,該美國專利之揭示內容特此以全文引用之方式併入。抗蝕劑模型僅與抗蝕劑層之性質(例如,在曝光、曝光後烘烤(PEB)及顯影期間發生的化學程序之效應)有關。微影裝置之光學性質(例如,源、圖案化器件及投影光學件之性質)規定空中影像且可定義於光學模型中。由於可改變微影裝置中所使用之圖案化器件,所以需要將圖案化器件之光學性質與至少包括源及投影光學件之微影裝置的其餘部分之光學性質分離。
藉由器件製造程序產生之基板上之圖案可能並非全都完美。若圖案中之一些超出其各別設計規範,則其被視為缺陷。缺陷可能由許多因素造成。因素可包括在器件製造程序中使用之微影裝置或其他硬件的系統瑕疵。若可量測此等因素,則可以相對較高的確定度預測由此等因素單獨造成之缺陷,此係因為此等因素與圖案之間的關係係明確的。因素可包括在器件製造程序中使用之微影裝置或其他硬件的隨機變化。由於此等因素之隨機性,明確預測由此等因素中之至少一些造成的缺陷可能極為困難。儘管隨機變化為隨機的,但其統計數據可能並非如此。因此,有可能以統計方式預測缺陷,換言之,有可能預測缺陷之概率。
圖2示意性地描繪預測器件製造程序中之缺陷的方法。缺陷之實例可包括頸縮、線拉回、線薄化、不正確CD、重疊、橋接及其他缺陷。缺陷可在抗蝕劑影像、光學影像或蝕刻影像(亦即,藉由蝕刻在基板上使用抗蝕劑作為光罩而轉印至基板層的圖案)中。在213處,基於器件製造程序之一或多個程序參數211及/或一或多個佈局參數212,使用模型來運算圖案之特性214 (例如,存在、部位、類型、形狀等等)。程序參數211係與器件製造程序相關聯但不與佈局相關聯之參數。舉例而言,程序參數211可包括照明之特性(例如,強度、光瞳剖面等等)、投影光學件之特性、劑量、聚焦、抗蝕劑之特性、抗蝕劑之顯影之特性、抗蝕劑之曝光後烘烤之特性,及/或蝕刻之特性。佈局參數212可包括佈局上之各種特徵之形狀、大小、相對部位及/或絕對部位,及/或不同佈局上之特徵之重疊。在一實例中,模型係經驗模型,在經驗模型中,不模擬可在抗蝕劑影像、空中影像或蝕刻影像中之圖案;替代地,經驗模型基於經驗模型之輸入(例如,一或多個程序參數211及/或佈局參數212)與特性之間的相關性而判定圖案之特性214 (例如,存在、部位、類型、形狀等等)。在一實例中,模型係運算模型,在運算模型中,模擬圖案之至少一部分且自該部分判定特性214,或模擬特性214而不模擬圖案自身。在215處,基於特性214而判定圖案是否係缺陷或是否存在圖案係缺陷之概率。舉例而言,可藉由尋找過於遠離線之所要部位之線末端來識別線拉回缺陷;可藉由尋找兩條線不理想地接合之部位來識別橋接缺陷。
圖3說明模擬圖案之至少一部分或影像(例如,抗蝕劑影像、空中影像、蝕刻影像)中之圖案之特性的方法之流程圖。源模型31表示照明之光學特性(包括輻射強度分佈及/或相位分佈)。投影光學件模型32表示投影光學件之光學特性(包括由投影光學件造成的輻射強度分佈及/或相位分佈之改變)。設計佈局模型33表示設計佈局之光學特性(包括由給定設計佈局造成的對輻射強度分佈及/或相位分佈之改變),該設計佈局為在圖案化器件上或由圖案化器件形成之特徵之配置的表示。可自源模型31、投影光學件模型32及設計佈局模型33模擬空中影像34。可使用抗蝕劑模型35自空中影像34模擬抗蝕劑影像36。可使用蝕刻模型37而自抗蝕劑影像36模擬蝕刻影像38。可使用空中影像34、抗蝕劑影像36或蝕刻影像38來判定圖案之特性(例如,存在、部位、類型、形狀等等)。
更具體言之,應注意,源模型31可表示照明之光學特性,其包括但不限於:均方偏差(σ)設定以及任何特定照明形狀(例如,諸如環形、四極、偶極等等之離軸輻射照明形狀)。投影光學件模型32可表示投影光學件之光學特性,該等光學特性可包括像差、失真、折射率、實體大小、實體尺寸等等。設計佈局模型33可表示設計佈局之實體性質及/或用於設計佈局之實體圖案化器件,如(例如)美國專利第7,587,704號中所描述,該美國專利以全文引用之方式併入。
模擬之目標係準確地預測(例如)邊緣置放、空中影像強度斜率、CD等等,可接著將該等邊緣置放、空中影像強度斜率、CD等等與預期設計進行比較。預期設計通常被定義為可以諸如GDSII或OASIS或其他檔案格式之標準化數位檔案格式而提供之預OPC設計佈局。
在圖2之213處使用的模型可為概率模型,亦即,運算具有某一值之缺陷之特性之概率的模型。舉例而言,模型可預測影像中之圖案具有某一形狀或某一CD的概率。相比於非概率模型,概率模型可更好地俘獲器件製造程序中之隨機變化。隨機變化可歸因於多種機制,諸如光子散粒雜訊、熱雜訊、機械振動等等。
圖4A、圖4B、圖4C及圖4D示意性地展示,相比於非概率模型,概率模型可更好地考慮隨機變化且因此(例如)更好地導引在器件製造程序中產生之基板的檢測。圖4A及圖4B各自展示非概率模型運算藉由器件製造程序產生之基板上之圖案之特性的值420。特性之實例之非詳盡清單可包括選自以下各項中之一或多者:相對於基板之位置、相對於基板上之一或多個其他圖案的位置、幾何大小(例如,CD)、幾何形狀,及/或隨機效應之量度(例如,CD均一性(CDU)、線寬粗糙度(LWR)等等)。若特性無法滿足條件(此處,(例如)若特性小於臨限值410),則圖案為缺陷;若特性滿足條件(此處,(例如)若特性大於臨限值410),則圖案並非缺陷。值420大於圖4A及圖4B中所展示之實例中的臨限值410。因此,基於非概率模型,此圖案不應被視為缺陷。
然而,與任何模型一樣,非概率模型可能並非完全準確。由非概率模型運算之特性值與實際特性值可具有差。此差稱為殘差。殘差可歸因於隨機變化、非概率模型之瑕疵、非概率模型之輸入,或其組合。在圖4A及圖4B之實例中,若殘差足夠大(例如,大於值420與臨限值410之間的差),則實際特性值可小於臨限值410,且圖案為缺陷。殘差可具有分佈(例如,圖4A中之分佈430及圖4B中之分佈431)。圖4A之實例中之殘差的分佈430寬於圖4B之實例中之殘差的分佈431。因此,相比於圖4B之實例中之殘差,圖4A之實例中之殘差大於值420與臨限值410之間的差的概率較大。換言之,相比於圖4B之實例中之實際特性值,圖4A之實例中之實際特性值小於臨限值410的概率較大;相比於圖4B之實例中之圖案,圖4A之實例中之圖案為缺陷的概率較大。非概率模型無法俘獲殘差之分佈(例如,分佈430及431),且因此無法俘獲一些缺陷(或圖4A之實例中之許多缺陷)。
類似地,非概率模型可能將非缺陷預測為缺陷。圖4C及圖4D各自展示非概率模型運算基板上之另一圖案之特性的值421。若特性無法滿足條件(此處,(例如)若特性小於臨限值410),則圖案為缺陷;若特性滿足條件(此處,(例如)若特性大於臨限值410),則圖案並非缺陷。值421小於臨限值410,如圖4C及圖4D中所展示。因此,基於非概率模型,此另一圖案應被視為缺陷。然而,在圖4C及圖4D之實例中,若殘差足夠大(例如,大於值421與臨限值410之間的差),則實際特性值可大於臨限值410,且另一圖案並非缺陷。圖4C之實例中之殘差的分佈430寬於圖4D之實例中之殘差的分佈431。因此,相比於圖4D之實例中之殘差,圖4C之實例中之殘差大於值421與臨限值410之間的差的概率較大。換言之,相比於圖4D之實例中之實際特性值,圖4C之實例中之實際特性值大於臨限值410的概率較大;相比於圖4D之實例中之圖案,圖4C之實例中之圖案並非缺陷的概率較大。非概率模型無法俘獲殘差之分佈(例如,分佈430及431),且因此將一些非缺陷(或圖4C之實例中之許多非缺陷)預測為缺陷。
圖5示意性地展示根據一實施例之如何使用概率模型來預測缺陷。使用非概率模型555來運算藉由器件製造程序產生之基板上之圖案510之特性的值520。特性之實例之非詳盡清單可包括選自以下各項中之一或多者:相對於基板之位置、相對於基板上之一或多個其他圖案的位置、幾何大小(例如,CD)、幾何形狀,及/或隨機效應之量度(例如,CD均一性(CDU)、線寬粗糙度(LWR)等等)。非概率模型555可基於一或多個程序參數或佈局參數或憑經驗而運算值520。將非概率模型555之殘差之分佈530相加至值520,籍此產生特性之分佈540。可使用分佈540來運算圖案510為缺陷之概率(例如,特性超過臨限值551與552之間的範圍之概率)。
圖6展示根據一實施例之運算藉由器件製造程序產生之基板上之缺陷之概率的方法之流程圖。在610處,獲得非概率模型之殘差之分佈的屬性620。屬性620之一個實例為殘差之概率密度函數(PDF)。屬性620之另一實例為殘差之累積分佈函數(CDF)。屬性620可為表示分佈之散佈(例如,方差及標準偏差)之屬性。在630處,使用非概率模型來運算基板上之圖案之特性的值640。特性之實例之非詳盡清單可包括選自以下各項中之一或多者:相對於基板之位置、相對於基板上之一或多個其他圖案的位置、幾何大小(例如,CD)、幾何形狀,及/或隨機效應之量度(例如,CD均一性(CDU)、線寬粗糙度(LWR)等等)。在650處,基於殘差之分佈之屬性620且基於使用非概率模型運算之值640而判定特性之分佈之屬性660。在一實例中,屬性660為使用非概率模型運算之值640與殘差之分佈之屬性620的總和。在670處,基於屬性660而判定圖案為缺陷之概率680。在一實例中,屬性660為特性之PDF,且概率680可為PDF在特性範圍內之積分。在一實例中,屬性660為特性之CDF,且概率680可為特性範圍之上限處之CDF與該範圍之下限處之CDF之間的差。可(例如)藉由要求基板上之缺陷之總數目之期望值等於基板上之每一圖案皆為缺陷之概率的總和來使屬性660正規化。
圖7示意性地展示根據一實施例之如何可獲得非概率模型之殘差之分佈之屬性620 (例如,如在圖7之步驟610中)。選擇藉由器件製造程序產生之基板上之複數個圖案(例如,710a、710b、…710i、…)。可使用一或多個準則來選擇複數個圖案。舉例而言,複數個圖案可為具有類似形狀、類似大小、類似功能或空間上鄰近的圖案。使用非概率模型來獲得此等圖案之特性之運算值(例如,730a、730b、…730i、…)。特性之實例之非詳盡清單可包括選自以下各項中之一或多者:相對於基板之位置、相對於基板上之一或多個其他圖案的位置、幾何大小(例如,CD)、幾何形狀,及/或隨機效應之量度(例如,CD均一性(CDU)、線寬粗糙度(LWR)等等)。此等圖案之特性之檢驗值(例如,720a、720b、…720i、…)可為藉由(例如)使用合適度量衡工具量測圖案而獲得之實際特性值或使用劇烈模型之模擬特性值。度量衡工具之實例可包括測量來自基板之光學影像、繞射、散射或其他合適光學信號的光學度量衡工具,及/或使用帶電粒子(例如,電子)束之度量衡工具。自此等圖案中之每一者之檢驗值與運算值之間的差獲得非概率模型之殘差之值。
自殘差之值獲得殘差之分佈之屬性620。在一個實例中,屬性620為殘差之PDF,該PDF可自殘差之直方圖判定。在另一實例中,屬性620為殘差之CDF。可基於經驗分佈函數(EDF)而估計CDF,EDF亦稱為經驗累積分佈函數(ECDF)。可自殘差之值判定EDF。EDF為與樣本之經驗量度(例如,自複數個圖案獲得的殘差之值)相關聯的分佈函數。EDF為在n個資料點(例如,自複數個圖案獲得的殘差之值)中之每一者處增加1/n的步進函數。EDF可寫為下式:,其中(x1 、…、xn )為樣本中之值,且為事件A之指示符。在t為任何指定值之情況下,EDF之值為小於或等於t之樣本分數。根據格里文科-坎泰利(Glivenko-Cantelli)定理,其以概率1收斂至n增大的彼基礎分佈。可使用德沃列茨基-基弗爾-沃夫維茲(Dvorestzky-Kiefer-Wolfowitz)(DKW)不等式基於EDF而估計CDF。可使用德沃列茨基-基弗爾-沃夫維茲(DKW)不等式基於EDF而估計CDF。基於EDF而估計CDF之誤差ϵ係以如下DKW不等式為界:。DKW不等式展示,估計誤差ϵ可由用以建構EDF之殘差之值數目n來判定。
圖8展示根據一實施例之獲得非概率模型之殘差之分佈之屬性880 (例如,圖6及圖7中之屬性620)的方法(例如,圖6中之步驟610)之流程圖。在810處,(例如)藉由使用度量衡工具量測圖案或藉由使用劇烈模型進行模擬來獲得基板上之複數個圖案之特性的檢驗值820。在830處,使用圖案之非概率模型來獲得特性之運算值840。在850處,基於檢驗值820及運算值840而獲得非概率模型之殘差之值860。在一實例中,殘差之值860為運算值840與檢驗值820之間的差。在870處,基於殘差之值860而獲得殘差之分佈之屬性880 (例如,PDF或CDF)。
圖9A、圖9B、圖9C、圖9D、圖9E、圖9F及圖9G各自展示作為屬性880之一實例的殘差之直方圖。水平軸線為殘差之值,且垂直軸線為值之頻率。圖9A至圖9G中所展示之直方圖分別係自具有不同標稱CD之七組圖案獲得。
特性之分佈之屬性(例如,圖6中之660)係圖案為缺陷之概率判定中的一個因素,但不一定為唯一因素。圖案被視為缺陷之特性範圍可能為另一因素。其他因素亦係可能的。在圖10中示意性地展示之一實例中,圖案為缺陷之概率為CD之PDF 1030 (作為特性之分佈之屬性之一實例)在負無窮至臨限值1010之範圍內的積分。實務考慮1020可能影響臨限值1010之選擇。舉例而言,若檢測之總數目或可供用於檢測之時間量受限制,則可使臨限值1010較小,籍此減少被視為缺陷之圖案的數目。可使用來自測試基板之資料使臨限值1010正規化。舉例而言,臨限值1010可經選擇以使得缺陷之總概率與測試基板上之缺陷之實際數目相當(例如,在該實際數目之數量級內)。
可使用圖案為缺陷之概率來導引藉由器件製造程序產生之基板之檢測。在檢測中,為缺陷之概率較高的圖案可優先於為缺陷之概率較低的圖案。圖11示意性地展示根據一實施例之使用圖案為缺陷之概率來判定應檢測基板上之哪些圖案及應檢測此等圖案之次序的方法之流程圖。(例如)使用圖6中所展示之方法來獲得基板上之一組圖案分別為缺陷的概率1110。亦可(例如)使用基板上所表示之設計佈局來獲得圖案之部位1120。在1130處,基於概率1110,且視情況基於部位1120而判定應檢測之圖案之有序清單1140。在1150處,遵循有序清單之次序而檢測有序清單1140中之圖案。在一實例中,有序清單1140包括為缺陷之概率最高的彼等圖案;換言之,有序清單1140包含該組圖案當中之圖案之子集,其中相比於在組中但不在子集中之圖案,子集中之圖案為缺陷之概率較高。可由檢測處理量判斷或可憑經驗判定有序清單1140中之圖案之數目。有序清單1140中之圖案之數目可能受用於檢測之下一基板到達之前的時間量限制。有序清單1140中之圖案之數目可能受允許基板在檢測期間接收之輻射量限制。在一實例中,有序清單1140中之圖案之次序可為下降概率次序。換言之,次序可為檢測為缺陷之概率較高的圖案,隨後檢測為缺陷之概率較低的圖案(「下降概率次序」)。在另一實例中,有序清單1140中之圖案之次序可為致使成本函數處於極值之次序。在一實施例中,成本函數為圖案之次序的函數,且可表示概率、檢測圖案所需之時間量、自一個圖案至下一圖案之距離,及/或檢測效能之其他指示符。在視情況選用之步驟1160中,基於自檢測有序清單1140中之圖案獲得的資料而更新概率1110。
圖12A及圖12B示意性地展示,相比於另一次序,下降概率次序在檢測處理量方面可能較差。在圖12A及圖12B中之實例中,在基板上存在三個圖案1211、1212及1213。圖案1211為缺陷之概率最大(如由圓之大小表示)。圖案1212為缺陷之概率為第二大的,該概率略微小於圖案1211之概率。圖案1213為缺陷之概率最小,該概率比圖案1211之概率及圖案1212之概率小得多。圖案1212遠離圖案1211及1213;圖案1211與1213彼此接近。圖12A展示有序清單次序:圖案1211→圖案1212→圖案1213,其為下降概率次序。圖12B展示不同的有序清單次序:圖案1211→圖案1213→圖案1212,其並非下降概率次序。藉由遵循圖12A中之次序,度量衡工具必須自圖案1211至圖案1212且自圖案1212至圖案1213行進相對較長距離。藉由遵循圖12B中之次序,度量衡工具必須自圖案1211至圖案1213行進一個相對較短距離且自圖案1213至圖案1212行進一個相對較長距離。因此,藉由遵循圖12B中之次序,檢測三個圖案所需之總時間較短(且因此檢測處理量較高)。
度量衡工具能夠檢測多個圖案而不移動視野(「FOV」)。舉例而言,使用帶電粒子束之一些度量衡工具具有可涵蓋多個圖案之FOV,但移動FOV相對較慢。在移動度量衡工具之前檢測的多個圖案可被視為經一次性檢測。圖13A及圖13B示意性地展示,當使用此度量衡工具進行檢測時,相比於另一次序,下降概率次序在檢測處理量方面可能較差。在圖13A及圖13B中所展示之實例中,在基板上存在十七個圖案,且此等圖案可由三個FOV 1311、1312及1313涵蓋。圖案由+號表示,且圓表示圖案有缺陷之概率,其中圓愈大,概率愈大。因為移動FOV相對較慢,所以使用多於三個FOV來檢測圖案會減少檢測處理量。在三個FOV當中,FOV 1311內之缺陷之總概率(亦即,FOV 1311中之圖案中之每一者為缺陷之概率的總和)最大。FOV 1313內之缺陷之總概率最小,但FOV 1313具有十七個圖案當中最可能為缺陷之圖案(如由其相對較大的圓所展示)。FOV 1312遠離FOV 1311及1313;FOV 1311與1313彼此接近。圖13A展示有序清單次序:FOV 1311中之圖案→FOV 1312中之圖案→FOV 1313中之圖案。圖13B展示不同的有序清單次序:FOV 1311中之圖案→FOV 1313中之圖案→FOV 1312中之圖案。藉由遵循圖13A中之次序,度量衡工具必須自FOV 1311至FOV 1312且自FOV 1312至FOV 1313使FOV移動相對較長距離。藉由遵循圖13B中之次序,度量衡工具必須自FOV 1311至FOV 1313行進一個相對較短距離且自FOV 1313至FOV 1312行進一個相對較長距離。因此,藉由遵循圖13B中之次序,檢測三個圖案所需之總時間較短。
圖14為說明可輔助實施本文中所揭示之方法及流程之電腦系統100的方塊圖。電腦系統100包括用以傳達資訊之匯流排102或其他通信機構,及與匯流排102耦接以處理資訊之處理器104 (或多個處理器104及105)。電腦系統100亦可包括耦接至匯流排102以儲存及/或供應待由處理器104執行之資訊及指令的主記憶體106,諸如隨機存取記憶體(RAM)或其他動態儲存器件。主記憶體106可用以在待由處理器104執行之指令之執行期間儲存及/或供應暫時性變數或其他中間資訊。電腦系統100可進一步包括耦接至匯流排102以儲存及/或供應用於處理器104之靜態資訊及指令的唯讀記憶體(ROM) 108或其他靜態儲存器件。可提供諸如磁碟或光碟之儲存器件110,且可將儲存器件110耦接至匯流排102以儲存及/或供應資訊及指令。
電腦系統100可經由匯流排102耦接至用以向電腦使用者顯示資訊之顯示器112,諸如,陰極射線管(CRT)或平板顯示器或觸控面板顯示器。包括文數字及其他按鍵之輸入器件114可耦接至匯流排102以將資訊及命令選擇傳達至處理器104。另一類型之使用者輸入器件可為用以將方向資訊及命令選擇傳達至處理器104且控制顯示器112上之游標移動的游標控制件116,諸如滑鼠、軌跡球或游標方向按鍵。此輸入裝置通常在兩個軸線(第一軸線(例如,x)及第二軸線(例如,y))中具有兩個自由度,此允許器件指定平面中之位置。觸控面板(螢幕)顯示器亦可用作輸入器件。
根據一個實施例,可回應於處理器104執行主記憶體106中所含有之一或多個指令之一或多個序列而由電腦系統100執行本文中所揭示之方法之部分。可將此類指令自另一電腦可讀媒體(諸如儲存器件110)讀取至主記憶體106中。主記憶體106中所含有之指令序列之執行致使處理器104執行本文中所描述之程序步驟。可使用多處理配置中之一或多個處理器,以執行主記憶體106中所含有之指令序列。在一替代實施例中,可代替或結合軟體指令來使用硬佈線電路。因此,本文中之描述不限於硬體電路系統與軟體之任何特定組合。
如本文所使用之術語「電腦可讀媒體」係指參與將指令提供至處理器104以供執行之任何媒體。此媒體可呈許多形式,包括但不限於非揮發性媒體、揮發性媒體及傳輸媒體。非揮發性媒體包括(例如)光碟或磁碟,諸如儲存器件110。揮發性媒體包括動態記憶體,諸如主記憶體106。傳輸媒體包括同軸電纜、銅線及光纖,包括包含匯流排102之線。傳輸媒體亦可呈聲波或光波之形式,諸如在射頻(RF)及紅外(IR)資料通信期間所產生之聲波或光波。電腦可讀媒體之常見形式包括(例如)軟性磁碟、可撓性磁碟、硬碟、磁帶、任何其他磁性媒體、CD-ROM、DVD、任何其他光學媒體、打孔卡、紙帶、具有孔圖案之任何其他實體媒體、RAM、PROM及EPROM、FLASH-EPROM、任何其他記憶體晶片或卡匣、如下文所描述之載波,或可供電腦讀取之任何其他媒體。
可在將一或多個指令之一或多個序列攜載至處理器104以供執行時涉及電腦可讀媒體之各種形式。舉例而言,初始地可將該等指令承載於遠端電腦之磁碟或記憶體上。遠端電腦可將該等指令載入至其動態記憶體中,且經由通信路徑發送該等指令。電腦系統100可自路徑接收資料且將資料置放於匯流排102上。匯流排102將資料攜載至主記憶體106,處理器104自主記憶體106擷取及執行指令。由主記憶體106接收之指令可視情況在由處理器104執行之前或之後儲存於儲存器件110上。
電腦系統100可包括耦接至匯流排102之通信介面118。通信介面118提供對網路鏈路120之雙向資料通信耦合,網路鏈路120連接至網路122。舉例而言,通信介面118可提供有線或無線資料通信連接。在任何此類實施中,通信介面118發送且接收攜載表示各種類型之資訊之數位資料流的電信號、電磁信號或光學信號。
網路鏈路120通常經由一或多個網路而將資料通信提供至其他資料器件。舉例而言,網路鏈路120可經由網路122而向主機電腦124或向由網際網路服務提供者(ISP) 126操作之資料設備提供連接。ISP 126又經由全球封包資料通信網路(現通常被稱作「網際網路」128)而提供資料通信服務。網路122及網際網路128兩者皆使用攜載數位資料串流之電信號、電磁信號或光學信號。經由各種網路之信號及在網路鏈路120上且經由通信介面118之信號為輸送資訊的例示性形式之載波,該等信號將數位資料攜載至電腦系統100且自電腦系統100攜載數位資料。
電腦系統100可經由網絡、網路鏈路120及通信介面118發送訊息及接收資料,該資料包括程式碼。在網際網路實例中,伺服器130可經由網際網路128、ISP 126、網路122及通信介面118傳輸應用程式之所請求程式碼。舉例而言,一個此類經下載應用程式可提供用以實施本文中之方法的程式碼。經接收碼可在其被接收時由處理器104執行,及/或儲存於儲存器件110或其他非揮發性儲存器中以供稍後執行。以此方式,電腦系統100可獲得呈載波形式之應用程式碼。
圖15示意性地描繪例示性微影裝置。該裝置包含: -照明系統IL,其用以調節輻射光束B。在此特定狀況下,照明系統亦包含輻射源SO; -第一物件台(例如,光罩台) MT,其具備用以固持圖案化器件MA (例如,倍縮光罩)之圖案化器件固持器,且連接至用以相對於項目PS來準確地定位該圖案化器件之第一定位器PM; -第二物件台(基板台) WT,其具備用以固持基板W (例如,抗蝕劑塗佈矽晶圓)之基底固持器,且連接至用以相對於項目PS來準確地定位該基板之第二定位器PW; -投影系統PS (例如,折射、反射或反射折射光學系統),其用以將圖案化器件MA之經輻照部分成像至基板W之目標部分C (例如,包含一或多個晶粒)上。
如本文所描繪,裝置屬於透射類型(亦即,具有透射光罩)。然而,一般而言,其亦可屬於(例如)反射類型(具有反射光罩)。替代地,該裝置可使用另一種類之圖案化器件作為經典光罩之使用的替代例;實例包括可程式化鏡面陣列或LCD矩陣。
源SO (例如,水銀燈或準分子雷射)產生輻射光束。此光束直接地或在已橫穿諸如擴束器之調節器之後經饋入至照明系統(照明器) IL中。照明器IL可包含經組態以設定光束中之強度分佈之外部徑向範圍及/或內部徑向範圍(通常分別被稱作σ-外部及σ-內部)的調整器AD。另外,照明器IL通常將包含各種其他組件,諸如,積光器IN及聚光器CO。以此方式,照射於圖案化器件MA上之光束B在其橫截面中具有所要均一性及強度分佈。
關於圖15應注意,源SO可在微影裝置之外殼內(舉例而言,此常常係源SO為水銀燈時之狀況),但其亦可遠離微影裝置,微影裝置產生之輻射光束經引導至裝置中(例如,借助於合適導向鏡面BD);此後一情境通常係源SO為準分子雷射時(例如,基於KrF、ArF或F2 雷射作用)之狀況。
光束B隨後截取被固持於圖案化器件台MT上之圖案化器件MA。在已橫穿圖案化器件MA之情況下,光束B傳遞通過投影系統PS,投影系統PS將該光束B聚焦至基板W之目標部分C上。借助於第二定位器PW (及干涉計IF),可準確地移動基板台WT,(例如)以便將不同目標部分C定位於光束B之路徑中。類似地,(例如)在自圖案化器件文庫機械擷取圖案化器件MA之後,或在掃描期間,可使用第一定位器PM相對於光束B之路徑準確地定位圖案化器件MA。一般而言,將憑藉未在圖15中明確地描繪之長衝程模組(粗略定位)及短衝程模組(精細定位)來實現物件台MT、WT之移動。
可使用圖案化器件對準標記M1、M2及基板對準標記P1、P2來對準圖案化器件(例如,光罩) MA及基板W。儘管如所說明之基板對準標記佔據專用目標部分,但該等標記可位於目標部分之間的空間中(此等標記被稱為切割道對準標記)。類似地,在多於一個晶粒被提供於圖案化器件(例如,光罩) MA上之情形中,圖案化器件對準標記可位於該等晶粒之間。小的對準標記亦可包括於器件特徵當中之晶粒內,在此狀況下,需要使標記儘可能地小且無需與鄰近特徵不同的任何成像或程序條件。
圖16示意性地描繪另一例示性微影裝置1000。微影裝置1000包括: -源收集器模組SO; -照明系統(照明器) IL,其經組態以調節輻射光束B (例如,EUV輻射); -支撐結構(例如,光罩台) MT,其經建構以支撐圖案化器件(例如,光罩或倍縮光罩) MA且連接至經組態以準確地定位圖案化器件之第一定位器PM; -基板台(例如,晶圓台)WT,其經建構以固持基板(例如,抗蝕劑塗佈晶圓) W,且連接至經組態以準確地定位該基板之第二定位器PW;及 -投影系統(例如,反射投影系統) PS,其經組態以將由圖案化器件MA賦予至輻射光束B之圖案投影至基板W之目標部分C (例如,包含一或多個晶粒)上。
如此處所描繪,裝置1000屬於反射類型(例如,使用反射光罩)。應注意,因為大多數材料在EUV波長範圍內具吸收性,所以圖案化器件可具有包含(例如)鉬與矽之多堆疊的多層反射器。在一個實例中,多堆疊反射器具有鉬及矽之40層對。可運用X射線微影來產生更小波長。由於大多數材料在EUV及x射線波長下具吸收性,因此圖案化器件構形上之經圖案化吸收材料之薄件(例如,多層反射器之頂部上的TaN吸收器)界定特徵將印刷(正型抗蝕劑)或不印刷(負型抗蝕劑)之位置。
參考圖16,照明器IL自源收集器模組SO接收極紫外線(EUV)輻射光束。產生EUV輻射之方法包括但未必限於藉由EUV範圍內之一或多個發射譜線將材料轉換到具有至少一種元素(例如,氙、鋰或錫)之電漿狀態。在一種此類方法中,可藉由運用雷射光束輻照燃料(諸如,具有譜線發射元素之材料小滴、串流或叢集)而產生電漿(常常被稱為雷射產生電漿(「LPP」))。源收集器模組SO可為包括雷射(圖16中未展示)之EUV輻射系統之部分,該雷射用以提供用以激發燃料之雷射光束。所得電漿發射輸出輻射,例如EUV輻射,該輻射係使用安置於源收集器模組中之輻射收集器予以收集。舉例而言,當使用CO2雷射以提供用於燃料激發之雷射光束時,雷射及源收集器模組可為分離實體。
在此等狀況下,雷射不被視為形成微影裝置之部分,且輻射光束係憑藉包含(例如)適合引導鏡面及/或擴束器之光束遞送系統而自雷射傳遞至源收集器模組。在其他情況下,舉例而言,當源為放電產生電漿EUV產生器(常常被稱為DPP源)時,源可為源收集器模組之整體部分。
照明器IL可包含經組態以調整輻射光束之角強度分佈之調整器。通常,可調整照明器之光瞳平面中之強度分佈的至少外部及/或內部徑向範圍(通常分別被稱作σ外部及σ內部)。另外,照明器IL可包含各種其他組件,諸如琢面化場鏡面器件及琢面化光瞳鏡面器件。照明器可用以調節輻射光束,以在其橫截面中具有所要均一性及強度分佈。
輻射光束B入射於圖案化器件(例如,光罩) MA上且由圖案化器件圖案化,該圖案化器件MA固持於支撐結構(例如,光罩台) MT上。在自圖案化器件(例如,光罩) MA反射之情況下,輻射光束B傳遞通過投影系統PS,投影系統PS將光束聚焦至基板W之目標部分C上。憑藉第二定位器PW及位置感測器PS2 (例如,干涉器件、線性編碼器或電容式感測器),基板台WT可準確地移動,(例如)以便將不同目標部分C定位於輻射光束B之路徑中。類似地,第一定位器PM及另一位置感測器PS1可用以相對於輻射光束B之路徑準確地定位圖案化器件(例如,光罩) MA。可使用圖案化器件對準標記M1、M2及基板對準標記P1、P2來對準圖案化器件(例如,光罩) MA及基板W。
所描繪裝置可用於以下模式中之至少一者中: 1. 在步進模式中,支撐結構(例如,光罩台) MT及基板台WT保持基本上靜止,同時將被賦予至輻射光束之整個圖案一次性投影至目標部分C上(亦即,單次靜態曝光)。接著,使基板台WT在X及/或Y方向上移位,使得可曝光不同目標部分C。 2. 在掃描模式中,在將被賦予至輻射光束之圖案投影至目標部分C上時,在給定方向(所謂「掃描方向」)上同步地掃描支撐結構(例如,光罩台) MT及基板台WT (亦即,單次動態曝光)。可藉由投影系統PS之放大率(縮小率)及影像反轉特性來判定基板台WT相對於支撐結構(例如,光罩台) MT之速度及方向。 3. 在另一模式中,支撐結構(例如,光罩台) MT保持基本上靜止,從而固持可程式化圖案化器件,且在將被賦予至輻射光束之圖案投影至目標部分C上時移動或掃描基板台WT。在此模式中,通常使用脈衝式輻射源,且在基板台WT之每一移動之後或在掃描期間之順次輻射脈衝之間根據需要而更新可程式化圖案化器件。此操作模式可易於應用於利用可程式化圖案化器件(諸如上文所提及之類型的可程式化鏡面陣列)之無光罩微影。
此外,微影裝置可屬於具有兩個或多於兩個台(例如,兩個或多於兩個基板台、兩個或多於兩個圖案化器件台,及/或一基板台及不具有基板之一台)之類型。在此等「多載物台」器件中,可並行地使用額外台,或可在一或多個台上進行預備步驟,同時將一或多個其他台用於曝光。
圖17更詳細地展示裝置1000,其包括源收集器模組SO、照明系統IL及投影系統PS。源收集器模組SO經建構及配置以使得可將真空環境維持於源收集器模組SO之封閉結構2120中。可藉由放電產生電漿源而形成EUV輻射發射電漿2110。可藉由氣體或蒸汽(例如,氙氣體、鋰蒸汽或錫蒸汽)而產生EUV輻射,其中產生極熱電漿2110以發射在電磁光譜的EUV範圍內之輻射。舉例而言,藉由造成至少部分離子化電漿之放電來產生極熱電漿2110。為了有效產生輻射,可能需要為(例如) 10 Pa之分壓之氙、鋰、錫蒸汽或任何其他適合氣體或蒸汽。在一實施例中,提供經激發錫(Sn)電漿以產生EUV輻射。
由熱電漿2110發射之輻射係經由經定位於源腔室2111中之開口中或後方的視情況選用氣體障壁或污染物截留器2130 (在一些狀況下,亦被稱作污染物障壁或箔片截留器)而自源腔室2111傳遞至收集器腔室2112中。污染物截留器2130可包括通道結構。污染物截留器2130亦可包括氣體障壁,或氣體障壁與通道結構之組合。如在此項技術中已知,本文中進一步指示之污染物截留器或污染物障壁2130至少包括通道結構。
源腔室2111可包括可為所謂的掠入射收集器之輻射收集器CO。輻射收集器CO具有上游輻射收集器側2151及下游輻射收集器側2152。橫穿收集器CO之輻射可自光柵光譜濾光器2140反射以沿著由點虛線「O」指示之光軸聚焦於虛擬源點IF中。虛擬源點IF通常被稱作中間焦點,且源收集器模組經配置以使得中間焦點IF位於圍封結構2120中之開口2121處或附近。虛擬源點IF為輻射發射電漿2110之影像。
隨後,輻射橫穿照明系統IL,照明系統IL可包括琢面化場鏡面器件2192及琢面化光瞳鏡面器件2194,琢面化場鏡面器件2192及琢面化光瞳鏡面器件2194經配置以提供在圖案化器件MA處之輻射光束2191的所要角分佈,以及在圖案化器件MA處之輻射強度的所要均一性。在由支撐結構MT固持之圖案化器件MA處的輻射光束2191之反射後,即刻形成經圖案化光束2196,且由投影系統PS經由反射元件2198、3190將經圖案化光束2196成像至由基板台WT固持之基板W上。
比所展示之元件更多的元件通常可存在於照明光學件單元IL及投影系統PS中。取決於微影裝置之類型,光柵光譜濾光器2140可視情況存在。另外,可存在比諸圖所展示之鏡面多的鏡面,例如,在投影系統PS中可存在比圖17所展示之反射元件多1至6個的額外反射元件。
如圖17中所說明,收集器光學件CO被描繪為具有掠入射反射器2153、2154及2155之巢套式收集器,僅作為收集器(或收集器鏡面)之一實例。掠入射反射器2153、2154及2155經安置成圍繞光軸O軸向地對稱,且此類型之收集器光學件CO理想地結合放電產生電漿源(常常被稱為DPP源)予以使用。替代地,源收集器模組SO可為LPP輻射系統之部分。
本文中所使用之術語「投影系統」應被廣泛地解譯為涵蓋適於所使用之曝光輻射或適於諸如浸潤液體之使用或真空之使用之其他因素的任何類型之投影系統,包括折射、反射、反射折射、磁性、電磁及靜電光學系統,或其任何組合。
微影裝置亦可屬於如下類型:其中基板之至少一部分可由具有相對較高折射率之液體(例如,水)覆蓋,以便填充投影系統與基板之間的空間。亦可將浸潤液體施加至微影裝置中之其他空間,例如,光罩與投影系統之間的空間。浸潤技術在此項技術中被熟知用於增大投影系統之數值孔徑。本文中所使用之術語「浸潤」並不意謂諸如基板之結構必須浸沒於液體中,而是僅意謂液體在曝光期間位於投影系統與基板之間。
本文中所揭示之概念可用以模擬或數學地模型化涉及微影裝置之器件製造程序,且可在使用能夠產生大小愈來愈小之波長之新興成像技術的情況下尤其有用。已經在使用中之新興技術包括能夠藉由使用ArF雷射來產生193奈米波長且甚至能夠藉由使用氟雷射來產生157奈米波長之深紫外線(DUV)微影。此外,EUV微影能夠產生在5至20奈米之範圍內之波長。
雖然本文中所揭示之概念可用於在諸如矽晶圓之基板上的器件製造,但應理解,所揭示之概念可與任何類型之微影成像系統一起使用,例如,用於在不同於矽晶圓的基板上之成像的微影成像系統。
上文所提及之圖案化器件包含或可形成設計佈局。可利用CAD (電腦輔助設計)程式來產生設計佈局。此程序常常被稱作EDA (電子設計自動化)。大多數CAD程式遵循預定設計規則之集合,以便產生功能設計佈局/圖案化器件。藉由處理及設計限制來設定此等規則。舉例而言,設計規則定義電路器件(諸如,閘、電容器等等)或互連線之間的空間容許度,以便確保該等電路器件或線彼此不會以不理想方式相互作用。設計規則限制通常被稱作「臨界尺寸」(CD)。可將電路之臨界尺寸定義為線或孔之最小寬度,或兩條線或兩個孔之間的最小空間。因此,CD判定經設計電路之總大小及密度。當然,積體電路製作中之目標中之一者係在基板上如實地再生原始電路設計(經由圖案化器件)。
如本文中所使用之術語「光罩」或「圖案化器件」可被廣泛地解譯為係指可用以向入射輻射光束賦予經圖案化橫截面之通用圖案化器件,經圖案化橫截面對應於待在基板之目標部分中產生之圖案;術語「光閥」亦可用於此內容背景中。除經典光罩(透射或反射;二元、相移、混合式等等)以外,其他此類圖案化器件之實例包括:可程式化鏡面陣列及可程式化LCD陣列。
因此,如所提及,微影蝕刻術(microlithography)為製造諸如IC之器件的重要步驟,在微影蝕刻術中形成於基板上之圖案定義IC之功能元件,諸如微處理器、記憶體晶片等。類似微影技術亦用於形成平板顯示器、微機電系統(MEMS)及其他器件。
供印刷尺寸小於微影裝置之經典解析度極限之特徵的程序根據解析度公式CD = k1×λ/NA而通常被稱作低k1微影,其中λ為所使用輻射之波長(當前在大多數狀況下為248奈米或193奈米),NA為微影裝置中之投影光學件之數值孔徑,CD為「臨界尺寸」(通常為所印刷之最小特徵大小),且k1為經驗解析度因數。一般而言,k1愈小,則在基板上再生類似於由電路設計者規劃之形狀及尺寸以便達成特定電功能性及效能的圖案變得愈困難。為了克服此等困難,將複雜微調步驟應用至微影裝置及/或設計佈局。此等微調步驟包括(例如,但不限於):NA及光學相干設定之最佳化、定製照明方案、相移圖案化器件之使用、設計佈局中之光學近接校正(OPC,有時亦被稱作「光學及程序校正」),或通常被定義為「解析度增強技術」(RET)之其他方法。如本文中所使用之術語「最佳化」意謂調整裝置(例如,微影裝置),使得器件製作結果及/或程序(例如,微影之程序)具有一或多個所要特性,諸如設計佈局在基板上之投影的較高準確度、較大程序窗等等。作為一實例,OPC處理如下事實:投影於基板上之設計佈局的影像之最終大小及置放將不相同於或簡單地僅取決於該設計佈局在圖案化器件上之大小及置放。熟習此項技術者將認識到,尤其在微影之內容背景中,術語「光罩」、「圖案化器件」及「設計佈局」可互換使用。對於存在於一些某一設計佈局上之小特徵大小及高特徵密度,給定特徵之特定邊緣之位置將在某種程度上受到其他鄰近特徵之存在或不存在影響。此等近接效應起因於自一個特徵耦接至另一特徵的微小量之輻射及/或諸如繞射及干涉之非幾何光學效應。類似地,近接效應可起因於在通常繼微影之後的曝光後烘烤(PEB)、抗蝕劑顯影及蝕刻期間之擴散及其他化學效應。
為了幫助確保設計佈局之經投影影像係根據給定目標電路設計之要求,可使用設計佈局之複雜數值模型、校正或預失真來預測及補償近接效應。因此,可使用「基於模型」之光學近接校正程序來更改設計佈局。在典型高端設計中,設計佈局之幾乎每一特徵皆具有一些某種修改,以便達成經投影影像至目標設計之高保真度。此等修改可包括邊緣位置或線寬之移位或偏置,以及意欲輔助其他特徵之投影的「輔助」特徵之應用。
應用OPC通常不為「嚴正科學(exact science)」,而為並不總是補償所有可能的近接效應之經驗反覆程序。因此,應藉由設計檢測(亦即,使用經校準數值程序模型之密集型全晶片模擬)來驗證OPC之效應(例如,在應用OPC及任何其他RET之後的設計佈局),以便使將設計瑕疵建置至圖案化器件圖案中的可能性最小化。OPC及全晶片RET驗證兩者可基於數值模型化系統及方法。
一個RET係關於設計佈局之全域偏置之調整。全域偏置為設計佈局中之圖案與意欲印刷於基板上之圖案之間的差。舉例而言,具有25奈米直徑之圓形圖案可藉由設計佈局中之50奈米直徑圖案或藉由設計佈局中之20奈米直徑圖案但以高劑量而印刷於基板上。
除了對設計佈局或圖案化器件之最佳化(例如,OPC)以外,亦可與圖案化器件最佳化聯合地抑或分離地最佳化照明源,以致力於改良總微影保真度。術語「照明源」及「源」在此文件中可互換地使用。如所已知,諸如環形、四極及偶極之離軸照明為解析圖案化器件中所含有之精細結構(亦即目標特徵)的經論證方式。然而,當與傳統照明源相比時,離軸照明源通常提供針對空中影像(AI)之較小輻射強度。因此,變得需要嘗試最佳化照明源以在較精細解析度與經縮減輻射強度之間達成最佳平衡。
可使用眾多照明源最佳化途徑。舉例而言,可將源分割成若干區,該等區中之每一者對應於光瞳光譜之特定區。接著,將源分佈假定為在每一源區中均一,且針對程序窗來最佳化每一區之亮度。在另一實例中,可使用基於照明器像素之方法,該方法將源最佳化問題轉換成一系列非負最小二乘最佳化。
對於低k1光微影,源及圖案化器件圖案兩者之最佳化有用於確保用於臨界電路圖案之投影的可行程序窗。一些演算法在空間頻域中將照明離散化成獨立光源點且將圖案化器件圖案離散化成繞射階,且基於可藉由光學成像模型自光源點強度及圖案化器件繞射階而預測之程序窗度量(諸如,曝光寬容度)來分離地公式化成本函數(其被定義為選定設計變數之函數)。如本文所使用之術語「設計變數」包含裝置或器件製造程序之參數集合,例如,微影裝置之使用者可調整之參數,或使用者可藉由調整彼等參數而調整之影像特性。應瞭解,器件製造程序之任何特性(包括源、圖案化器件、投影光學件之特性及/或抗蝕劑特性)可在最佳化中之設計變數當中。成本函數常常為設計變數之非線性函數。接著使用標準最佳化技術以最小化成本函數。
全文特此以引用方式併入之共同讓與之PCT專利申請公開案第WO 2010/059954號中描述允許在不具有約束的情況下且在可實行時間量內使用成本函數來同步最佳化源及圖案化器件之源及圖案化器件圖案(設計佈局)最佳化方法及系統。
全文特此以引用方式併入之美國專利第8,786,824號中描述涉及藉由調整源之像素來最佳化源之另一源及圖案化器件最佳化方法和系統。
如本文中所使用之術語「投影光學件」應被廣泛地解譯為涵蓋各種類型之光學系統,包括(例如)折射光學件、反射光學件、孔徑及反射折射光學件。術語「投影光學件」亦可包括用於集體地或單個地導向、塑形或控制投影輻射光束的根據此等設計類型中之任一者而操作之組件。術語「投影光學件」可包括微影裝置中之任何光學組件,而不管該光學組件在微影裝置之光學路徑上位於何處。投影光學件可包括用於在來自源之輻射通過圖案化器件之前塑形、調整及/或投影該輻射的光學組件,及/或用於在該輻射通過圖案化器件之後塑形、調整及/或投影該輻射的光學組件。投影光學件通常不包括源及圖案化器件。
可以使用以下條項進一步描述實施例: 1. 一種方法,其包含: 使用一非概率模型來獲得藉由一器件製造程序產生之一基板上之一圖案之一特性的一值; 獲得該非概率模型之一殘差之一分佈之一屬性; 基於該殘差之該分佈之該屬性且基於該圖案之該特性的該值而判定該特性之一分佈之一屬性;及 基於該特性之該分佈之該屬性而判定該圖案為一缺陷之一概率。 2. 如條項1之方法,其中該殘差之該分佈之該屬性為該殘差之一概率密度函數(PDF)。 3. 如條項1之方法,其中該殘差之該分佈之該屬性為該殘差之一累積分佈函數(CDF)。 4. 如條項1至3中任一項之方法,其中該殘差之該分佈之該屬性表示該殘差之該分佈之一散佈。 5. 如條項1至4中任一項之方法,其中該殘差之該分佈之該屬性為該殘差之該分佈之一方差或標準偏差。 6. 如條項1至5中任一項之方法,其中該特性係選自以下各項中之一或多者:相對於該基板之一位置、相對於該基板上之一或多個其他圖案的一位置、一幾何大小、一幾何形狀、一隨機效應之一量度,及/或選自前述各者之任何組合。 7. 如條項1至6中任一項之方法,其中判定該特性之該分佈之該屬性包含:相加該殘差之該分佈之該屬性及該特性之該值。 8. 如條項1至7中任一項之方法,其中該特性之該分佈之該屬性為該特性之一PDF。 9. 如條項8之方法,其中判定該概率包含:在該特性之一範圍內積分該特性之該PDF。 10. 如條項1至9中任一項之方法,其進一步包含使該特性之該分佈之該屬性正規化。 11. 如條項1至10中任一項之方法,其中判定該特性之該分佈之該屬性係進一步基於該特性之一範圍,在該範圍內,該圖案被視為一缺陷。 12. 一種方法,其包含: 獲得藉由一器件製造程序產生之一基板上之複數個圖案之一特性的檢驗值; 使用一非概率模型來獲得該特性之運算值; 基於該等檢驗值及該等運算值而獲得該非概率模型之一殘差之值;及 基於該殘差之該等值而獲得該殘差之一分佈之一屬性。 13. 如條項12之方法,其中該特性係選自以下各項中之一或多者:相對於該基板之一位置、相對於該基板上之一或多個其他圖案的一位置、一幾何大小、一幾何形狀、一隨機效應之一量度,及/或選自前述各者之任何組合。 14. 如條項12或條項13之方法,其中獲得該等檢驗值包含:使用一度量衡工具來量測該等圖案或使用一劇烈模型進行模擬。 15. 如條項14之方法,其中該度量衡工具經組態以使用一帶電粒子束來量測該等圖案。 16. 如條項12至15中任一項之方法,其中獲得該殘差之該等值包含:獲得該等運算值與該等檢驗值之間的差。 17. 如條項12至16中任一項之方法,其中該殘差之該分佈之該屬性為該殘差之該分佈之一PDF。 18. 如條項12至17中任一項之方法,其進一步包含基於形狀、大小、功能或空間鄰近度而獲得該複數個圖案。 19. 一種方法,其包含: 獲得藉由一器件製造程序產生之一基板上之一組圖案分別為缺陷的概率; 基於該等概率而判定應檢測之圖案的一有序清單;及 遵循該有序清單之一次序而檢測該有序清單中之圖案。 20. 如條項19之方法,其進一步包含獲得該組圖案之部位。 21. 如條項20之方法,其中判定該有序清單係進一步基於該等部位。 22. 如條項19至21中任一項之方法,其中該有序清單包含該組圖案當中之圖案之一子集,其中相比於在該組中但不在該子集中之圖案,該子集中之圖案為缺陷之概率較高。 23. 如條項19至22中任一項之方法,其中判定該有序清單係進一步基於一檢測處理量、允許檢測之一時間量,及/或允許該基板在檢測期間接收之一輻射量。 24. 如條項19至23中任一項之方法,其中該次序為一下降概率次序。 25. 如條項19至24中任一項之方法,其中判定該有序清單包含運算作為該次序之一函數的一成本函數。 26. 如條項25之方法,其中該成本函數表示該等概率、檢測該組圖案之一時間量,及/或該組圖案之間的距離。 27. 如條項19至26中任一項之方法,其進一步包含基於自檢測該有序清單中之該等圖案獲得的資料而更新該等概率。 28. 一種電腦程式產品,其包含上面記錄有指令之一非暫時性電腦可讀媒體,該等指令在由一電腦系統執行時實施如條項1至27中任一項之方法。
儘管上文可特定地參考在光學微影之內容背景中對實施例之使用,但應瞭解,本發明之實施例可用於其他應用(例如,壓印微影)中,且在內容背景允許的情況下,不限於光學微影。在壓印微影中,圖案化器件中之構形界定產生於基板上之圖案。可將圖案化器件之構形壓入至被供應至基板之抗蝕劑層中,在基板上,抗蝕劑係藉由施加電磁輻射、熱、壓力或其組合而固化。在抗蝕劑被固化之後,將圖案化器件移出抗蝕劑,從而在其中留下圖案。因此,使用壓印技術之微影裝置通常包括用以固持壓印模板之模板固持器、用以固持基板之基板台,及用以造成基板與壓印模板之間的相對移動以使得可將壓印模板之圖案壓印至基板之層上的一或多個致動器。
以上描述意欲為說明性,而非限制性的。因此,對於熟習此項技術者將顯而易見,可在不脫離下文所闡明之申請專利範圍之範疇的情況下如所描述進行修改。
10A‧‧‧微影裝置
12A‧‧‧輻射源
14A‧‧‧組件
16Aa‧‧‧組件
16Ab‧‧‧組件
16Ac‧‧‧組件
18A‧‧‧圖案化器件
20A‧‧‧可調整濾光器/孔徑
22A‧‧‧基板平面
31‧‧‧源模型
32‧‧‧投影光學件模型
33‧‧‧設計佈局模型
34‧‧‧空中影像
35‧‧‧抗蝕劑模型
36‧‧‧抗蝕劑影像
37‧‧‧蝕刻模型
38‧‧‧蝕刻影像
100‧‧‧電腦系統
102‧‧‧匯流排
104‧‧‧處理器
105‧‧‧處理器
106‧‧‧主記憶體
108‧‧‧唯讀記憶體
110‧‧‧儲存器件
112‧‧‧顯示器
114‧‧‧輸入器件
116‧‧‧游標控制件
118‧‧‧通信介面
120‧‧‧網路鏈路
122‧‧‧網路
124‧‧‧主機電腦
126‧‧‧網際網路服務提供者
128‧‧‧網際網路
130‧‧‧伺服器
211‧‧‧程序參數
212‧‧‧佈局參數
213‧‧‧步驟
214‧‧‧特性
215‧‧‧步驟
410‧‧‧臨限值
420‧‧‧值
421‧‧‧值
430‧‧‧分佈
431‧‧‧分佈
510‧‧‧圖案
520‧‧‧值
530‧‧‧分佈
540‧‧‧分佈
551‧‧‧臨限值
552‧‧‧臨限值
555‧‧‧非概率模型
610‧‧‧步驟
620‧‧‧屬性
630‧‧‧步驟
640‧‧‧值
650‧‧‧步驟
660‧‧‧屬性
670‧‧‧步驟
680‧‧‧概率
710a‧‧‧圖案
710b‧‧‧圖案
710c‧‧‧圖案
710d‧‧‧圖案
710e‧‧‧圖案
710f‧‧‧圖案
710g‧‧‧圖案
710h‧‧‧圖案
710i‧‧‧圖案
720a‧‧‧檢驗值
720b‧‧‧檢驗值
720c‧‧‧檢驗值
720d‧‧‧檢驗值
720e‧‧‧檢驗值
720f‧‧‧檢驗值
720g‧‧‧檢驗值
720h‧‧‧檢驗值
720i‧‧‧檢驗值
730a‧‧‧運算值
730b‧‧‧運算值
730c‧‧‧運算值
730d‧‧‧運算值
730e‧‧‧運算值
730f‧‧‧運算值
730g‧‧‧運算值
730h‧‧‧運算值
730i‧‧‧運算值
810‧‧‧步驟
820‧‧‧檢驗值
830‧‧‧步驟
840‧‧‧運算值
850‧‧‧步驟
860‧‧‧值
870‧‧‧步驟
880‧‧‧屬性
1000‧‧‧微影裝置
1010‧‧‧臨限值
1020‧‧‧實務考慮
1030‧‧‧概率密度函數(PDF)
1110‧‧‧概率
1120‧‧‧部位
1130‧‧‧步驟
1140‧‧‧有序清單
1150‧‧‧步驟
1160‧‧‧步驟
1211‧‧‧圖案
1212‧‧‧圖案
1213‧‧‧圖案
1311‧‧‧視野(FOV)
1312‧‧‧視野
1313‧‧‧視野
2110‧‧‧EUV輻射發射電漿/熱電漿
2111‧‧‧源腔室
2112‧‧‧收集器腔室
2120‧‧‧圍封結構
2121‧‧‧開口
2130‧‧‧污染物截留器/污染物障壁
2140‧‧‧光柵光譜濾光器
2151‧‧‧上游輻射收集器側
2152‧‧‧下游輻射收集器側
2153‧‧‧掠入射反射器
2154‧‧‧掠入射反射器
2155‧‧‧掠入射反射器
2191‧‧‧輻射光束
2192‧‧‧琢面化場鏡面器件
2194‧‧‧琢面化光瞳鏡面器件
2196‧‧‧經圖案化光束
2198‧‧‧反射元件
3190‧‧‧反射元件
AD‧‧‧調整器
B‧‧‧輻射光束
BD‧‧‧導向鏡面
C‧‧‧目標部分
CO‧‧‧輻射收集器/聚光器
IF‧‧‧虛擬源點/中間焦點
IL‧‧‧照明系統/照明器
IN‧‧‧積光器
M1‧‧‧圖案化器件對準標記
M2‧‧‧圖案化器件對準標記
MA‧‧‧圖案化器件
MT‧‧‧支撐結構
O‧‧‧光軸
P1‧‧‧基板對準標記
P2‧‧‧基板對準標記
PM‧‧‧第一定位器
PS‧‧‧投影系統
PS1‧‧‧位置感測器
PS2‧‧‧位置感測器
PW‧‧‧第二定位器
SO‧‧‧源收集器模組
W‧‧‧基板
WT‧‧‧基板台
X‧‧‧方向
Y‧‧‧方向
圖1係微影裝置之示意性方塊圖。
圖2示意性地描繪預測器件製造程序中之缺陷的方法。
圖3說明模擬圖案之至少一部分或影像中之圖案之特性的方法之流程圖。
圖4A、圖4B、圖4C及圖4D示意性地展示,相比於非概率模型,概率模型可更好地考慮隨機變化且因此(例如)更好地導引在器件製造程序中產生之基板的檢測。
圖5示意性地展示根據一實施例之如何使用概率模型來預測缺陷。
圖6展示根據一實施例之運算藉由器件製造程序產生之基板上之缺陷之概率的方法之流程圖。
圖7示意性地展示根據一實施例之如何可獲得非概率模型之殘差之分佈之屬性(例如,如在圖7之步驟610中)。
圖8展示根據一實施例之獲得非概率模型之殘差之分佈之屬性(例如,圖7中之屬性620)的方法(例如,圖6中之步驟610)之流程圖。
圖9A、圖9B、圖9C、圖9D、圖9E、圖9F及圖9G各自展示作為殘差之分佈之屬性之一實例的殘差之直方圖。
圖10示意性地展示一實例,在該實例中,圖案係缺陷之概率係PDF在自負無窮至一臨限值之範圍內的積分。
圖11示意性地展示根據一實施例之使用圖案係缺陷之概率來判定應檢測基板上之哪些圖案及應檢測此等圖案之次序的方法之流程圖。
圖12A及圖12B示意性地展示,相比於另一次序,下降概率次序在檢測處理量方面可能較差。
圖13A及圖13B示意性地展示,相比於另一次序,下降概率次序在檢測處理量方面可能較差。
圖14係實例電腦系統之方塊圖。
圖15係微影裝置之示意圖。
圖16係另一微影裝置之示意圖。
圖17係圖16中之裝置的更詳細視圖。

Claims (15)

  1. 一種方法,其包含: 使用一非概率模型來獲得藉由一器件製造程序產生之一基板上之一圖案之一特性的一值; 獲得該非概率模型之一殘差之一分佈之一屬性; 基於該殘差之該分佈之該屬性且基於該圖案之該特性的該值而判定該特性之一分佈之一屬性;及 基於該特性之該分佈之該屬性而判定該圖案係一缺陷之一概率。
  2. 如請求項1之方法,其中該殘差之該分佈之該屬性係該殘差之一概率密度函數(PDF)。
  3. 如請求項1之方法,其中該殘差之該分佈之該屬性係該殘差之一累積分佈函數(CDF)。
  4. 如請求項1之方法,其中該殘差之該分佈之該屬性表示該殘差之該分佈之一散佈。
  5. 如請求項1之方法,其中該殘差之該分佈之該屬性係該殘差之該分佈之一方差或標準偏差。
  6. 如請求項1之方法,其中該特性係選自以下各項中之一或多者:相對於該基板之一位置、相對於該基板上之一或多個其他圖案的一位置、一幾何大小、一幾何形狀、一隨機效應之一量度,及/或選自前述各者之任何組合。
  7. 如請求項1之方法,其中判定該特性之該分佈之該屬性包含:相加該殘差之該分佈之該屬性及該特性之該值。
  8. 如請求項1之方法,其中該特性之該分佈之該屬性係該特性之一PDF。
  9. 如請求項8之方法,其中判定該概率包含:在該特性之一範圍內積分該特性之該PDF。
  10. 如請求項1之方法,其進一步包含使該特性之該分佈之該屬性正規化。
  11. 如請求項1之方法,其中判定該特性之該分佈之該屬性係進一步基於該特性之一範圍,在該範圍內,該圖案被視為一缺陷。
  12. 一種方法,其包含: 獲得藉由一器件製造程序產生之一基板上之複數個圖案之一特性的檢驗值; 使用一非概率模型來獲得該特性之運算值; 基於該等檢驗值及該等運算值而獲得該非概率模型之一殘差之值;及 基於該殘差之該等值而獲得該殘差之一分佈之一屬性。
  13. 如請求項12之方法,其中該特性係選自以下各項中之一或多者:相對於該基板之一位置、相對於該基板上之一或多個其他圖案的一位置、一幾何大小、一幾何形狀、一隨機效應之一量度,及/或選自前述各者之任何組合。
  14. 如請求項12之方法,其中獲得該殘差之該等值包含:獲得該等運算值與該等檢驗值之間的差。
  15. 一種電腦程式產品,其包含上面記錄有指令之一非暫時性電腦可讀媒體,該等指令在由一電腦系統執行時實施如請求項1或12之方法。
TW107123778A 2017-07-12 2018-07-10 缺陷預測 TWI725325B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201762531702P 2017-07-12 2017-07-12
US62/531,702 2017-07-12

Publications (2)

Publication Number Publication Date
TW201921125A true TW201921125A (zh) 2019-06-01
TWI725325B TWI725325B (zh) 2021-04-21

Family

ID=62748965

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107123778A TWI725325B (zh) 2017-07-12 2018-07-10 缺陷預測

Country Status (5)

Country Link
US (1) US11403453B2 (zh)
KR (2) KR102597444B1 (zh)
CN (1) CN110869854B (zh)
TW (1) TWI725325B (zh)
WO (1) WO2019011604A1 (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112969968A (zh) 2018-11-08 2021-06-15 Asml荷兰有限公司 基于过程变化度的空间特性对不合格的预测
WO2020176270A1 (en) * 2019-02-25 2020-09-03 Applied Materials Israel Ltd. System and method for detecting rare stochastic defects
US10990019B2 (en) * 2019-04-09 2021-04-27 Kla Corporation Stochastic reticle defect dispositioning

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5523193A (en) 1988-05-31 1996-06-04 Texas Instruments Incorporated Method and apparatus for patterning and imaging member
EP0527166B1 (de) 1990-05-02 1995-06-14 Fraunhofer-Gesellschaft Zur Förderung Der Angewandten Forschung E.V. Belichtungsvorrichtung
US5229872A (en) 1992-01-21 1993-07-20 Hughes Aircraft Company Exposure device including an electrically aligned electronic mask for micropatterning
US5808910A (en) * 1993-04-06 1998-09-15 Nikon Corporation Alignment method
EP0890136B9 (en) 1996-12-24 2003-12-10 ASML Netherlands B.V. Two-dimensionally balanced positioning device with two object holders, and lithographic device provided with such a positioning device
US7617477B2 (en) 2005-09-09 2009-11-10 Brion Technologies, Inc. Method for selecting and optimizing exposure tool using an individual mask error model
NL1036189A1 (nl) 2007-12-05 2009-06-08 Brion Tech Inc Methods and System for Lithography Process Window Simulation.
KR101766734B1 (ko) 2008-11-21 2017-08-16 에이에스엠엘 네델란즈 비.브이. 자유 소스 및 자유 마스크를 이용하는 프로세스 최적화
US8786824B2 (en) 2009-06-10 2014-07-22 Asml Netherlands B.V. Source-mask optimization in lithographic apparatus
NL2006700A (en) * 2010-06-04 2011-12-06 Asml Netherlands Bv Method and apparatus for measuring a structure on a substrate, computer program products for implementing such methods & apparatus.
WO2012138758A1 (en) * 2011-04-06 2012-10-11 Kla-Tencor Corporation Method and system for providing a quality metric for improved process control
US10502694B2 (en) * 2013-08-06 2019-12-10 Kla-Tencor Corporation Methods and apparatus for patterned wafer characterization
JP6386569B2 (ja) * 2014-02-12 2018-09-05 エーエスエムエル ネザーランズ ビー.ブイ. プロセスウィンドウを最適化する方法
CN112530828A (zh) * 2014-06-10 2021-03-19 Asml荷兰有限公司 计算机可读介质

Also Published As

Publication number Publication date
US20210150115A1 (en) 2021-05-20
KR20200015748A (ko) 2020-02-12
US11403453B2 (en) 2022-08-02
KR102597444B1 (ko) 2023-11-03
KR20220011230A (ko) 2022-01-27
TWI725325B (zh) 2021-04-21
CN110869854B (zh) 2022-06-10
WO2019011604A1 (en) 2019-01-17
CN110869854A (zh) 2020-03-06
KR102488912B1 (ko) 2023-01-17

Similar Documents

Publication Publication Date Title
US11544440B2 (en) Machine learning based inverse optical proximity correction and process model calibration
TWI590006B (zh) 用於改良微影製程的方法及電腦程式產品
TW202043938A (zh) 在圖案化程序中判斷圖案之方法
TWI620033B (zh) 判定光阻變形之方法
US10359704B2 (en) Lithography model for three-dimensional patterning device
CN112889005A (zh) 用于生成特性图案和训练机器学习模型的方法
TWI725325B (zh) 缺陷預測
CN109313391B (zh) 基于位移的重叠或对准
TWI687761B (zh) 判定層變形之方法及非暫時性電腦程式產品
TWI822578B (zh) 用於基於缺陷而判定圖案化程序之特性以減少熱點的方法
TWI702467B (zh) 用於改進抗蝕劑模型預測的系統、方法及電腦程式產品
TW202119137A (zh) 在裝置製程中的方法、非暫態電腦可讀媒體、及組態以執行該方法的系統
TWI687781B (zh) 用於減少光阻模型預測錯誤之系統及方法
WO2022268434A1 (en) Etch simulation model including a correlation between etch biases and curvatures of contours
US11614690B2 (en) Methods of tuning process models
KR20240044432A (ko) 메트롤로지 마크 및 디바이스 패턴의 수차 민감도 매칭