TW201920751A - 紊流渦旋多區前驅物氣化器 - Google Patents

紊流渦旋多區前驅物氣化器 Download PDF

Info

Publication number
TW201920751A
TW201920751A TW107132167A TW107132167A TW201920751A TW 201920751 A TW201920751 A TW 201920751A TW 107132167 A TW107132167 A TW 107132167A TW 107132167 A TW107132167 A TW 107132167A TW 201920751 A TW201920751 A TW 201920751A
Authority
TW
Taiwan
Prior art keywords
plate
flow path
gasifier
channel
vortex
Prior art date
Application number
TW107132167A
Other languages
English (en)
Inventor
詹姆士 佛瑞斯特 李
密特歇爾 拉馬爾
馬修 史考特 馬德洛
馬修 約瑟夫 魏辛格
達莫達爾 桑巴格
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW201920751A publication Critical patent/TW201920751A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4486Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by producing an aerosol and subsequent evaporation of the droplets or particles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • C23C16/45506Turbulent flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • C23C16/45508Radial flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05BSPRAYING APPARATUS; ATOMISING APPARATUS; NOZZLES
    • B05B7/00Spraying apparatus for discharge of liquids or other fluent materials from two or more sources, e.g. of liquid and air, of powder and gas
    • B05B7/16Spraying apparatus for discharge of liquids or other fluent materials from two or more sources, e.g. of liquid and air, of powder and gas incorporating means for heating or cooling the material to be sprayed
    • B05B7/1606Spraying apparatus for discharge of liquids or other fluent materials from two or more sources, e.g. of liquid and air, of powder and gas incorporating means for heating or cooling the material to be sprayed the spraying of the material involving the use of an atomising fluid, e.g. air
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05BSPRAYING APPARATUS; ATOMISING APPARATUS; NOZZLES
    • B05B7/00Spraying apparatus for discharge of liquids or other fluent materials from two or more sources, e.g. of liquid and air, of powder and gas
    • B05B7/16Spraying apparatus for discharge of liquids or other fluent materials from two or more sources, e.g. of liquid and air, of powder and gas incorporating means for heating or cooling the material to be sprayed
    • B05B7/168Spraying apparatus for discharge of liquids or other fluent materials from two or more sources, e.g. of liquid and air, of powder and gas incorporating means for heating or cooling the material to be sprayed with means for heating or cooling after mixing
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material
    • C23C16/4483Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material using a porous body
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Dispersion Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Fluid Mechanics (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

一種氣化器,用於沉積材料在化學氣相沉積設備的腔室中的半導體基板上,該氣化器包括:用以接收霧化的前驅物的第一入口、用以接收載體氣體的第二入口、與第一和第二入口流體連通且用以實現供應至第一和第二入口的霧化前驅物及載體氣體流的紊流之流動路徑。多個加熱元件包括第一加熱元件用以加熱流動路徑的第一區域,和第二加熱元件用以加熱流動路徑的第二區域。與流動路徑流體連通的出口用以輸送從霧化的前驅物產生的蒸氣。

Description

紊流渦旋多區前驅物氣化器
本發明係關於用於半導體製造製程之氣化器。
某些半導體製造製程在引入前驅物至半導體處理室之前需要將其氣化。前驅物通常以液體形式提供,因此必需有氣化器對液體前驅物進行氣化。傳統的氣化器通常藉由霧化器噴嘴噴射前驅物然後在加熱的載體氣體中加熱霧化的前驅物來氣化液體前驅物。
本說明書中描述的申請標的之一或更多實施例的細節闡述於下方之隨附圖式與實施方式中。從實施方式、圖式、及申請專利範圍,其他的特徵、態樣、及優點將變得顯而易見。注意除非特定指出係按比例繪製的圖式,隨後的圖式之相對尺寸並未按比例繪製。
在一實施例中,用於供應蒸氣至化學氣相沉積設備(半導體基板於其中進行處理)的一種氣化器,包括:第一入口,用以接收霧化的前驅物;第二入口,用以接收載體氣體;與第一和第二入口流體連通的單一渦旋流動路徑,用以實現 供應至第一和第二入口的霧化前驅物及載體氣體流的紊流;複數個加熱元件,包括用以加熱流動路徑的第一區域的第一加熱元件、及用以加熱流動路徑的第二區域的第二加熱元件;及與該流動路徑流體連通的出口,用以輸送從霧化的前驅物產生的蒸氣。流動路徑較佳是沿其整個長度具有固定的橫截面流動面積。
根據上述之實施例的一第一變化,所述流動路徑係可延伸通過堆疊板裝置,堆疊板裝置包括具有第一和第二入口在其中的頂板、具有第一加熱元件在其中的第一板、具有第二加熱元件在其中的第二板、介於第一和第二板之間的第一分隔板、及具有出口在其中的底板。
在第一變形例中,延伸通過該第一板的該流動路徑的一第一部分包括:一第一上渦旋通道,在該第一板的一上表面之中;一第一下渦旋通道,在該第一板的一下表面之中;及一第一連接通道,提供介於該第一上渦旋通道與該第一下渦旋通道之間的流體連通,該第一板附接於該頂板,使得該流動路徑的該第一部分與該第一和該第二入口呈流體連通。
在第一變形例中,延伸通過該第二板的該流動路徑的一第二部分包括:一第二上渦旋通道,在該第二板的一上表面之中;一第二下渦旋通道,在該第二板的一下表面之中;及一第二連接通道,提供介於該第二上渦旋通道與該第二下渦旋通道之間的流體連通,該第一分隔板包括位在該第一分隔板之中的一第一通道,該第一通道將在該第一板之中的該流動路徑的該第一部分連接至在該第二板之中的該流動路徑的該第二部分。
在第一變形例中,堆疊板裝置可包括:一第三板,具有一第三加熱元件於其中;及一第二分隔板,介於該第二和該第三板之間;在該第三板中的該流動路徑的一第三部分包括:一第三上渦旋通道,在該第三板的一上表面之中;一第三下渦旋通道,在該第三板的一下表面之中;及一第三連接通道,提供介於該第三上渦旋通道與該第三下渦旋通道之間流體連通,該第二分隔板包括位在該第二分隔板中的一第二通道,該第二通道將在該第二板中的該流動路徑的該第二部分連接至在該第三板中的該流動路徑的該第三部分。
在第一變形例中,O形環密封件係位於該堆疊板裝置的相鄰板之間,在該第一分隔板中的該第一通道係位於該第一分隔板的中心,且在該第二分隔板中的該第二通道係位於該第二分隔板的中心。
在第一變形例中,第一加熱元件可包括位於延伸進第一板的外周邊的水平孔中的第一對電阻加熱器,第二加熱元件可包括位於延伸進第二板的外周邊的水平孔中的第二對電阻加熱器,第一和第二板選擇性地包括溫度感測器用以監控該第一和第二板的溫度並對控制單元提供反饋,控制單元用以個別地調節流動路徑的第一和第二部分的溫度。
在堆疊板裝置包括第三板的第一變形例中,第一加熱元件可包括位於延伸進第一板的外周邊的水平孔中的第一對電阻加熱器,第二加熱元件可包括位於延伸進第二板的外周邊的水平孔中的第二對電阻加熱器,第三加熱元件可包括位於延伸進第三板的外周邊的水平孔中的第三對電阻加熱器,第一、第二和第三板包括選用性的溫度感測器用以監控第一、第二和第三板的溫度並對控制單元提供反饋,控制單元用以個別地調節流動路徑的第一、第二和第三部分的溫度。
在上述之實施例的一第二變形例中,流動路徑通過嵌入於金屬塊中的渦旋管,金屬塊包括用以加熱該流動路徑的一第一部分的一加熱元件、及用以加熱該流動路徑的一第二部分的一第二加熱元件,金屬塊包括溫度感測器用以監控流動路徑的第一和第二部分的溫度並對控制單元提供反饋,控制單元用以個別地調節流動路徑的第一和第二部分的溫度。
在堆疊板裝置包括第一和第二板的第一變形例中,第一和第二板可由金屬(例如不銹鋼或鋁合金)製成。第一和第二上渦旋通道係可加工進第一和第二板的該上表面中,第一和第二下渦旋通道可加工至第一和第二板的下表面中,第一連接通道可在第一上渦旋通道和第一下渦旋通道的最外部之間延伸,且第二連接通道可在第二上渦旋通道和第二下渦旋通道的最外部之間延伸。
在上述之實施例的第一和第二變形例中,氣化器可包括超音波噴嘴,其與第一入口流體連通。
根據一第二實施例,一種化學氣相沉積設備包括腔室和上述之氣化器,其中半導體基板係可於腔室中進行處理,其中氣化器用以將氣化的前驅物供應至腔室中以沉積材料層在被支撐在腔室中的半導體基板上。
在第二實施例的一第一變形例中,化學氣相沉積設備更包括控制單元和溫度感測器,溫度感測器用以監控流動路徑的第一和第二部分的溫度並對控制單元提供反饋,控制單元用以個別地調節流動路徑的第一和第二部分的溫度。
在上述之化學氣相沉積設備的操作期間,霧化的前驅物可在流動路徑中轉換為蒸氣並在2秒內供應至腔室。
根據一第三實施例,在一種將藉由上述之氣化器產生的蒸氣供應至化學氣相沉積設備的腔室的方法中,所述方法包括:供應霧化的液體前驅物至第一入口;供應載體氣體至第二入口;使霧化的液體前驅物和載體氣體在流動路徑中流動,並同時加熱流動路徑的第一部分至第一溫度及加熱流動路徑的第二部分至第二溫度;及使蒸氣從出口流至腔室的內部。
根據所述之第三實施例,霧化的液體前驅物可在流動路徑中被轉換為蒸氣並在沿著流動路徑行進之後的2秒內被供應至腔室,流動路徑包括在第一平面中從氣化器的中心部延伸至氣化器的外部的第一渦旋路徑、在第二平面中從氣化器的外部延伸至氣化器的中心部的第二渦旋路徑、在第三平面中從氣化器的中心部延伸至氣化器的外部的第三渦旋路徑、及在第四平面中從氣化器的外部延伸至氣化器的中心部的第四渦旋路徑。
所述方法可更包括:利用溫度感測器監控流動路徑的第一和第二部分的溫度;提供代表監控之溫度的資料給控制單元;及基於監控之溫度個別地控制流動路徑的第一和第二部分的溫度。
在上述之方法中,流動路徑較佳是沿其整個長度優選地具有固定的橫截面流動面積,且霧化的液體前驅物和載體氣體在流動路徑中經歷紊流。
在一較佳之實施例中,所述方法更包括:在腔室中支撐半導體基板;激發蒸氣為電漿狀態;及沉積材料層在半導體基板上。
本說明書中描述的發明標的之一或更多實施方式的細節闡述於下方之隨附圖式與實施方式中。注意除非特定指出係按比例繪製的圖式,隨後的圖式之相對尺寸可能未按比例繪製。
在高品質半導體晶圓之處理中,晶圓均勻度為一重要因素。在半導體處理的某些實施方式中,液體前驅物在沉積於半導體晶圓上之前可能需要蒸發或氣化。前驅物的完全蒸發可對受處理之半導體晶圓的處理均勻度有大的影響。本案發明人已確認許多市售的氣化器展現出前驅物的不完全蒸發。
應當理解,文中所用之「半導體晶圓」一詞可代表由半導體材料(如矽)所製成的晶圓,以及由一般被認為非半導體的材料(如環氧樹脂)所製成但在半導體處理期間具有半導體材料沉積於其上的晶圓。本揭露內容中所述的設備與方法可用於處理多種尺寸的半導體晶圓,包含直徑為200mm、300mm、及450mm的半導體晶圓。
這裡所述的氣化器可用以在化學氣相沉積材料在基板上的期間對半導體基板(例如,晶圓)進行處理。這種氣化器可包括霧化器噴嘴,以將液體前驅物噴射成細小的液滴霧,然後液滴霧藉由被夾帶於加熱氣體中部分或全部蒸發。這裡所述的氣化器為紊流氣化器,不僅可與適用於半導體處理的任何前驅物一起使用,還可與跟半導體製造不一定相關的液體一起使用。紊流氣化器使用單一渦旋流動通道,其可被加工至堆疊板或是作為鑄造於塊體中的一段管子,所述塊體與固定的載體氣體之紊流和超音波霧化的前驅物耦接。紊流氣化器可定制以具有可變長度並且增加於氣化器內部的滯留時間。紊流氣化器的單一流動路徑的橫截面積可改變以確保前驅物和載體氣體流速始終處於紊流狀態。一個示例為:在保持紊流時,較小的橫截面流動面積用於較低的前驅物流速。在紊流氣化器中,超音波霧化的前驅物和載體氣體以紊流狀態進入氣化器,使得在盡可能快地移動流體混合物通過氣化器時,對流體混合物發生更高的傳熱率。因此,在最高液體流速下,使用紊流氣化器在約2秒內可以達成非常快速和穩定的蒸氣產生,以獲得完全穩定的蒸氣流。相反地,傳統的氣化器需要5秒或更多的時間才能在任何流速下產生完全穩定的蒸氣流。紊流氣化器包括多個加熱區域,以確保快速控制氣化過程且使目標溫度過量最小化。
傳統的氣化器的作用方式通常是先將欲氣化的液體霧化成微細霧狀液滴,然後在氣態環境中加熱,如在經加熱的載體氣體中受到載帶。此類傳統氣化器的操作原理是:霧化將液體分成多個較小部分,其與霧化前前驅物相比具有更大的表面積對體積比,且此增加的表面積對體積比會導致剩餘的液相前驅物在經加熱的載體氣體中相對快速地蒸發。
由於此類傳統氣化器的工作方式,載體氣體必須以相當高的速度(如300 m/s)流過氣化器。由於蒸發的程度係基於經霧化的前驅物/載體氣體在氣化器之經加熱環境中的滯留時間,因此前驅物/載體氣體的流動路徑長度通常被視為所經歷的氣化程度的決定因素且液體前驅物的揮發可能需要5秒或更多時間。這帶出來一個問題:由於霧化的前驅物/載體氣體的混合物以高速流動因而快速地移動通過氣化器—雖然可藉由延長流動路徑長度而增加滯留時間,但氣化器製造商通常受限於半導體製造設備的構裝外殼,意即此類製造商通常試著最小化氣化器的尺寸,以有更多空間可用於其他設備。大多數傳統的氣化器的設計係俾使其流動路徑長度(從而影響經霧化的前驅物的滯留時間)充分長而足以在理論上氣化所有霧化的液滴(毋需太久的時間);由於上述討論的構裝限制,一般而言無法將此些流動路徑製造得更長。
然而,在決定此類流動路徑長度時,此類設計通常仰賴平均液滴尺寸。由於在實際應用中某些液滴較大而某些液滴較小,所以較小尺寸的液滴仍將完全蒸發但較大尺寸的液滴通常會在尚未完全蒸發前便離開了此類氣化器。讓液滴在完全被氣化前便離開氣化器可能會導致晶圓因傳統氣化器所造成的此類不完全的前驅物氣化而產生不可接受的缺陷量。隨著半導體製造技術的持續進步,對於殘餘物、未蒸發的液滴所留下的缺陷數目有更少的容忍度。
應理解,文中所用之「處理流體」一詞可代表液體、氣體、或適用於基板處理的液態或氣態流體的任何組合,包括前驅物以及載體氣體。本文可另外根據元件經設計以流動之處理流體來確定該等元件。因此,第一處理流體蓋板可經設計以流動第一處理流體。
現在參考圖1,其繪示了前驅物蒸氣產生和輸送系統100。系統100包括熱交換器102、氣化器104、兩個或更多個平行的蒸氣前驅物輸送路徑106(亦即,至少一個冗餘路徑)和腔室(如圖3所示)。每個蒸氣前驅物輸送路徑106包括過濾器110。過濾器110可包括用於溫度控制的加熱套。藉由使用閘閥112的控制模組(敘述於下面的圖3)可選擇零個、一個或多個蒸氣前驅物輸送路徑106。換向器(圖1未繪示)允許蒸氣前驅物被換向或提供至腔室。其它閥(例如,沖洗閥116及/或真空閥118)係使用於過濾器110的沖洗操作期間。僅舉例來說,當從一種類型的前驅物改變為另一種類型時,可使用沖洗(purge)操作 。
壓力計120用於監控蒸氣前驅物輸送路徑106中的壓力。控制模組(如圖3所示)監控壓力計120的輸出並產生壓力差。過濾器的乾淨/髒污狀態可基於壓力差和一或多個預定門檻值來決定。如此一來,控制模組可用於決定於一特定蒸氣前驅物輸送路徑106中的過濾器110何時需要置換。控制模組亦可取決於所供應的前驅物的類型使用兩個或多個不同的壓力差門檻值。
如果需要,藉由提供多個路徑可將蒸氣前驅物之流動從一或多個路徑非常快速地改變到一或多個其它路徑,而不是關閉系統100來改變過濾器。如此一來,前驅物蒸氣產生和輸送系統100可以提供改善的正常運作時間。這部分由於前驅物蒸氣產生和輸送系統100將蒸氣前驅物輸送路徑從具有堵塞的過濾器之路徑切換到具有乾淨的過濾器之路徑並隨後繼續運作的能力。此外,從氣化器經由熱交換器/過濾器到腔室的路徑係由過濾器、管道及/或閥加熱單元加熱。更均勻的加熱減少了系統100中顆粒的發生率。
現在參考圖2,其根據本揭露內容繪示一示例的氣化器250。氣化器250包括霧化器252和熱交換器/過濾器256。僅作為示例,熱交換器/過濾器256可以由MSP公司製造的Turbo氣化器實現,然而可使用其它合適的熱交換器/過濾器。限流孔258可設置在霧化器252的入口260。載體氣體流過限流孔258並以高線性速度離開。僅作為示例,載體氣體可具有大於300尺/秒的線性速度,然而可使用其它速度。霧化器252的一或多個液體前驅物入口262經由液體流量控制器(Liquid Flow Controller,LFC)264和閥266接收液體前驅物。
高速氣體在液體前驅物上的阻力提供了霧化機制。高速載體氣體將動量轉移給液體前驅物,這導致了剪切效應(Shearing Effect)。剪切效應破壞了液體前驅物的表面張力並產生液滴。僅舉例來說,液滴可以具有1~5微米的直徑,然而可使用其它更大或更小的液滴尺寸。
熱中斷或絕緣器270可提供於霧化器252和熱交換器/過濾器256之間。熱中斷或絕緣器270解耦熱交換器/過濾器256和霧化器252的熱特性。熱交換器/過濾器256加熱液滴使得液滴氣化。熱交換器/過濾器256包括帶式加熱器272(僅作為示例)。熱交換器/過濾器256的過濾器(未繪示)可設置成接收和過濾熱交換器/過濾器256的輸出。過濾器具有一或多個讓蒸氣前驅物通過的膜。過濾器的輸出可形成噴嘴274。額外的加熱器(未繪示)係可提供以加熱於過濾器出口之氣化的前驅物。
熱交換器/過濾器256可包括多個通道,其加熱和再循環液滴以形成蒸氣前驅物。一些通道可再循環回來熱交換器/過濾器256的入口280附近。其它通道可直接朝向過濾器。細小液滴在抵達過濾器之前被轉化為蒸氣。
現在參考圖3,其繪示了示例性的CVD系統。薄膜的沉積優選地在電漿增強化學氣相沉積(Plasma Enhanced Chemical Vapor Deposition,PECVD)系統中實施。 PECVD系統可採用許多不同的形式。 PECVD系統包括一或多個腔室或「反應器」(有時包括多個站),其容納一或多個晶圓並且適用於晶圓處理。每個腔室可容納一或多個晶圓以進行處理。一或多個腔室將晶圓維持在限定的一或多個位置(在所述位置內可移動或不移動,例如旋轉、振動或其它攪動)。在製程期間,正經歷沉積的晶圓可在一反應室內從一工作站轉移到另一工作站。當然,薄膜沉積可完全在單一工作站進行,或者薄膜的任何部分可在任何數量的工作站上進行沉積。
在晶圓處理期間,每個晶圓係藉由基座、晶圓卡盤及/或其它晶圓固持裝置固持在位置上。對於某些操作,所述裝置可包括加熱器(例如加熱板)以加熱晶圓。
舉例來說,圖3中的反應器500包括處理室524,其包容反應器的其它元件並容納電漿。電漿可由電容器類型系統來產生,該電容器類型系統包括與接地加熱器塊520一起運作的噴淋頭514。高頻射頻產生器502(連接於匹配網路506)和低頻射頻產生器504係連接到噴淋頭514。由匹配網路506提供的功率和頻率係足以從處理氣體中產生電漿。
在反應器內,晶圓基座518支撐基板516。基座518通常包括卡盤、叉或升降銷,以在沉積及/或電漿處理反應期間和之間固持和轉移基板。卡盤可以是靜電卡盤、機械卡盤或各種其它類型的卡盤。
處理氣體係經由入口512引入。多個氣體源管線510連接到歧管508。氣體可預先混合或不預先混合。採用適當的閥調和質量流量控制機制以確保在製程的沉積和電漿處理階段期間輸送正確的氣體。
處理氣體經由出口522離開處理室524。真空泵526(例如,一級或二級機械乾式泵及/或渦輪分子泵)將處理氣體抽出並藉由閉迴路控制流量限制裝置(例如節流閥或擺動閥)在反應器內維持適當的低壓。
在每次沉積及/或沉積後電漿退火處理之後,可對晶圓進行分度(index)直到完成所有所需的沉積和處理,或者在對晶圓進行分度之前可在單一工作站進行多次沉積和處理。
用於控制圖1~3之系統的控制模組可包括處理器、記憶體及一或多個介面。控制模組可部分地基於感測值而用於控制系統中的裝置。僅作為示例,控制模組基於感測值和其它控制參數可控制閥、過濾加熱器、泵和其它裝置中的一或多個。控制模組可從壓力計、流量計、溫度感測器及/或其它感測器(僅作為示例)接收感測值。控制模組亦可用於控制於前驅物輸送和薄膜沉積期間的製程條件。控制模組通常包括一或多個記憶體裝置和一或多個處理器。
控制模組可控制前驅物輸送系統和沈積設備的活動。控制模組可執行電腦程式,其包括用於控制製程時序、輸送系統溫度、橫跨過濾器的壓力差、閥位置、氣體的混合物、腔室壓力、腔室溫度、晶圓溫度、射頻功率位準、晶圓卡盤或基座位置及特定製程的其它參數的指令集。控制模組亦可監控壓力差並自動地將蒸氣前驅物輸送從一或多個路徑切換到一或多個其它路徑。在一些實施例中可使用儲存在與控制模組相關聯的記憶體裝置上的其它電腦程式。
一般來說,將有與控制模組相關聯的使用者介面。使用者介面可包括顯示器(例如顯示螢幕及/或設備及/或製程條件的圖形軟體顯示),以及使用者輸入裝置,例如指向裝置、鍵盤、觸控螢幕、麥克風等。
用於控制在製程順序中的前驅物輸送、沉積和其它處理的電腦程式可用任何傳統的電腦可讀編程語言編寫:例如,組合語言、C語言,C ++,Pascal、Fortran或其它語言。編譯的目標代碼或腳本係由處理器進行以執行程式中標識的任務。
控制模組參數係相關於製程條件,例如,過濾器壓力差、製程氣體成分和流速、溫度、壓力、電漿條件(例如射頻功率位準和低頻射頻頻率)、冷卻氣體壓力和腔室壁溫度。
系統軟體係可以許多不同方式設計或配置。舉例來說,各種腔室元件子程式或控制物件可被編寫以控制執行發明的沉積製程所必需的腔室元件的操作。用於所述目的之程式或程式片段的示例包括基板定位程式碼、製程氣體控制程式碼、壓力控制程式碼、加熱器控制程式碼、及電漿控制程式碼。
基板定位程式可包括用於控制腔室元件的程式碼,腔室元件用於將基板裝載到基座或卡盤上,並且控制基板和腔室的其它部分(例如氣體入口及/或目標)之間的間隔。製程氣體控制程式可包括程式碼,用於控制氣體成分和流速,及可選擇性地用於在沉積之前將氣體流入腔室中以便穩定腔室中的壓力。過濾器監控程式包括用於比較測量的差值與預定值的程式碼及/或用於切換路徑的程式碼。壓力控制程式可包括用於藉由調節(例如腔室的排氣系統中的節流閥)來控制腔室中的壓力的程式碼。加熱器控制程式可包括用於控制給加熱單元之電流的程式碼,用於加熱前驅物輸送系統中的元件、基板及/或系統的其它部分。選擇性地,加熱器控制程式可控制熱傳氣體(例如氦)到晶圓卡盤的輸送。
在沉積期間可被監控的感測器的示例包括但不限於,質量流量控制模組、壓力感測器(例如壓力計)、以及位於輸送系統、基座或卡盤中的熱電偶(例如溫度感測器)。適當編程的反饋和控制演算法可與來自這些感測器的資料一起使用以維持期望的製程條件。前面描述了在單一或多腔室半導體處理工具中的本發明之實施例的實施方式。
圖4繪示了包括堆疊板裝置的氣化器600的示例,堆疊板裝置包括頂板604、第一板606、第二板608、第三板610和底板612。液體前驅物進入前驅物入口614並藉由超音波噴嘴616霧化。載體氣體(例如氮氣或氦氣)進入氣體入口618並經由通過堆疊板裝置延伸的單一渦旋流動路徑632移動且經由蒸氣出口620離開。第一板606、第二板608、第三板610和底板612中的每一者可包括加熱器622a~d,例如位於每個板中的一對加熱元件。舉例來說,第一板606可包括一對插裝加熱器622a,位在延伸到第一板606之外周邊的平行且間隔開的孔之中。類似地,第二板608可包括位於延伸到第二板608之外周邊的孔中的一對加熱元件622b,第三板610可包括位於延伸到第三板610之外周邊的孔中的一對加熱元件622c,且底板612可包括位於延伸到底板612之外周邊的孔612b中的一對加熱元件622d。同樣地,頂板604可包括位於延伸到頂板604之外周邊的孔中的一對加熱元件622e。
為了監控頂板604、第一板606、第二板608、第三板610和底板612中的每一者的溫度,溫度感測器可安裝在該等板上。舉例來說,第一板606可包括:於延伸到第一板606之外周邊的孔中的溫度感測器624a。類似地,第二板608可包括:於延伸到第二板608之外周邊的孔中的溫度感測器624b,第三板610可包括:於延伸到第三板610之外周邊的孔中的溫度感測器624c,且底板612可包括:於延伸到底板612之外周邊的孔中的溫度感測器624d。類似地,頂板604可包括:於延伸到頂板604之外周邊的孔中的溫度感測器。
過溫開關626a~d亦可結合到堆疊板裝置中以控制板的加熱。在堆疊板裝置中,控制單元CU可監控溫度感測器624a~d並各別地調節每個加熱區域中的溫度。
圖5繪示了圖4所示的氣化器600的分解圖。如圖所示,超音波噴嘴616可藉由夾具628安裝到頂板604的上延伸部604a,且頂板604和夾具628之間具有O形環密封件630。第一板606可具有加工到第一板606的上表面中的上渦旋通道632a和加工到第一板606的下表面中的下渦旋通道(未繪示),渦旋通道係藉由直通通道(未繪示)連接以形成通過第一板606的流動路徑。第一板606與第二板608可藉由具有通道634a在其中的分隔板634分開,以將第一板606中的流動路徑連接至第二板608中的流動路徑。第二板608與第三板610可藉由另一個分隔板636分開,分隔板636具有將第二板608中的流動路徑連接至第三板610中的流動路徑的通道636a。利用堆疊板裝置,進入入口618的載體氣體係與由超音波噴嘴616提供的霧化前驅物液體混合,且此混合物流過由渦旋通道和連接通道形成的流動路徑632,使得此混合物被加熱並形成經由蒸氣出口620離開的蒸氣。舉例來說,流動路徑632包括在第一平面中從氣化器的中心部延伸到氣化器的外部的第一渦旋路徑632a,在第二平面中從氣化器的外部延伸到氣化器的中心部的第二渦旋路徑632b,在第三平面中從氣化器的中心部延伸到氣化器的外部的第三渦旋路徑632d,及在第四平面中從氣化器的外部延伸到氣化器的中心部的第四渦旋路徑。當流經氣化器時,混合物可在獨立控制的加熱區域中加熱。舉例來說,此混合物可在包括第一和第二渦旋路徑的第一加熱區中加熱到第一溫度,並在包括第三和第四渦旋路徑的第二加熱區中加熱到第二溫度。第一和第二溫度可以是相同或不同的溫度,且加熱區中的溫度可單獨控制。
圖6繪示了圖4所示的氣化器600的剖視圖。如圖所示,由超音波噴嘴616供應的霧化液體前驅物進入混合室638,在那裡它與由入口618供應的載體氣體混合,此混合物進入混合室638的錐形部分638a並進入堆疊板裝置的流動路徑632。
流動路徑632包括加工到第一板606的上表面中的上渦旋通道632a,加工到第一板606的下表面中的下渦旋通道632b,及在第一板606中介於上渦旋通道632a的外端和下渦旋通道632b的外端之間垂直延伸的連接通道632c。下渦旋通道632b的內端連接到分隔板634中的中心通道634a。
流動路徑632包括加工到第二板608的上表面中的上渦旋通道632d,加工到第二板608的下表面中的下渦旋通道632e,及在第二板608中介於上渦旋通道632d的外端和下渦旋通道632e的外端之間垂直延伸的連接通道632f。下渦旋通道632e的內端連接到分隔板636中的中心通道636a。
流動路徑632包括加工到第三板610的上表面中的上渦旋通道632g,加工到第三板610的下表面中的下渦旋通道632h,及在第三板610中介於上渦旋通道632g的外端和下渦旋通道632h的外端之間垂直延伸的連接通道632i。下渦旋通道632h的內端連接到底板612中的中心通道612a。
頂板604、第一板606、第二板608、第三板610和底板612可以任何合適的方式固持在一起。舉例來說,此等板可使用合適的緊固件(例如螺栓637)夾緊在一起,且O形環639位於相鄰板的外周邊附近的相對凹槽中,以將此等板密封在一起。選擇性地,板的外周邊可加以邊緣焊接以將此等板密封在一起。在板的中心不需要添加用於中心開口的密封件,這簡化了堆疊板裝置的製造。
圖7繪示了流動路徑640的細節,該流動路徑640藉由可嵌入於主體(例如具有沿著流動路徑640之長度而設置的加熱區的金屬主體644)中的渦旋管642所形成。類似於堆疊板裝置的實施例,流動路徑為單一流動路徑,其中流動路徑的不同部分可藉由多個加熱器加熱,多個加熱器係各自控制以在流動路徑的各個區域中提供期望的溫度。作為示例,流動路徑可由鑄造在鋁塊中的不銹鋼管提供。與堆疊板裝置相比,此類的裝置涉及更少的密封件和更少的加工零件。考慮到用於產生輸送到化學氣相沉積室之蒸氣的特定霧化液體前驅物和載體氣體,管的直徑和長度係可選擇以獲得期望之蒸氣流的紊流。渦旋管可被塑形以提供多個加熱區,例如藉由將渦旋管的一或多個環圈設置得更靠近在一起。如圖7所示,環圈可被設置成提供三個加熱區646a~c,其中每個加熱區具有渦旋管642的兩個環圈。在將渦旋管642鑄造在金屬主體644(如鋁塊)中之後,一對筒式加熱器(未繪示)可放置在位於各個加熱區646a~c的孔中。如在堆疊板裝置中,每個加熱區可包括溫度感測器且一控制單元可監控溫度感測器和個別地調節每個加熱區的溫度。
在某些實施方式中,氣化器的材料可具有高導熱率,例如高於100W /(m * K)的導熱率。合適的第一材料的示例包括碳化矽(例如化學氣相沉積(CVD)碳化矽)、鋁、銅、鉬、鎳、鉑、鎢。在其它實施方式中,堆疊板裝置可由一種材料製成且第二材料的塗層襯裡於流動路徑。在上述的實施方式中,氣化器外部的材料可不與使用在氣化器中的任何製程流體起化學反應。堆疊板裝置的板可藉由任何合適的方式(例如夾具、螺釘或其它附接機構)附接在一起。在一示例中,堆疊板可由焊接或螺栓連接在一起的不銹鋼或鋁板製成。在氣化器的運作期間,加熱區可以將流動路徑加熱到合適的溫度範圍,例如約150℃至約200℃。
上述紊流氣化器可比傳統的氣化器更快地提供穩定的蒸氣流。此外,多個溫度控制區域可提供更好的蒸氣溫度控制並避免過熱問題。另一個優點是紊流氣化器不需要用來將蒸氣從氣化器排出的蒸氣過濾器。相反地,PECVD設備通常包括過濾器位於氣化器的下游以確保沒有液滴被輸送到處理室。
亦將理解,除非特定描述實施例之任何者中的特徵部係明確地標識為彼此不相容,或者周圍的上下文意味著其係相互排除且不可輕易地以互補性及/或支援性的觀念而結合,否則本揭露內容的總體考量並展望該等互補性實施例之具體特徵部可進行選擇性地組合,以提供一或更多廣泛的、但稍微不同的技術解決方案。吾人將因此進一步察知,以上描述內容已僅以例示為目的提出,並且可在本揭示內容的範疇內做出細節修改。
100‧‧‧前驅物蒸氣產生和輸送系統
102‧‧‧熱交換器
104‧‧‧氣化器
106‧‧‧蒸氣前驅物輸送路徑
110‧‧‧過濾器
112‧‧‧閘閥
116‧‧‧沖洗閥
118‧‧‧真空閥
120‧‧‧壓力計
250‧‧‧氣化器
252‧‧‧霧化器
256‧‧‧熱交換器/過濾器
258‧‧‧限流孔
260‧‧‧入口
262‧‧‧液體前驅物入口
264‧‧‧液體流量控制器
266‧‧‧閥
270‧‧‧熱中斷或絕緣器
272‧‧‧帶式加熱器
274‧‧‧噴嘴
280‧‧‧入口
500‧‧‧反應器
502‧‧‧高頻射頻產生器
504‧‧‧低頻射頻產生器
506‧‧‧匹配網路
508‧‧‧歧管
510‧‧‧氣體源管線
512‧‧‧入口
514‧‧‧噴淋頭
516‧‧‧基板
518‧‧‧基座
520‧‧‧接地加熱器塊
522‧‧‧出口
524‧‧‧處理室
526‧‧‧真空泵
600‧‧‧氣化器
604‧‧‧頂板
604a‧‧‧上延伸部
606‧‧‧第一板
608‧‧‧第二板
610‧‧‧第三板
612‧‧‧底板
612b‧‧‧孔
614‧‧‧前驅物入口
616‧‧‧超音波噴嘴
618‧‧‧入口
620‧‧‧蒸氣出口
622a~e‧‧‧加熱器(加熱元件)
624a~d‧‧‧溫度感測器
626a~d‧‧‧過溫開關
628‧‧‧夾具
630‧‧‧O形環密封件
632‧‧‧流動路徑
632a、632b、632c、632d、632e、632f‧‧‧通道(路徑)
632g、632h、632i‧‧‧通道
634、636‧‧‧分隔板
612a、634a、636a‧‧‧通道
637‧‧‧螺栓
638‧‧‧混合室
638a‧‧‧錐形部
639‧‧‧O形環
640‧‧‧流動路徑
642‧‧‧渦旋管
644‧‧‧金屬主體
646a~c‧‧‧加熱區
CU‧‧‧控制單元
圖1繪示化學氣相沉積設備的氣體供應部的剖視圖,其中包括氣化器;
圖2繪示圖1所示的氣化器的實施態樣;
圖3繪示包括腔室的化學氣相沉積設備,其中半導體晶圓係使用圖1所示的氣化器於腔室中進行處理。
圖4繪示具有堆疊板裝置的氣化器的一示例;
圖5繪示圖4所示的氣化器的分解圖;
圖6繪示圖4所示的氣化器的剖視圖;
圖7繪示可用於具有嵌入於金屬主體中的管狀流動路徑的氣化器中的渦旋流動路徑之示例。

Claims (20)

  1. 一種氣化器,用於供應蒸氣至一化學氣相沉積設備,一半導體基板係於該化學氣相沉積設備中進行處理,該氣化器包括:一第一入口,用以接收一霧化的前驅物;一第二入口,用以接收載體氣體;一單一渦旋流動路徑,與該第一和該第二入口流體連通,且用以實現對該第一和該第二入口所供應的霧化前驅物與載體氣體流的紊流;複數個加熱元件,包括: 一第一加熱元件,用以加熱該流動路徑的一第一區域;及 一第二加熱元件,用以加熱該流動路徑的一第二區域;及一出口,與該流動路徑呈流體連通,並用以輸送從該霧化的前驅物產生的蒸氣。
  2. 如請求項1之氣化器,其中該流動路徑延伸通過一堆疊板裝置,該堆疊板裝置包括:一頂板,具有該第一和該第二入口於其中;一第一板,具有該第一加熱元件於其中;一第二板,具有該第二加熱元件於其中;一第一分隔板,介於該第一和該第二板之間;及一底板,具有該出口於其中。
  3. 如請求項2之氣化器,其中延伸通過該第一板的該流動路徑的一第一部分包括:一第一上渦旋通道,在該第一板的一上表面之中;一第一下渦旋通道,在該第一板的一下表面之中;及一第一連接通道,提供介於該第一上渦旋通道與該第一下渦旋通道之間的流體連通,該第一板附接於該頂板,使得該流動路徑的該第一部分與該第一和該第二入口呈流體連通。
  4. 如請求項3之氣化器,其中延伸通過該第二板的該流動路徑的一第二部分包括:一第二上渦旋通道,在該第二板的一上表面之中;一第二下渦旋通道,在該第二板的一下表面之中;及一第二連接通道,提供介於該第二上渦旋通道與該第二下渦旋通道之間的流體連通,該第一分隔板包括位在該第一分隔板之中的一第一通道,該第一通道將在該第一板之中的該流動路徑的該第一部分連接至在該第二板之中的該流動路徑的該第二部分。
  5. 如請求項4之氣化器,更包括:一第三板,具有在該第三板中的一第三加熱元件;及一第二分隔板,介於該第二和該第三板之間;在該第三板中的該流動路徑的一第三部分包括:一第三上渦旋通道,在該第三板的一上表面之中;一第三下渦旋通道,在該第三板的一下表面之中;及一第三連接通道,提供介於該第三上渦旋通道與該第三下渦旋通道之間流體連通,該第二分隔板包括位在該第二分隔板中的一第二通道,該第二通道將在該第二板中的該流動路徑的該第二部分連接至在該第三板中的該流動路徑的該第三部分。
  6. 如請求項5之氣化器,其中O形環密封件係位於該堆疊板裝置的相鄰板之間,在該第一分隔板中的該第一通道係位於該第一分隔板的中心,且在該第二分隔板中的該第二通道係位於該第二分隔板的中心。
  7. 如請求項4之氣化器,其中該第一加熱元件包括一第一對電阻加熱器,位於延伸進該第一板的一外周邊的水平孔中;該第二加熱元件包括一第二對電阻加熱器,位於延伸進該第二板的一外周邊的水平孔中;該第一和該第二板包括溫度感測器用以監控該第一和該第二板的溫度並對一控制單元提供反饋,該控制單元用以個別地調節該流動路徑的該第一和該第二部分的溫度。
  8. 如請求項5之氣化器,該第一加熱元件包括一第一對電阻加熱器,位於延伸進該第一板的一外周邊的水平孔中;該第二加熱元件包括一第二對電阻加熱器,位於延伸進該第二板的一外周邊的水平孔中;該第三加熱元件包括一第三對電阻加熱器,位於延伸進該第三板的一外周邊的水平孔中,該第一、該第二和該第三板包括溫度感測器用以監控該第一、該第二和該第三板的溫度並對一控制單元提供反饋,該控制單元用以個別地調節該流動路徑的該第一、該第二和該第三部分的溫度。
  9. 如請求項1之氣化器,其中該流動路徑通過嵌入於一金屬塊中的一渦旋管,該金屬塊包括用以加熱該流動路徑的一第一部分的一加熱元件、及用以加熱該流動路徑的一第二部分的一第二加熱元件,該金屬塊包括溫度感測器用以監控該流動路徑的該第一和該第二部分的溫度並對一控制單元提供反饋,該控制單元用以個別地調節該流動路徑的該第一和該第二部分的溫度。
  10. 如請求項1之氣化器,其中該流動路徑沿其整個長度具有一固定的橫截面流動面積。
  11. 如請求項4之氣化器,其中該第一和該第二上渦旋通道係加工至該第一和該第二板的上表面中,該第一和該第二下渦旋通道係加工至該第一和該第二板的下表面中,該第一連接通道在該第一上渦旋通道和該第一下渦旋通道的最外部之間延伸,且該第二連接通道在該第二上渦旋通道和該第二下渦旋通道的最外部之間延伸。
  12. 如請求項1之氣化器,更包括一超音波噴嘴,其與該第一入口呈流體連通。
  13. 一種化學氣相沉積設備,包括:一腔室、及請求項12的氣化器,其中一半導體基板可於該腔室中進行處理,該氣化器用以將氣化的前驅物供應至該腔室中以沉積一材料層在被支撐在該腔室中的一半導體基板上。
  14. 如請求項13之化學氣相沉積設備,更包括一控制單元和溫度感測器,該等溫度感測器用以監控該流動路徑的一第一和一第二部分的溫度並對該控制單元提供反饋,該控制單元用以個別地調節該流動路徑的該第一和該第二部分的溫度。
  15. 如請求項14之化學氣相沉積設備,其中該霧化的前驅物係在該流動路徑中轉換為蒸氣並在2秒內供應至該腔室。
  16. 一種蒸氣供應方法,將藉由請求項1之氣化器產生的蒸氣供應至一化學氣相沉積設備的一腔室,該方法包括:供應一霧化的液體前驅物至該第一入口;供應一載體氣體至該第二入口;使該霧化的液體前驅物和該載體氣體在該流動路徑中流動,同時加熱該流動路徑的一第一部分至一第一溫度及加熱該流動路徑的一第二部分至一第二溫度;及使蒸氣從該出口流至該腔室的內部。
  17. 如請求項16之蒸氣供應方法,其中該霧化的液體前驅物和該載體氣體在該流動路徑中被轉換成蒸氣並在沿著該流動路徑行進之後的2秒內被供應至該腔室,該流動路徑包括在一第一平面中從該氣化器的一中心部延伸至該氣化器的一外部的一第一渦旋路徑、在一第二平面中從該氣化器的外部延伸至該氣化器的中心部的一第二渦旋路徑、在一第三平面中從該氣化器的中心部延伸至該氣化器的外部的一第三渦旋路徑,及在一第四平面中從該氣化器的外部延伸至該氣化器的中心部的一第四渦旋路徑。
  18. 如請求項17之蒸氣供應方法,更包括:利用溫度感測器監控該流動路徑的該第一和該第二部分的溫度;提供代表監控之溫度的資料給一控制單元;及基於該監控之溫度個別地控制該流動路徑的該第一和該第二部分的溫度。
  19. 如請求項16之蒸氣供應方法,其中該流動路徑沿其整個長度具有一固定的橫截面流動面積,且該霧化的液體前驅物和該載體氣體在該流動路徑中經歷紊流。
  20. 如請求項16之蒸氣供應方法,更包括:在該腔室中支撐一半導體基板;激發該蒸氣為一電漿狀態;及沉積一材料層在該半導體基板上。
TW107132167A 2017-09-14 2018-09-13 紊流渦旋多區前驅物氣化器 TW201920751A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/704,151 US10147597B1 (en) 2017-09-14 2017-09-14 Turbulent flow spiral multi-zone precursor vaporizer
US15/704,151 2017-09-14

Publications (1)

Publication Number Publication Date
TW201920751A true TW201920751A (zh) 2019-06-01

Family

ID=64452023

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107132167A TW201920751A (zh) 2017-09-14 2018-09-13 紊流渦旋多區前驅物氣化器

Country Status (5)

Country Link
US (1) US10147597B1 (zh)
KR (1) KR20200042961A (zh)
CN (1) CN111065760B (zh)
TW (1) TW201920751A (zh)
WO (1) WO2019055366A1 (zh)

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10982319B2 (en) 2015-08-21 2021-04-20 Flisom Ag Homogeneous linear evaporation source
TWI624554B (zh) * 2015-08-21 2018-05-21 弗里松股份有限公司 蒸發源
US10662527B2 (en) 2016-06-01 2020-05-26 Asm Ip Holding B.V. Manifolds for uniform vapor deposition
JP6891018B2 (ja) * 2017-03-27 2021-06-18 株式会社Kokusai Electric 基板処理装置、気化システム及びミストフィルタ並びに半導体装置の製造方法
US11492701B2 (en) 2019-03-19 2022-11-08 Asm Ip Holding B.V. Reactor manifolds
TWI691669B (zh) * 2019-04-10 2020-04-21 旺矽科技股份有限公司 用於溫度控制系統之串接件及遮蓋件總成
CN113692641A (zh) * 2019-04-17 2021-11-23 株式会社威尔康 气化器和其制造方法
KR20230037057A (ko) 2019-08-16 2023-03-15 램 리써치 코포레이션 웨이퍼 내에서 차동 보우를 보상하기 위한 공간적으로 튜닝 가능한 증착
KR20210048408A (ko) 2019-10-22 2021-05-03 에이에스엠 아이피 홀딩 비.브이. 반도체 증착 반응기 매니폴드
TW202143368A (zh) * 2020-01-07 2021-11-16 日商東京威力科創股份有限公司 水蒸氣處理裝置及水蒸氣處理方法、基板處理系統、以及乾蝕刻方法
WO2023220302A1 (en) * 2022-05-13 2023-11-16 Lam Research Corporation Multi-zone gas distribution for asymmetric wafer bow compensation

Family Cites Families (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4255646A (en) 1978-03-03 1981-03-10 Sam Dick Industries, Inc. Electric liquefied petroleum gas vaporizer
EP0958401B1 (en) * 1996-06-28 2004-09-08 Lam Research Corporation Apparatus and method for high density plasma chemical vapor deposition or etching
US5835677A (en) 1996-10-03 1998-11-10 Emcore Corporation Liquid vaporizer system and method
US6409839B1 (en) 1997-06-02 2002-06-25 Msp Corporation Method and apparatus for vapor generation and film deposition
WO1999004061A1 (en) 1997-07-18 1999-01-28 Advanced Technology Materials, Inc. Liquid delivery system comprising upstream pressure control means
US6179277B1 (en) 1998-02-27 2001-01-30 Applied Materials, Inc. Liquid vaporizer systems and methods for their use
US6245151B1 (en) 1998-07-17 2001-06-12 Advanced Technology Materials, Inc. Liquid delivery system comprising upstream pressure control means
US6210485B1 (en) 1998-07-21 2001-04-03 Applied Materials, Inc. Chemical vapor deposition vaporizer
US6548112B1 (en) * 1999-11-18 2003-04-15 Tokyo Electron Limited Apparatus and method for delivery of precursor vapor from low vapor pressure liquid sources to a CVD chamber
FI117980B (fi) 2000-04-14 2007-05-15 Asm Int Menetelmä ohutkalvon kasvattamiseksi alustalle
US6303501B1 (en) 2000-04-17 2001-10-16 Applied Materials, Inc. Gas mixing apparatus and method
AU2002242304A1 (en) 2001-02-28 2002-09-12 Porter Instrument Company, Inc. Manifolded fluid delivery system
US20080102203A1 (en) 2001-10-26 2008-05-01 Dien-Yeh Wu Vortex chamber lids for atomic layer deposition
AU2003241915A1 (en) * 2002-05-29 2003-12-12 Kabushiki Kaisha Watanabe Shoko Vaporizer, various apparatuses including the same and method of vaporization
JP2005101454A (ja) 2003-09-26 2005-04-14 Watanabe Shoko:Kk 気化器
US20050147749A1 (en) 2004-01-05 2005-07-07 Msp Corporation High-performance vaporizer for liquid-precursor and multi-liquid-precursor vaporization in semiconductor thin film deposition
CN101522943B (zh) 2006-10-10 2013-04-24 Asm美国公司 前体输送系统
KR101502415B1 (ko) 2008-09-12 2015-03-13 엠 에스피 코포레이션 액체 전구물질 분무 방법 및 장치
US8628618B2 (en) 2009-09-29 2014-01-14 Novellus Systems Inc. Precursor vapor generation and delivery system with filters and filter monitoring system
US8554064B1 (en) 2010-12-27 2013-10-08 Msp Corporation Method and apparatus for generating vapor at high rates
US9574268B1 (en) 2011-10-28 2017-02-21 Asm America, Inc. Pulsed valve manifold for atomic layer deposition
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9089800B2 (en) 2012-02-03 2015-07-28 Msp Corporation Method and apparatus for vapor and gas filtration
US8985152B2 (en) 2012-06-15 2015-03-24 Novellus Systems, Inc. Point of use valve manifold for semiconductor fabrication equipment
US8925588B2 (en) 2012-08-17 2015-01-06 Novellus Systems, Inc. Flow balancing in gas distribution networks
US8940646B1 (en) 2013-07-12 2015-01-27 Lam Research Corporation Sequential precursor dosing in an ALD multi-station/batch reactor
US9523151B2 (en) 2014-02-21 2016-12-20 Tokyo Electron Limited Vaporizer unit with open cell core and method of operating
WO2015164029A1 (en) * 2014-04-21 2015-10-29 Entegris, Inc. Solid vaporizer
US10107490B2 (en) * 2014-06-30 2018-10-23 Lam Research Corporation Configurable liquid precursor vaporizer
CN104457392B (zh) 2014-09-01 2017-07-04 无锡特莱姆气体设备有限公司 一种具有扰流功能的汽化器
US10407771B2 (en) 2014-10-06 2019-09-10 Applied Materials, Inc. Atomic layer deposition chamber with thermal lid
US9631276B2 (en) 2014-11-26 2017-04-25 Lam Research Corporation Systems and methods enabling low defect processing via controlled separation and delivery of chemicals during atomic layer deposition
US9982341B2 (en) 2015-01-30 2018-05-29 Lam Research Corporation Modular vaporizer
US20160240419A1 (en) * 2015-02-13 2016-08-18 Eastman Kodak Company Atomic-layer deposition substrate
US11384432B2 (en) 2015-04-22 2022-07-12 Applied Materials, Inc. Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
US9797593B2 (en) 2015-05-11 2017-10-24 Msp Corporation Apparatus and method for vapor generation and film deposition
US10957561B2 (en) 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system

Also Published As

Publication number Publication date
CN111065760B (zh) 2023-01-24
CN111065760A (zh) 2020-04-24
US10147597B1 (en) 2018-12-04
WO2019055366A1 (en) 2019-03-21
KR20200042961A (ko) 2020-04-24

Similar Documents

Publication Publication Date Title
TW201920751A (zh) 紊流渦旋多區前驅物氣化器
US9637821B2 (en) Method for supplying vaporized precursor
US6548112B1 (en) Apparatus and method for delivery of precursor vapor from low vapor pressure liquid sources to a CVD chamber
US7201942B2 (en) Coating method
US9157152B2 (en) Vapor deposition system
TWI364785B (en) System and method for depositing a gaseous mixture onto a substrate surface using a showerhead apparatus
KR100272848B1 (ko) 화학증착장치
US8162298B2 (en) Method for vaporizing liquid material capable of vaporizing liquid material at low temperature and vaporizer using the same
TWI654333B (zh) 具有均勻性折流板之半導體基板處理設備
US20100006032A1 (en) Chamber components for cvd applications
JP2004530190A (ja) 流量コントローラ
TW201702418A (zh) 模組化汽化器
TWI837137B (zh) 具備擁有經冷卻面板之噴淋頭的基板處理腔室
US20100009080A1 (en) Fluidized bed evaporator
TW201843343A (zh) 基板處理系統之前驅物蒸氣供應系統中的流監視系統及方法
US20110079179A1 (en) Liquid material vaporizer and film deposition apparatus using the same
JP2021011947A (ja) 液体気化器
CN114207767A (zh) 多站半导体处理中的可独立调整流路传导性
JP2021528857A (ja) 金属酸化物膜を除去するための温度制御システムおよびその方法
JP6688290B2 (ja) 複数箇所にて供給される希釈ガス流をもつ温度制御されたガス供給管
KR20090125014A (ko) 박막 증착에서 여과 및 가스/증기 혼합 장치
US20110045182A1 (en) Substrate processing apparatus, trap device, control method for substrate processing apparatus, and control method for trap device
JP2023024402A (ja) 前駆体送達システムおよびそのための方法
JPH10183352A (ja) 蒸発器及び化学蒸着装置
KR100322411B1 (ko) 액체원료 기화장치