TW201913730A - 進行微影製程的方法 - Google Patents

進行微影製程的方法 Download PDF

Info

Publication number
TW201913730A
TW201913730A TW107131511A TW107131511A TW201913730A TW 201913730 A TW201913730 A TW 201913730A TW 107131511 A TW107131511 A TW 107131511A TW 107131511 A TW107131511 A TW 107131511A TW 201913730 A TW201913730 A TW 201913730A
Authority
TW
Taiwan
Prior art keywords
photoresist layer
post
coating material
treatment coating
layer
Prior art date
Application number
TW107131511A
Other languages
English (en)
Inventor
葛宗翰
鄭雅如
張慶裕
林進祥
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201913730A publication Critical patent/TW201913730A/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0035Multiple processes, e.g. applying a further resist layer on an already in a previously step, processed pattern or textured surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/038Macromolecular compounds which are rendered insoluble or differentially wettable
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/094Multilayer resist systems, e.g. planarising layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • G03F7/405Treatment with inorganic or organometallic reagents after imagewise removal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/266Bombardment with radiation with high-energy radiation producing ion implantation using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Organic Chemistry (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Materials For Photolithography (AREA)

Abstract

一種進行微影製程的方法被提供。此方法包括形成光阻層於基板之上,並且藉由進行曝光製程曝光光阻層的一部分,以形成曝光區域及未曝光區域。此方法包括在光阻層上進行一烘烤製程,而形成複數個孔洞於光阻層的曝光區域中。此方法亦包括移除光阻層的未曝光區域,以形成凹口於光阻層中,並且填充後處理塗佈材料於凹口及孔洞中。此方法更包括藉由進行第二顯影製程移除後處理塗佈材料的一部分,且後處理塗佈材料的其他部分殘留於光阻層的曝光區域的表面上,以形成經過圖案化的光阻層。

Description

進行微影製程的方法
本發明實施例係有關於一種微影製程,且特別有關於一種進行微影製程的方法。
半導體裝置使用於各種電子應用中,例如,個人電腦、行動電話、數位相機和其他電子設備。半導體裝置通常藉由以下方式而製造,包括在半導體基板上依序沉積絕緣或介電層、導電層及半導體層,使用微影製程圖案化上述各材料層,藉以在此半導體基板上形成電路組件及元件。通常在單一半導體晶圓上製造許多積體電路,並且藉由沿著切割線在積體電路之間進行切割,以將各個晶粒單一化。上述各個晶粒通常分別地封裝於,例如,多晶片模組中或其他類型的封裝中。
微影製程(photolithography process)廣泛用於積體電路製造,並且各種積體電路圖案被轉移到工件(workpiece),以形成積體電路裝置。由於部件尺寸持續減小,製造過程持續變得更加難以進行。因此,儘管現有的微影製程已普遍足以達成預期的目標,然而卻無法完全滿足所有需求。
本發明之一實施例提供一種進行微影製程的方法,包括:形成光阻層於基板之上;藉由進行曝光製程曝光上 述光阻層的一部分,以形成曝光區域及未曝光區域;在上述光阻層上進行烘烤製程,而形成複數個孔洞於上述光阻層的上述曝光區域中;藉由進行第一顯影製程移除上述光阻層的上述未曝光區域,以形成凹口於上述光阻層中;使用後處理塗佈材料填充上述凹口及上述孔洞,其中上述後處理塗佈材料位於上述光阻層的上述曝光區域之上;以及藉由進行第二顯影製程移除上述後處理塗佈材料的一部分,其中上述後處理塗佈材料的其他部分殘留於上述光阻層的上述曝光區域的複數個表面上,以形成經過圖案化的光阻層。
本發明之另一實施例提供一種進行微影製程的方法,包括:形成光阻層於基板之上;曝光上述光阻層的一部分,以形成第一曝光區域、第二曝光區域及位於上述第一曝光區域及上述第二曝光區域之間的未曝光區域;在上述光阻層上進行烘烤製程,而形成複數個孔洞於上述第一曝光區域及上述第二曝光區域中;形成後處理塗佈材料於上述第一曝光區域、上述第二曝光區域及上述未曝光區域之上,且位於上述孔洞中;藉由第一顯影劑移除上述後處理塗佈材料的一部分,其中上述後處理塗佈材料的其他部分殘留於上述第一曝光區域的頂表面、上述第二曝光區域的頂表面及上述未曝光區域的頂表面上;以及使用第二顯影劑移除上述未曝光區域,其中上述後處理塗佈材料的上述其他部分殘留在上述第一曝光區域的上述頂表面及上述第二曝光區域的上述頂表面上。
本發明之又一實施例提供一種進行微影製程的方法,包括:形成光阻層於基板之上,其中上述光阻層包括聚合 物及連接到上述聚合物的酸不穩定基;曝光上述光阻層的一部分,以形成曝光區域及未曝光區域;在上述光阻層上進行烘烤製程,使上述酸不穩定基從上述聚合物上被分開,而形成複數個孔洞於上述曝光區域中;形成第一後處理塗佈材料於上述曝光區域及上述未曝光區域之上且位於上述孔洞中;移除上述第一後處理塗佈材料的一部分,其中上述第一後處理塗佈材料的其他部分殘留於上述曝光區域的頂表面及上述未曝光區域的頂表面上;以及移除上述未曝光區域,其中上述第一後處理塗佈材料的上述其他部分殘留於上述曝光區域的上述頂表面上。
10‧‧‧罩幕
12‧‧‧曝光製程
14‧‧‧離子佈植製程
22‧‧‧第一鏈段
24‧‧‧第二鏈段
26‧‧‧第三鏈段
30‧‧‧聚合物基
40‧‧‧酸不穩定
50‧‧‧光酸產生劑
102‧‧‧基板
104‧‧‧材料層
105‧‧‧摻雜區域
106‧‧‧底層
106a‧‧‧經過圖案化的底層
108‧‧‧中間層
108a‧‧‧經過圖案化的中間層
110‧‧‧光阻層
110a‧‧‧曝光區域
110b‧‧‧未曝光區域
111‧‧‧凹口
112‧‧‧孔洞
120‧‧‧第一後處理塗佈材料
130‧‧‧第二後處理塗佈材料
根據以下的詳細說明並配合所附圖式做完整揭露。應注意的是,根據本產業的一般作業,圖示並未必按照比例繪製。事實上,可能任意的放大或縮小元件的尺寸,以做清楚的說明。
第1A圖到第1I圖繪示依據本發明一些實施例之進行微影製程的各個階段的剖面圖。
第2A圖繪示依據本發明一些實施例之光阻層的化學結構的示意圖。
第2B圖及2C圖繪示依據本發明一些實施例之例示性的酸不穩定基的化學結構的示意圖。
第2D圖繪示依據本發明一些實施例之當在微影製程中進行曝光製程時發生於光阻層中的反應的示意圖。
第2E圖繪示依據本發明一些實施例之光阻層的聚合物與第一後處理塗佈材料的排列的示意圖。
第3A圖到第3H圖繪示依據本發明一些實施例之進行微影製程的各個階段的剖面圖。
第3E’圖繪示依據本發明一些實施例之光阻層的曝光區域的剖面圖。
第4A圖到第4G圖繪示依據本發明一些實施例之進行微影製程的各個階段的剖面圖。
第4G’圖繪示依據本發明一些實施例之光阻層的曝光區域的剖面圖。
以下的揭露內容提供許多不同的實施例或範例以實施本案的不同部件(feature)。以下的揭露內容敘述各個構件及其排列方式的特定範例,以簡化說明。當然,這些特定的範例並非用以限定。例如,若是本說明書敘述了一第一部件形成於一第二部件之上或上方,即表示其可能包含上述第一部件與上述第二部件是直接接觸的實施例,亦可能包含了有附加部件形成於上述第一部件與上述第二部件之間,而使上述第一部件與第二部件可能未直接接觸的實施例。另外,以下揭露的不同範例可能重複使用相同的參照符號及/或標記。這些重複係為了簡化與清晰的目的,並非用以限定所討論的不同實施例及/或結構之間有特定的關係。
下文描述實施例的各種變化。藉由各種視圖與所繪示之實施例,類似的元件標號用於標示類似的元件。應可理解的是,可在進行所述的方法之前、之間或之後,提供額外的操作步驟,並且在所述的方法的其他實施例中,所述的部分步 驟可被變更順序、置換或省略。
本發明實施例提供半導體結構及其形成方法的實施例。第1A圖到第1I圖繪示依據本發明一些實施例之進行微影製程的各個階段的剖面圖。在一些實施例中,微影製程用於負顯影(negative tone development,NTD)製程。「負顯影製程」已被使用於將材料層圖案化。藉由光源將光阻層(resist layer)曝光,之後進行曝光後烘烤(post-exposure baking)。光阻層的曝光區域的組成部分的一部分被改變,並且更難以將此部分溶解在負顯影溶劑中。當光阻層被顯影時,只有光阻層的未曝光區域被洗掉。
請參照第1A圖,提供基板102。基板102可由矽或其他半導體材料所形成。在一些實施例中,基板102為晶圓。額外地且另外地,基板102可包括其他元素半導體材料,例如,鍺。在一些實施例中,基板102由化合物半導體或合金半導體所形成,例如,碳化矽(silicon carbide)、砷化鎵(gallium arsenic)、砷化銦(indium arsenide)或磷化銦(indium phosphide)、矽鍺(silicon germanium)、碳化矽鍺(silicon germanium carbide)、磷砷化鎵(gallium arsenic phosphide)或磷化鎵銦(gallium indium phosphide)。在一些實施例中,基板102包括磊晶層(epitaxial layer)。舉例而言,基板102具有覆蓋於塊材(bulk)半導體上的磊晶層。
可形成一些裝置組件於基板102上。這樣的裝置組件包括電晶體(例如,金屬氧化物半導體場效電晶體(metal oxide semiconductor field effect transistor,MOSFET)、互補式 金屬氧化物半導體(CMOS)電晶體、雙極接面電晶體(bipolar junction transistor,BJT)、高壓電晶體(high-voltage transistor)、高頻電晶體(high-frequency transistor)、P通道及/或N通道場效電晶體(PFETs/NFETs)等)、二極體及其他合適的組件。可進行各種製程以形成裝置組件,例如,沉積、蝕刻、佈植、微影、退火及其他合適的製程。
基板102可包括各種摻雜區域,例如,p型井或n型井。可使用p型摻質(例如,硼或二氟化硼(BF2))及/或n型摻質(例如,磷或砷)對摻雜區域進行摻雜。在一些其他實施例中,摻雜區域可直接形成於基板102上。
基板102亦包括隔離結構(圖中未繪示)。隔離結構用以定義並且電性隔離形成於基板102之中及/或之上的各種裝置。在一些實施例中,隔離結構包括淺溝槽隔離(shallow trench isolation,STI)結構、局部矽氧化(local oxidation of silicon,LOCOS)結構或其他合適的隔離結構。在一些實施例中,隔離結構包括氧化矽、氮化矽、氮氧化矽、摻雜氟的矽酸鹽玻璃(fluoride-doped silicate glass,FSG)或其他合適的材料。
之後,形成材料層104於基板102之上。材料層104被配置為在後續的製造過程中被圖案化或被摻雜。材料層104可以是一層或多層材料層。在一些實施例中,材料層104包括矽層、介電層及/或經過摻雜的多晶矽層。
之後,依據本發明一些實施例,形成底層106於材料層104之上,如第1B圖所繪示。底層106可以是三層光阻層(也稱為三層光阻(tri-layer photoresist))的第一層。底層106可 包括一材料,此材料是可圖案化的(patternable)及/或具有抗反射(anti-reflection)特性。在一些實施例中,底層106為底部抗反射塗佈(bottom anti-reflective coating,BARC)層。在一些實施例中,底層106包括碳主鏈聚合物(carbon backbone polymer)。在一些實施例中,底層106由無矽(silicon-free)材料所形成。在一些實施例中,藉由旋轉塗佈(spin-on coating)製程、化學氣相沉積(CVD)製程、物理氣相沉積(PVD)製程或其他合適的沉積製程形成底層106。
形成中間層(middle layer)108於底層106之上。中間層108可具有一組成成分,此組成成分能夠提供用於微影製程的抗反射特性及/或硬罩幕(hard mask)特性。此外,中間層108被設計為提供相對於底層106及光阻層110的蝕刻選擇性。在一些實施例中,中間層108由氮化矽、氮氧化矽或氧化矽所形成。
形成光阻層110於中間層108之上。光阻層110可以是正型光阻或負型光阻。在一些實施例中,光阻層110包括碳主鏈聚合物。在一些實施例中,光阻層110為化學增幅型(chemical amplified,CA)光阻。在一些實施例中,光阻層110由聚(甲基丙烯酸甲酯)(Poly(methyl methacrylate),PMMA)、聚(甲基戊二醯亞胺)(poly(methyl glutarimide),PMGI)、酚醛樹脂(phenol formaldehyde resin,DNQ/Novolac)、SU-8或其他合適的材料所形成。光阻層110更包括光酸產生劑(photo-acid generator,PAG)。當光阻層110被暴露於輻射線(例如,光)時,光酸產生劑形成少量的酸。光酸產生劑可具有一濃度,其介於 光阻層110的重量的1%到30%之間的範圍。
之後,依據本發明一些實施例,形成罩幕10於光阻層110之上,且在光阻層110上進行曝光製程12,如第1C圖所繪示。如此一來,形成曝光區域(exposed region)110a及未曝光區域(unexposed region)110b。
在一些實施例中,進行負顯影製程,藉由第一顯影劑移除光阻層110的未曝光區域110b,而留下光阻層110的曝光區域110a。
曝光製程12的輻射線能量可包括由氟化氪(KrF)準分子雷射(excimer laser)產生的248nm光束、由氟化氬(ArF)準分子雷射產生的193nm光束、由氟(F2)準分子雷射產生的157nm光束、或極紫外(Extreme ultra-violet,EUV)光,例如,波長約13.5nm的極紫外光。
之後,依據本發明一些實施例,進行第一顯影製程顯影光阻層110,以形成經過圖案化的光阻層110a,如第1D圖所繪示。藉由第一顯影劑移除光阻層110的一部分。光阻層110的曝光區域110a被留下,且光阻層110的未曝光區域110b被第一顯影劑移除。在光阻層110的兩個相鄰的曝光區域110a之間形成一個凹口111。
第一顯影劑可以是有機溶劑。在一些實施例中,第一顯影劑包括甲基戊基酮(methyl amyl ketone,MAK)、乙酸正丁酯(n-butyl acetate,nBA)、乙酸正戊酯(n-pentylacetate,nPA)、乙基戊基酮(ethyl amyl ketone,EAK)或上述之組合。
第2A圖繪示依據本發明一些實施例之光阻層110 的化學結構的示意圖。第2B圖及2C圖繪示依據本發明一些實施例之例示性的酸不穩定基的化學結構的示意圖。
如第2A圖所繪示,光阻層110包括聚合物30、酸不穩定基(acid labile group,ALG)40及光酸產生劑50。當光阻層110暴露於輻射線能量中且吸收此輻射線時,光酸產生劑50產生酸。當光阻層110處於酸性環境中時,酸不穩定基40從聚合物30上被分開。換言之,當光阻層110暴露於輻射線時,光酸產生劑50催化酸不穩定基40從聚合物30上被分開的反應。如此一來,光阻層110的曝光區域110a的極性(polarity)及/或溶解度被改變。
在如第2B圖所繪示的實施例中,酸不穩定基40是甲基環戊基(methlycyclopentyl,MCP),其藉由共價鍵與聚合物30的羧基鍵結。在如第2C圖所繪示的實施例中,酸不穩定基40是乙基環戊基(ethylcyclopentyl),其藉由共價鍵與聚合物30的羧基鍵結。
在一些實施例中,光酸產生劑50包括含氟官能基,例如,全氟磺酸(perfluorosulfonate)、二苯基碘鎓(diphenyliodonium)、三氟甲烷磺酸(trifluoromethane sulfonate)、二苯基碘鎓九氟丁烷磺酸(diphenyliodonium nonafluorobutane sulfonate)、三苯基鋶三氟甲烷磺酸(triphenylsulfonium trifluromethane sulfonate)、三苯基鋶九氟丁烷磺酸(triphenylsulfonium nonafluorobutane sulfonate)、三苯基鋶雙(全氟甲磺醯基)醯亞胺(triphenylsulfonium bis(perfluoromethanesulfonyl)imide)、含氟官能基或上述之組 合。在一些實施例中,光酸產生劑50包括基於苯環的(phenyl ring based)官能基、基於雜環的(heterocyclic ring based)官能基、其他合適的官能基或上述之組合。
第2D圖繪示依據本發明一些實施例之當在光阻層110上進行曝光製程12時發生於光阻層110中的反應的示意圖。
如第2D圖所繪示,在酸不穩定基40從光阻層110的聚合物30被釋出之後,在聚合物30中形成羧酸基。在曝光製程之後,在光阻層110上進行曝光後烘烤(post-exposure-baking,PEB)製程。在第一顯影製程(將於後續進行)的期間,離開的酸不穩定基40將在烘烤期間釋放到空氣中或是被沖走。如此一來,由於曝光後烘烤製程或第一顯影製程產生酸不穩定基40的排氣(outgassing),因此,在光阻層110的曝光區域110a中形成一些孔洞112(繪示於第1D圖中)。
在一些實施例中,在約80℃到約160℃的溫度範圍下進行曝光後烘烤製程。在一些實施例中,進行曝光後烘烤製程的持續時間為約5秒到約60秒的範圍。
之後,依據本發明一些實施例,第一後處理塗佈材料(post treatment coating material)120形成於凹口111及孔洞112中,且位於光阻層110的曝光區域110a的表面之上,如第1E圖所繪示。更具體而言,第一後處理塗佈材料120覆蓋光阻層110的曝光區域110a的頂表面及側壁表面。由於第一後處理塗佈材料120為液態,其流入光阻層110的曝光區域110a的孔洞112中。
第一後處理塗佈材料120被配置為用以修補孔洞 112且避免圖案崩塌(pattern collapse)。此外,第一後處理塗佈材料120被配置為用以改善光阻層110的表面粗糙度。因此,能夠改善光阻層110的曝光區域110a的線寬粗糙度(line width roughness,LWR)。
在一些實施例中,在形成第一後處理塗佈材料120之後並且在移除後處理塗佈材料的一部分之前,在第一後處理塗佈材料120上進行後處理製程(post treatment process)。後處理製程被配置為加速第一後處理塗佈材料120中的溶劑的排氣。後處理製程包括輻射固化(radiation curing)製程、熱烘烤(thermal baking)製程或上述之組合。在一些實施例中,在約80℃到約160℃的溫度範圍下進行後處理製程。在一些實施例中,進行後處理製程的持續時間為約60秒到約120秒的範圍。
第2E圖繪示依據本發明一些實施例之光阻層110的聚合物30與第一後處理塗佈材料120的排列的示意圖。
如第2E圖所繪示,第一後處理塗佈材料120包括第一鏈段22以及連接到第一鏈段22的第二鏈段24。在一些其他實施例中,第一後處理塗佈材料120更包括連接到第二鏈段24的第三鏈段26。
第一鏈段22(標記為“A”)被配置為用以與光阻層110的曝光區域110a形成物理鍵結或化學鍵結。第一鏈段22(標記為“A”)包括鹵素原子(halogen atom)、羥基(hydroxy group)、胺基(amine group)、磺基(sulfo group)或羧基(carboxyl group)。在一些實施例中,第一鏈段22是胺基。
第二鏈段24(標記為“B”)被配置為用以增加第一後 處理塗佈材料120的蝕刻抗性(etching resistance)。第二鏈段24(標記為“B”)包括:經取代的或未經取代的直鏈、支鏈或環狀烴基;或是經取代的或未經取代的芳香基;及/或經取代的或未經取代的直鏈、支鏈或環狀烴基的至少一個氫被鹵素、羥基、磺基或羧基取代。在一些實施例中,第二鏈段24是經取代的或未經取代的C3-C10伸烷基(alkylene)在一些實施例中,第二鏈段24是伸苯基(phenylene)。
第三鏈段26(標記為“C”)被配置為增加光阻層110的曝光區域110a的蝕刻抗性及光阻對比度(resist contrast)。第三鏈段26(標記為“C”)包括疏水(hydrophobic)部分或親水(hydrophilic)部分。疏水部分可包括經取代的或未經取代的直鏈、支鏈或環狀烴基;及/或經取代的或未經取代的直鏈、支鏈或環狀烴基的至少一個氫被鹵素、羥基、磺基或羧基取代。親水部分可包括羧基或矽氧烷基。在一些實施例中,第三鏈段26是烴基。
在一些實施例中,第一後處理塗佈材料120包括如下所列的通式(I)、通式(II)或通式(III)。在通式(I)中,第一後處理塗佈材料120包括第一鏈段22及第二鏈段24。在通式(II)及通式(III)中,第一後處理塗佈材料120包括第一鏈段22、第二鏈段24及第三鏈段26。
之後,依據本發明一些實施例,藉由進行第二顯影製程,以移除第一後處理塗佈材料120的一部分,如第1F圖所繪示。第二顯影劑是用於第二顯影製程中。如此一來,第一後處理塗佈材料120的其他部分被留在光阻層110的曝光區域110a的頂表面及側壁表面上。在一些實施例中,第二顯影劑不同於第一顯影劑。在一些實施例中,第二顯影劑包括極性(polar)溶劑(例如,水、異丙醇(IPA)、甲基異丁基甲醇(methyl isobutyl carbinol,MIBC)、醇(alcohol))或有機溶劑(例如,丙二醇單甲醚醋酸酯(propylene glycol monomethyl ether acetate,PGMEA)或丙二醇單甲醚(propylene glycol monomethyl ether,PGME)。
由於與光阻層的曝光區域110a與第一鏈段22之間存在物理鍵結或化學鍵結,因此,有一薄膜形成且殘留於光阻層110的曝光區域110a的頂表面及側壁表面上。在一些實施例中,殘留的第一後處理塗佈材料120的厚度為約0.1nm到約5nm的範圍。如此一來,形成經過圖案化的光阻層110a,如第1F圖所繪示。
之後,依據本發明一些實施例,藉由使用形成經過圖案化的光阻層110a作為罩幕,將中間層108圖案化,以形成經過圖案化的中間層108a,如第1G圖所繪示。
在一些實施例中,移除經過圖案化的光阻層110a。在一些實施例中,藉由使用極性溶劑的濕式蝕刻製程移除經過圖案化的光阻層110a。由於酸不穩定基40從聚合物30釋放而形成羧酸基(如第2D圖所繪示),光阻層110的曝光區域110a因而變得親水。因此,可藉由極性溶劑移除光阻層110的曝光區域110a。
之後,依據本發明一些實施例,藉由使用形成經過圖案化的中間層108a作為罩幕,移除底層106的一部分,以形成經過圖案化的底層106a,如第1H圖所繪示。如此一來,經過圖案化的中間層108a的圖案被轉移到底層106。
之後,依據本發明一些實施例,藉由使用經過圖案化的中間層108a及經過圖案化的底層106作為罩幕,在材料層104上進行蝕刻製程,如第1I圖所繪示。
雖然孔洞112形成於光阻層110的曝光區域110a中,但是孔洞112被第一後處理塗佈材料120填滿,以避免光阻層110的圖案崩塌。再者,光阻層110的曝光區域110a的外側側壁表面及頂表面被第一後處理塗佈材料120覆蓋,以改善線寬粗糙度。因此,微影解析度(lithography resolution)得到改善。此外,在將位於光阻層110下方的各層圖案化的期間,第一後處理塗佈材料120被配置為增加光阻層110的蝕刻抗性。
第3A圖到第3H圖繪示依據本發明一些實施例之進 行微影製程的各個階段的剖面圖。
依據本發明一些實施例,形成罩幕10於光阻層110之上,並且在光阻層110上進行曝光製程12,如第3A圖所繪示。
之後,依據本發明一些實施例,在曝光製程12之後,形成曝光區域110a及未曝光區域110b,如第3B圖所繪示。之後,在光阻層110上進行曝光後烘烤製程。由於從光阻層110的聚合物釋放出酸不穩定基,因此在曝光區域110a中形成一些孔洞112。
之後,依據本發明一些實施例,第一後處理塗佈材料120形成於孔洞112中,並且位於光阻層110的曝光區域110a及未曝光區域110b的表面之上,如第3C圖所繪示。
之後,依據本發明一些實施例,藉由使用第一顯影劑的第一顯影製程,以移除第一後處理塗佈材料120的一部分,如第3D圖所繪示。如此一來,第一後處理塗佈材料120的其他部分被留在光阻層110的曝光區域110a及未曝光區域110b的表面上,而形成一薄膜。
依據本發明一些實施例,藉由使用第二顯影劑的第二顯影製程,以顯影未曝光區域110b及形成於未曝光區域110b之上的第一後處理塗佈材料120的部分,如第3E圖所繪示。在一些實施例中,第二顯影劑不同於第一顯影劑。如此一來,形成經過圖案化的光阻層110a。
第3E’圖繪示依據本發明一些實施例之光阻層110的曝光區域110a的剖面圖。孔洞112形成於光阻層110中且位於光阻層110的外側側壁表面上。形成於光阻層110外側側壁表面 上的孔洞112被第一後處理塗佈材料120填滿,因此,光阻層110的外側表面粗糙度得到改善。
之後,依據本發明一些實施例,藉由使用經過圖案化的光阻層110a作為罩幕,將中間層108圖案化,以形成經過圖案化的中間層108a,如第3F圖所繪示。
在一些實施例中,移除經過圖案化的光阻層110a。在一些實施例中,藉由濕式蝕刻製程或乾式蝕刻製程移除經過圖案化的光阻層110a。
之後,依據本發明一些實施例,藉由使用經過圖案化的中間層108a作為罩幕,移除底層106的一部分,以形成經過圖案化的底層106a,如第3G圖所繪示。如此一來,經過圖案化的中間層108a的圖案被轉移到底層106。
之後,依據本發明一些實施例,藉由使用經過圖案化的中間層108a及經過圖案化的底層106a作為罩幕,在材料層104上進行離子佈植製程14,如第3H圖所繪示。如此一來,材料層104的一部分被摻雜而形成摻雜區域105於材料層104中。可使用p型摻質(例如,硼或二氟化硼)及/或n型摻質(例如,磷或砷)對摻雜區域進行摻雜。
在第一實施例中,在形成第一後處理塗佈材料120於孔洞120中之前,移除未曝光區域110b。在第二實施例中,在移除未曝光區域110b之前,使用第一後處理塗佈材料120填滿孔洞112。與第一實施例相比,在第二實施例中先修補光阻層110的圖案,以進一步避免圖案崩塌。藉由將第一後處理塗佈材料120填充於孔洞112中,光阻層的圖案的線寬粗糙度得到 改善。因此,微影解析度得到改善。
第4A圖到第4G圖繪示依據本發明一些實施例之進行微影製程的各個階段的剖面圖。
依據本發明一些實施例,形成罩幕10於光阻層110之上,且在光阻層110上進行曝光製程12,如第4A圖所繪示。
之後,依據本發明一些實施例,在曝光製程12之後,形成曝光區域110a及未曝光區域110b,如第4B圖所繪示。更具體而言,未曝光區域110b位於第一曝光區域110及第二曝光區域110a之間。之後,在光阻層110上進行曝光後烘烤製程。由於從光阻層110的聚合物釋放出酸不穩定基,因此在曝光區域110a中形成一些孔洞112。
之後,依據本發明一些實施例,第一後處理塗佈材料120形成於孔洞112中,並且位於光阻層110的曝光區域110a及未曝光區域110b的頂表面之上,如第4C圖所繪示。
之後,依據本發明一些實施例,藉由使用第一顯影劑的第一顯影製程,以移除第一後處理塗佈材料120的一部分,如第4D圖所繪示。如此一來,第一後處理塗佈材料120的其他部分被留在光阻層110的曝光區域110a及未曝光區域110b的表面上,而形成一薄膜。此薄膜是藉由物理作用力或化學鍵結,而殘留於曝光區域110a的頂表面及未曝光區域110b的頂表面上。
依據本發明一些實施例,藉由使用第二顯影劑的第二顯影製程,以顯影未曝光區域110b及形成於未曝光區域110b之上的第一後處理塗佈材料120的部分,如第4E圖所繪 示。如此一來,形成經過圖案化的光阻層110a。
之後,依據本發明一些實施例,形成第二後處理塗佈材料130於第一後處理塗佈材料120及中間層108之上,如第4F圖所繪示。使用第二後處理塗佈材料130,以進一步修補光阻層110的曝光區域110a的表面粗糙度。
之後,依據本發明一些實施例,藉由使用第三顯影劑的第三顯影製程,以移除第二後處理塗佈材料130的一部分,如第4G圖所繪示。如此一來,光阻層110的曝光區域110a的側壁表面受到第二後處理塗佈材料130所覆蓋。殘留的第二後處理塗佈材料130的厚度為約0.1nm到約5nm的範圍。
第4G’圖繪示依據本發明一些實施例之光阻層110的曝光區域110a的剖面圖。孔洞112形成於光阻層110中及光阻層110的側壁表面上。使用第一後處理塗佈材料120填充形成於光阻層110的側壁表面上的孔洞112,並且形成第二後處理塗佈材料130於第一後處理塗佈材料120之上。應注意的是,由於已經有由第一後處理塗佈材料120所形成的薄膜形成在頂表面上,因此,第二後處理塗佈材料130形成於光阻層110的曝光區域110a的側壁表面之上,但是並未形成於光阻層110的曝光區域110a的頂表面之上。
形成第二後處理塗佈材料130於第一後處理塗佈材料120的外側側壁表面上,以進一步修補表面粗糙度。因此,藉由使用第一後處理塗佈材料120及第二後處理塗佈材料130,光阻層110的圖案的線寬粗糙度得到改善。因此,微影解析度得到改善。
在此提供進行微影製程的一些實施例。在位於基板上的材料層之上形成三層光阻層。此三層光阻層包括底層、中間層及光阻層。使用此三層光阻層以將位於其下方的材料層圖案化,並且隨後將其移除。將光阻層暴露於輻射線中以形成曝光區域及未曝光區域。之後,當在光阻層上進行烘烤製程時,一些孔洞形成於曝光區域中。形成第一後處理塗佈材料於上述孔洞中,以修補光阻層的圖案,並且避免圖案崩塌。光阻層的圖案的線寬粗糙度得到改善,因此,微影解析度得到改善。
在本發明的一些實施例中,提供一種進行微影製程的方法。上述方法包括形成光阻層於基板上,並且藉由進行曝光製程曝光上述光阻層的一部分,以形成曝光區域及未曝光區域。上述方法包括在上述光阻層上進行烘烤製程,而形成複數個孔洞於上述光阻層的上述曝光區域中。上述方法亦包括藉由進行第一顯影製程移除上述光阻層的上述未曝光區域,以形成凹口於上述光阻層中,並且將後處理塗佈材料填充於上述凹口及上述孔洞中,且位於上述光阻層的上述曝光區域之上。上述方法更包括藉由進行第二顯影製程移除上述後處理塗佈材料的一部分,且上述後處理塗佈材料的其他部分殘留於上述光阻層的上述曝光區域的複數個表面上,以形成經過圖案化的光阻層。
如本發明的一些實施例所述之進行微影製程的方法,其中上述後處理塗佈材料的上述其他部分殘留於上述光阻層的上述曝光區域的頂表面及複數個側壁表面上。
如本發明的一些實施例所述之進行微影製程的方 法,其中上述後處理塗佈材料包括第一鏈段及連接到上述第一鏈段的第二鏈段,且上述第一鏈段被配置為與上述光阻層的上述曝光區域形成物理鍵結或化學鍵結。
如本發明的一些實施例所述之進行微影製程的方法,其中上述第一鏈段包括鹵素原子、羥基、胺基、磺基或羧基。
如本發明的一些實施例所述之進行微影製程的方法,其中上述第二鏈段被配置為用以增加上述後處理塗佈材料的蝕刻抗性,且上述第二鏈段包括經取代的或未經取代的直鏈、支鏈或環狀烴基;或是經取代的或未經取代的芳香基;及/或該經取代的或未經取代的直鏈、支鏈或環狀烴基的至少一個氫被鹵素、羥基、磺基或羧基取代。
如本發明的一些實施例所述之進行微影製程的方法,其中上述後處理塗佈材料更包括第三鏈段,且上述第三鏈段被配置為增加上述光阻層的上述曝光區域的光阻對比度。
如本發明的一些實施例所述之進行微影製程的方法,上述方法更包括在移除上述後處理塗佈材料的上述部分之前,在上述後處理塗佈材料上進行後處理製程。
如本發明的一些實施例所述之進行微影製程的方法,其中上述後處理製程包括輻射固化製程、熱烘烤製程或上述之組合。
如本發明的一些實施例所述之進行微影製程的方法,其中上述光阻層包括聚合物及酸不穩定基,且當在上述光阻層上進行上述烘烤製程時,上述酸不穩定基從上述聚合物上 被分開。
如本發明的一些實施例所述之進行微影製程的方法,上述方法更包括形成材料層於上述基板之上;形成底層於上述材料層之上;形成中間層於上述底層之上;形成上述光阻層於上述中間層之上;藉由使用上述經過圖案化的光阻層作為罩幕,將上述中間層圖案化,以形成經過圖案化的中間層;藉由使用上述經過圖案化的中間層作為罩幕,將上述底層圖案化以形成經過圖案化的底層作為罩幕;以及藉由使用上述經過圖案化的底層作為罩幕,在上述材料層上進行蝕刻製程或離子佈植製程。
在本發明的另一些實施例中,提供一種進行微影製程的方法。上述方法包括形成光阻層於基板之上,並且曝光上述光阻層的一部分,以形成第一曝光區域、第二曝光區域及位於上述第一曝光區域及上述第二曝光區域之間的未曝光區域。上述方法亦包括在上述光阻層上進行烘烤製程,而形成複數個孔洞於上述第一曝光區域及上述第二曝光區域中。上述方法更包括形成後處理塗佈材料於上述第一曝光區域、上述第二曝光區域及上述未曝光區域之上,且位於上述孔洞中,並且藉由第一顯影劑移除上述後處理塗佈材料的一部分。上述後處理塗佈材料的其他部分殘留於上述第一曝光區域的頂表面、上述第二曝光區域的頂表面及上述未曝光區域的頂表面上。上述方法包括使用第二顯影劑移除上述未曝光區域,其中上述後處理塗佈材料的上述其他部分殘留在上述第一曝光區域的上述頂表面及上述第二曝光區域的上述頂表面上。
如本發明的另一些實施例所述之進行微影製程的方法,其中上述光阻層包括聚合物及酸不穩定基,且當在上述光阻層上進行上述烘烤製程時,上述酸不穩定基從上述聚合物上被分開。
如本發明的另一些實施例所述之進行微影製程的方法,上述方法更包括在移除上述未曝光區域之後,形成第二後處理塗佈材料於上述第一曝光區域及上述第二曝光區域之上;以及移除上述第二後處理塗佈材料的一部分,上述後處理塗佈材料的其他部分殘留於上述光阻層的上述第一曝光區域及上述第二曝光區域的複數個側壁表面上。
如本發明的另一些實施例所述之進行微影製程的方法,其中上述第一顯影劑不同於上述第二顯影劑。
如本發明的另一些實施例所述之進行微影製程的方法,其中上述後處理塗佈材料包括第一鏈段及連接到上述第一鏈段的第二鏈段,且上述第一鏈段被配置為與上述光阻層的上述第一曝光區域及上述第二曝光區域形成物理鍵結或化學鍵結。
在本發明的又一些實施例中,提供一種進行微影製程的方法。上述方法包括形成光阻層於基板之上,其中上述光阻層包括聚合物及連接到上述聚合物的酸不穩定基。上述方法包括曝光上述光阻層的一部分,以形成曝光區域及未曝光區域,並且在上述光阻層上進行烘烤製程,使上述酸不穩定基從上述聚合物上被分開,而形成複數個孔洞於上述曝光區域中。上述方法亦包括形成第一後處理塗佈材料於上述曝光區域及 上述未曝光區域之上且位於上述孔洞中,並且移除上述第一後處理塗佈材料的一部分。上述第一後處理塗佈材料的其他部分殘留於上述曝光區域的頂表面及上述未曝光區域的頂表面上。上述方法亦包括移除上述未曝光區域,其中上述第一後處理塗佈材料的上述其他部分殘留於上述曝光區域的上述頂表面上。
如本發明的又一些實施例所述之進行微影製程的方法,上述方法更包括在移除上述未曝光區域之後,形成第二後處理塗佈材料於上述曝光區域之上;以及移除上述第二後處理塗佈材料的一部分,以形成上述第二後處理塗佈材料於上述光阻層的上述曝光區域的複數個側壁表面上。
如本發明的又一些實施例所述之進行微影製程的方法,其中移除上述第一後處理塗佈材料的上述部分的上述步驟是藉由第一顯影劑而進行,移除上述未曝光區域的上述步驟是藉由第二顯影劑而進行,且上述第一顯影劑不同於上述第二顯影劑。
如本發明的又一些實施例所述之進行微影製程的方法,其中上述孔洞形成於上述曝光區域的複數個外側側壁表面上,且上述曝光區域的上述外側側壁表面受到上述第一後處理塗佈材料的覆蓋。
如本發明的又一些實施例所述之進行微影製程的方法,其中上述第一後處理塗佈材料包括第一鏈段及連接到上述第一鏈段的第二鏈段,且上述第一鏈段被配置為與上述光阻層的上述曝光區域形成物理鍵結或化學鍵結。
前述內文概述了許多實施例的部件,使本技術領域中具有通常知識者可以從各個方面更佳地了解本發明實施例。本技術領域中具有通常知識者應可理解,且可輕易地以本發明實施例為基礎來設計或修飾其他製程及結構,並以此達到相同的目的及/或達到與在此介紹的實施例等相同之優點。本技術領域中具有通常知識者也應了解這些相等的結構並未背離本發明的發明精神與範圍。在不背離本發明的發明精神與範圍之前提下,可對本發明進行各種改變、置換或修改。
雖然本發明已以數個較佳實施例揭露如上,然其並非用以限定本發明,任何所屬技術領域中具有通常知識者,在不脫離本發明之精神和範圍內,當可作任意之更動與潤飾,因此本發明之保護範圍當視後附之申請專利範圍所界定者為準。

Claims (1)

  1. 一種進行微影製程的方法,包括:形成一光阻層於一基板之上;藉由進行一曝光製程曝光該光阻層的一部分,以形成一曝光區域及一未曝光區域;在該光阻層上進行一烘烤製程,形成複數個孔洞於該光阻層的該曝光區域中;藉由進行一第一顯影製程移除該光阻層的該未曝光區域,以形成一凹口於該光阻層中;使用一後處理塗佈材料填充該凹口及該等孔洞,其中該後處理塗佈材料位於該光阻層的該曝光區域之上;以及藉由進行一第二顯影製程移除該後處理塗佈材料的一部分,其中該後處理塗佈材料的其他部分殘留於該光阻層的該曝光區域的表面上,以形成一經過圖案化的光阻層。
TW107131511A 2017-09-08 2018-09-07 進行微影製程的方法 TW201913730A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762555872P 2017-09-08 2017-09-08
US62/555,872 2017-09-08
US15/906,187 2018-02-27
US15/906,187 US10573519B2 (en) 2017-09-08 2018-02-27 Method for performing a photolithography process

Publications (1)

Publication Number Publication Date
TW201913730A true TW201913730A (zh) 2019-04-01

Family

ID=65632388

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107131511A TW201913730A (zh) 2017-09-08 2018-09-07 進行微影製程的方法

Country Status (3)

Country Link
US (2) US10573519B2 (zh)
CN (1) CN109471329A (zh)
TW (1) TW201913730A (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108535493A (zh) * 2018-04-10 2018-09-14 北京康亿鸿科技发展有限公司 特异性过敏原IgE的检测方法

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111752093B (zh) * 2019-03-28 2023-10-13 台湾积体电路制造股份有限公司 半导体结构的形成方法

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7419771B2 (en) * 2005-01-11 2008-09-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming a finely patterned resist
US7927779B2 (en) * 2005-06-30 2011-04-19 Taiwan Semiconductor Manufacturing Companym, Ltd. Water mark defect prevention for immersion lithography
US7767570B2 (en) * 2006-03-22 2010-08-03 Taiwan Semiconductor Manufacturing Company, Ltd. Dummy vias for damascene process
US8518628B2 (en) * 2006-09-22 2013-08-27 Taiwan Semiconductor Manufacturing Company, Ltd. Surface switchable photoresist
US8518561B2 (en) * 2009-07-03 2013-08-27 National Tsing Hua University Antireflection structures with an exceptional low refractive index and devices containing the same
US8586290B2 (en) * 2009-10-23 2013-11-19 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning process and chemical amplified photoresist composition
US8764995B2 (en) 2010-08-17 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet light (EUV) photomasks, and fabrication methods thereof
US8841047B2 (en) 2012-04-02 2014-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography process and mask
US8877409B2 (en) 2012-04-20 2014-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Reflective mask and method of making same
US9213234B2 (en) * 2012-06-01 2015-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Photosensitive material and method of lithography
US8828625B2 (en) 2012-08-06 2014-09-09 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography mask and multilayer deposition method for fabricating same
US9093530B2 (en) 2012-12-28 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of FinFET
US8796666B1 (en) 2013-04-26 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with strain buffer layer and methods of forming the same
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
US9529268B2 (en) 2014-04-03 2016-12-27 Taiwan Semiconductor Manufacturing Company, Ltd. Systems and methods for improving pattern transfer
US9256123B2 (en) 2014-04-23 2016-02-09 Taiwan Semiconductor Manufacturing Co., Ltd. Method of making an extreme ultraviolet pellicle
US9184054B1 (en) 2014-04-25 2015-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9645497B2 (en) * 2014-10-31 2017-05-09 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography patterning technique
US9958779B2 (en) * 2015-02-13 2018-05-01 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist additive for outgassing reduction and out-of-band radiation absorption
US10082734B2 (en) * 2015-02-13 2018-09-25 Taiwan Semiconductor Manufacturing Company, Ltd. Composition and method for lithography patterning
US9941157B2 (en) * 2015-06-26 2018-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. Porogen bonded gap filling material in semiconductor manufacturing
US10394126B2 (en) * 2015-07-17 2019-08-27 Taiwan Semiconductor Manufacturing Company, Ltd. Photolithography process and materials
US9612536B2 (en) * 2015-08-31 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Developer for lithography
US9927707B2 (en) * 2015-08-31 2018-03-27 Taiwan Semiconductor Manufacturing Co., Ltd. Developer for lithography
US10056256B2 (en) * 2016-03-16 2018-08-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method of priming photoresist before application of a shrink material in a lithography process
US9978594B1 (en) * 2016-11-15 2018-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Formation method of semiconductor device structure using patterning stacks
US10115585B2 (en) * 2016-12-15 2018-10-30 Taiwan Semiconductor Manufacturing Co., Ltd. Hardmask composition and methods thereof
US10394123B2 (en) * 2017-05-17 2019-08-27 Taiwan Semiconductor Manufacturing Co., Ltd. Blocking layer material composition and methods thereof in semiconductor manufacturing
US10096481B1 (en) * 2017-05-17 2018-10-09 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor structure
US10527941B2 (en) * 2017-05-30 2020-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet photoresist and method
US11003082B2 (en) * 2017-08-01 2021-05-11 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor structure

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108535493A (zh) * 2018-04-10 2018-09-14 北京康亿鸿科技发展有限公司 特异性过敏原IgE的检测方法

Also Published As

Publication number Publication date
US20200135452A1 (en) 2020-04-30
US20190080901A1 (en) 2019-03-14
US10796910B2 (en) 2020-10-06
US10573519B2 (en) 2020-02-25
CN109471329A (zh) 2019-03-15

Similar Documents

Publication Publication Date Title
US10281819B2 (en) Silicon-containing photoresist for lithography
CN108227392B (zh) 微影图案化的方法
US9921480B2 (en) Extreme ultraviolet photoresist
US11003082B2 (en) Method for forming semiconductor structure
US20200294801A1 (en) Grafting Design for Pattern Post-Treatment in Semiconductor Manufacturing
TW201937542A (zh) 半導體裝置結構的形成方法
US20230251578A1 (en) Method for forming semiconductor structure
US10520821B2 (en) Lithography process with enhanced etch selectivity
US10796910B2 (en) Method for performing a photolithography process
US11495460B2 (en) Method for forming semiconductor structure by patterning resist layer having inorganic material
TWI701280B (zh) 半導體結構的形成方法
US10990013B2 (en) Method for forming semiconductor structure
TW201915609A (zh) 半導體結構的形成方法
TWI743720B (zh) 半導體結構的形成方法
CN110941148B (zh) 半导体装置的制造方法
US8883403B2 (en) Method of semiconductor integrated circuit fabrication