TW201907439A - 使用有對稱饋送結構及驅動器以在被動方式操作時使可控阻抗接地及主動充能時對稱rf電力輸入至電漿中的輔助電極以調變電漿處理腔室中晶圓邊緣鞘之方法 - Google Patents

使用有對稱饋送結構及驅動器以在被動方式操作時使可控阻抗接地及主動充能時對稱rf電力輸入至電漿中的輔助電極以調變電漿處理腔室中晶圓邊緣鞘之方法 Download PDF

Info

Publication number
TW201907439A
TW201907439A TW107114804A TW107114804A TW201907439A TW 201907439 A TW201907439 A TW 201907439A TW 107114804 A TW107114804 A TW 107114804A TW 107114804 A TW107114804 A TW 107114804A TW 201907439 A TW201907439 A TW 201907439A
Authority
TW
Taiwan
Prior art keywords
processing chamber
disposed
electrode
coupled
semicircular
Prior art date
Application number
TW107114804A
Other languages
English (en)
Inventor
王海濤
安瓦爾 胡沙因
卡提克 拉馬斯瓦米
傑森A 肯尼
杰弗里 路德維格
張純磊
李元錫
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW201907439A publication Critical patent/TW201907439A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

本揭示總體涉及用於控制在基板邊緣附近的電漿鞘的方法和設備。所述設備包括可鄰近靜電吸盤定位的輔助電極。使用長度相等且阻抗相等的饋電線從電源遞迴地對輔助電極饋電。輔助電極是能夠垂直地致動的,並且可相對於接地或負責電漿產生的其他頻率進行調諧。還提供了使用該設備的方法。

Description

使用有對稱饋送結構及驅動器以在被動方式操作時使可控阻抗接地及主動充能時對稱RF電力輸入至電漿中的輔助電極以調變電漿處理腔室中晶圓邊緣鞘之方法
本揭示的各個態樣總體涉及用於控制在基板邊緣附近的電漿鞘的方法和設備。
在當前半導體製造工業中,特徵大小持續減小並且電晶體結構變得越來越複雜。為了滿足處理需求,可使用先進處理控制技術來控制成本並最大化基板和晶粒的良率。通常,在基板邊緣處的晶粒遇到良率問題,諸如經由不對準造成的接觸和對硬遮罩的不良的選擇性。這些問題的原因之一是在基板邊緣附近的電漿鞘的彎曲。
因此,需要允許在基板邊緣處進行精細、局部的製程調諧的方法和設備。
在一態樣中,一種處理腔室包括:腔室主體;基板支撐件,設置在腔室主體內;遞迴分配組件,設置在基板支撐件內;邊緣環組件,設置在基板支撐件內並耦接到遞迴(recursive)分配組件,邊緣環組件包括導電電極;絕緣支撐件,定位在基板支撐件上,位於電極上方;和第一矽環,設置在絕緣支撐件上。
在另一態樣中,一種處理腔室包括:腔室主體;基板支撐件,設置在腔室主體內;遞迴分配組件,設置在基板支撐件內;邊緣環組件,設置在基板支撐件內並耦接到遞迴分配組件,邊緣環組件包括圓形導電電極;絕緣支撐件,定位在基板支撐件上,位於電極上方;和第一矽環,設置在絕緣支撐件上。
在另一態樣中,一種遞迴分配組件包括:第一半圓形元件;同軸結構,在第一半圓形元件的中心部分處耦接到第一半圓形元件;第一垂直耦接件,設置在第一半圓形元件的第一端部處並且從第一半圓形元件的平面正交地延伸;第二垂直耦接件,設置在第一半圓形元件的第二端部處並且從第一半圓形元件的平面正交地延伸;第二半圓形元件,連接到第一垂直耦接件,第一垂直耦接件連接到第二半圓形元件的中心部分;和第三半圓形元件,連接到第二垂直耦接件,第二垂直耦接件連接到第三半圓形元件的中心部分。
本揭示總體涉及用於控制在基板邊緣附近的電漿鞘的方法和設備。所述設備包括可鄰近靜電吸盤定位的輔助電極。使用長度相等且阻抗相等的饋電線從電源遞迴地對輔助電極饋電。輔助電極是能夠垂直地致動的,並且可相對於接地或負責電漿產生的其他頻率進行調諧。還提供了使用該設備的方法。
圖1是根據本揭示的一個態樣的處理腔室100的剖視圖。如圖所示,處理腔室100是適於蝕刻基板(諸如基板101)的蝕刻腔室。受益於本文描述的各個態樣的處理腔室的示例可獲自位於加利福尼亞州聖克拉拉(Santa Clara, California)的應用材料公司(Applied Materials, Inc.)。設想的是,其他處理腔室(包括來自其他製造商的那些)可適於從本揭示的各個態樣受益。
在一個實施方式中,處理腔室100包括腔室主體105、氣體分配板組件110和支撐組件106。例如,處理腔室100的腔室主體105可由一種或多種製程相容材料(諸如鋁、陽極化鋁、鍍鎳鋁、鍍鎳鋁6061-T6、不銹鋼、以及它們的組合和合金)形成。支撐組件106可用作與氣體分配板組件110結合的電極,使得可在氣體分配板組件110與支撐組件106的上表面之間限定的處理容積120中形成電漿。支撐組件106可由導電材料(諸如鋁)或陶瓷材料或二者的組合製成。腔室主體105還可耦接到包括泵和閥的真空系統136。襯裡138也可設置在處理容積120中的腔室主體105的表面上。
腔室主體105包括形成在其側壁中的通口140。通口140選擇性地打開和關閉以允許基板搬運機器人(未示出)進入腔室主體105內部。基板101可通過通向相鄰傳送腔室和/或裝載閘腔室、或群集工具內的另一腔室的通口140被傳送進出處理腔室100。基板101安置在支撐組件106的上表面130上以進行處理。升降銷(未示出)可用於將基板101與支撐組件106的上表面間隔開以使得能夠在基板傳送期間與基板搬運機器人進行交換。
氣體分配板組件110設置在腔室主體105上。射頻(RF)電源132可耦接到分配板組件110以相對於支撐組件106對氣體分配板組件110電偏壓,從而促進在處理腔室100內的電漿產生。支撐組件106包括靜電吸盤159,靜電吸盤可連接到電源109a以促進基板101的吸附和/或影響位於處理區域120內的電漿。電源109a包括電源供應器,諸如DC或RF電源供應器,並連接到靜電吸盤159的一個或多個電極。偏壓源109b可任選地與支撐組件106耦接以有助於電漿的產生和/或控制。
偏壓源109b可說明性地是頻率為例如約13.56 MHz的高達約1000 W(但不限於約1000 W)的RF能量的源,但可按需要針對特定應用提供其他頻率和功率。偏壓源109b能夠產生連續的或脈衝的功率中的任一種或兩種。在一些態樣中,偏壓源可能夠提供多個頻率,諸如13.56 MHz和2 MHz。
處理腔室100還可包括控制器191。控制器191包括可程式設計中央處理單元(CPU)192,CPU可與耦接到處理系統的各種部件的記憶體194以及大型存儲裝置、輸入控制單元和顯示單元(未示出)(諸如電源供應器、時鐘、快取記憶體、輸入/輸出(I/O)電路和襯裡)一起操作以促進對基板處理的控制。
為了促進對上文所述的處理腔室100的控制,CPU 192可以是可在工業環境中使用的任何形式的通用電腦處理器中的一種,諸如可程式設計邏輯控制器(PLC),用於控制各種腔室和子處理器。記憶體194耦接到CPU 192,並且記憶體194是非暫態的,並可以是隨機存取記憶體(RAM)、唯讀記憶體(ROM)、軟碟機、硬碟或任何其他形式的數位存儲裝置(無論本地端還是遠端)中的一種或多種。支援電路196耦接到CPU 192以支援處理器。用於帶電物種產生、加熱和其他製程的應用程式或程式一般存儲在記憶體194中,典型地是作為軟體常式。軟體常式還可由遠離受CPU 192控制的處理腔室100的第二CPU(未示出)存儲和/或執行。
記憶體194呈含有指令的電腦可讀存儲媒體的形式,所述指令在由CPU 192執行時促進處理腔室100的操作。記憶體194中的指令呈程式產品的形式,諸如實現本揭示的方法的程式。程式碼可符合於許多不同程式設計語言中的任一種。在一個示例中,本揭示可被實現為存儲在用於與電腦系統一起使用的電腦可讀存儲媒體上的程式產品。程式產品的(各個)程式限定各個態樣的功能(包括本文所述的方法)。說明性電腦可讀存儲媒體包括但不限於:(i) 在其上永久存儲資訊的不可寫入存儲媒體(例如,電腦內的唯讀記憶體裝置,諸如可由CD-ROM驅動器讀出的CD-ROM磁碟、快閃記憶體、ROM 晶圓或任何類型固態非揮發性半導體記憶體);和(ii)在其上存儲可更改資訊的可寫入存儲媒體(例如,磁碟機內的軟碟或硬碟驅動器或任何類型固態隨機存取半導體記憶體)。當承載指示本文所述的方法的功能的電腦可讀指令時,此類電腦可讀存儲媒體是本揭示的各個態樣。
圖2A-2B是根據本揭示的一個態樣的支撐組件206的示意性剖面圖。圖2B是圖2A的放大視圖。支撐組件206與支撐組件106類似並且可以用於替代支撐組件106。支撐組件206包括佈置成垂直堆疊件的基部255、陰極基部256、設施板257、介電板258和靜電吸盤259。垂直開口297穿過陰極基部256、設施板257和介電板258佈置以容納通向電源和/或偏壓源的耦接件。基部255包括可用作下部腔室襯裡的側向延伸部分。石英管環(未示出)可環繞介電板258以促進靜電吸盤259與陰極基部256電絕緣。網狀流量等化器260鄰近導電環230的下表面和陰極基部256的徑向向外的上表面設置以促進在處理腔室100(圖1中所示)中容納電漿,導電環可由金屬(諸如鋁)製成並可接地。由金屬(諸如鋁)形成並可電接地的擋環261定位在導電環230的上表面上並從網狀流量等化器260上方徑向向外延伸。在一個示例中,擋環261可任選地包括嵌入其中的加熱器,諸如電阻加熱元件。在一個示例中,導電環230和擋環261可以是單一部件(unitary component)。
設施板257由導電材料形成,定位在陰極基部256與介電板258之間。在一個示例中,介電板258由石英形成。設施板257任選地包括一個或多個通道262(示出兩個),透過該一個或多個通道提供流體而促進對基板支撐件180(圖1中所示)的溫度控制。靜電吸盤259包括導電板267和設置在導電板267頂部上的陶瓷板266。由薄導電材料段形成的一個或多個電極263嵌入導電板267的陶瓷或介電材料中。高壓DC源耦接到一個或多個電極263以促進基板101吸附,並且偏壓RF源透過匹配網路耦接到導電板267以為陰極供電。
加熱器265可設置在靜電吸盤259的上表面上以促進對基板111的溫度控制。加熱器265可例如為包括一個或多個電阻加熱元件的電阻加熱器。陶瓷層266(諸如碳化矽或氧化鋁)設置在加熱器235上方並提供加熱器235和/或靜電吸盤259與基板101之間的保護介面。
參照圖2B,可由例如陶瓷或矽形成的介電環268定位在陶瓷層266的徑向向外的上表面上以在基板靜電吸附到位時提供對基板的側向支撐。可由石英形成的絕緣支撐件269包圍介電環268。絕緣支撐件269包括嵌入其上表面中的第二矽環270。矽環270促進將在基板支撐件206上方的內部空間108中產生的電漿(未示出)耦接到邊緣環組件274。在這樣的示例中,第二矽環270用作電極,並且可電容耦合到邊緣環組件274。在一個示例中,第二矽環270是單晶矽。然而,設想的是,可利用其他形式的矽,諸如多晶矽。
邊緣環組件274包括陶瓷基部275、陶瓷蓋276和嵌入二者之間的電極277。陶瓷基部275、陶瓷蓋276和電極277中的每一個都具有圓形形狀。然而,也可設想其他形狀。在一個示例中,電極277可嵌入或部分地嵌入陶瓷基部275和陶瓷蓋276中的一者或兩者中以保護電極277。在這樣的示例中,陶瓷基部275和陶瓷蓋276的相對表面例如可在其相應的徑向向內和徑向向外的邊緣處接觸彼此。電極277可以是導電線或扁平環,諸如箔。在一個示例中,電極277可由鋁或銅或其他導電金屬或材料形成。在一個示例中,電極277可以是寬度為約0.2英寸至約0.4英寸(諸如約0.3英寸)的扁平環。雖然電極277被示出為相對於陶瓷基部275和陶瓷蓋276的寬度而定位在中心,但是設想的是,電極可與陶瓷基部275和陶瓷蓋276的徑向向內邊緣對準。在一個例子中,電極277定位在距基板(諸如圖1中所示的基板101)的外徑約1公分處。
陶瓷蓋276的上表面在處理期間定位成與絕緣支撐件269的下表面接觸。然而,絕緣支撐件269可透過升降機構278升高到陶瓷蓋276上方並與其分離。升降機構278包括由致動器217驅動的一個或多個支撐銷279(示出一個)。垂直致動絕緣支撐件269造成第二矽環270的相應致動,由此調節第二矽環270與形成在處理腔室100的內部空間108(圖1中所示)中的電漿之間的間距。另外,垂直致動絕緣支撐件269造成對第二矽環270與電極277之間的間距的調節,由此影響二者之間的電容耦合。第二矽環270的位置影響與第二矽環270相鄰的並因此與基板邊緣相鄰的電漿鞘。因此,藉由垂直地致動第二矽環270,可調節與基板邊緣相鄰的電漿鞘。
電力透過RF連接器281和配電組件282而施加到邊緣環組件274。RF連接器281耦接到可調節RF源(例如,偏壓源109b,或例如圖4A-4C中所示的)以促進將電力傳遞到邊緣環組件274。然而,設想的是,在一些態樣中,邊緣環組件274可能不由RF電力主動供電。在這樣的示例中,RF連接器281將連接到外部RF阻抗調諧單元或可調諧負載。調諧單元被設計成調節在SRC RF頻率下的阻抗以改變電漿密度分佈,或調節在偏置RF頻率下的阻抗以調諧基板邊緣電漿鞘,或者RF連接器281可經由接地電極277和對應地耦接的矽環270而連接到接地並因此能夠將接地定位得更靠近基板邊緣。
圖3A-3E是根據本揭示的數個態樣的配電組件282的示意性透視圖。配電組件282包括連接到遞迴分配組件284的同軸結構283。邊緣環組件274定位在遞迴分配組件284上並耦接到遞迴分配組件284。配電組件282電連接到邊緣環組件274的電極277(圖2中所示)。
遞迴分配組件284透過分岔成兩個或更多個長度相等的分段來促進對電極277施加電力的均勻性。每個分岔的分段可進一步劃分或分岔成額外的長度相等的分段。因此,對電極277的電力施加更均勻地分佈,由此改善製程均勻性。例如,遞迴分配組件284包括第一半圓形元件285,第一半圓形元件285在第一半圓形元件285的中心位置處電耦接到同軸結構283。第一半圓形元件285的每一半彼此相反地延伸。第一半圓形元件285的終端端部包括從第一半圓形元件285的平面正交地延伸的垂直耦接件286。垂直耦接件286將第一半圓形元件285電連接到第二半圓形元件287。垂直耦接件286連接在第二半圓形元件287的中心位置處,使得第二半圓形元件287的每個端部在相反方向上延伸。附加的垂直耦接件288將第二半圓形元件287電耦接到邊緣環組件274的電極277(圖2B中所示)。以這樣的方式,來自單個源(例如,透過RF連接器281)的電力透過多個接觸點更均勻地分佈到電極277。另外,RF連接器281至電極277處的每個連接件之間的距離實質上相同,因此電源至電極277處的每個連接件之間的距離實質上也相同。在一個示例中,第一半圓形元件285、第二半圓形元件287和垂直耦接件288由導電材料(諸如金屬,例如,銅或鋁)形成。
如本文使用的遞迴分配組件284是指一次或多次分成相等長度的多個分段的電連接器。雖然在本文中相對於半圓形部件描述了遞迴分配組件284,但是設想的是,在需要時可以利用線性部件。此外,電流的行進路徑可被分成比所示更多區段。例如,行進路徑可被劃分一次或多次、兩次或更多次、三次或更多次、或四次或更多次。在一個示例中,第一半圓形元件285延伸約180度,而第二半圓形元件287中的每一個延伸約90度。因此,每個分段可具有前一個分段的約一半的長度。然而,也可設想其他距離。用於第一半圓形元件285、垂直耦接件286、第二半圓形元件287和垂直耦接件288的合適的材料包括電性材料,諸如金屬,例如,鋁和銅。
圖3B是具有設置在遞迴分配組件284的導電元件(諸如第一半圓形元件285(圖3A中所示)和第二半圓形元件287(圖3A中所示))上的電絕緣體289a、289b的配電組件282的示意圖。電絕緣體289a、289b可以是聚四氟乙烯(PTFE)或另一電絕緣材料。在所示的示例中,電絕緣體289a、289b是完整的絕緣材料環,在其中嵌入有部件(例如,第一半圓形元件285和第二半圓形元件287)。然而,設想的是,可以利用不完整材料環。
圖3C是包括圍繞電絕緣體289a、289b(圖3B中所示)設置的外殼290的配電組件282的示意圖。外殼290是具有電絕緣體289a、289b和因此嵌入在其中的第一半圓形元件285和第二半圓形元件287的圓柱形區段。外殼可耦接到電接地,並且與第一半圓形元件285和第二半圓形元件287電絕緣體289a、289b電隔離。在一個示例中,外殼290包括在其徑向向外的下表面處環繞外殼290的唇部291。在一個示例中,唇部291具有「H」形狀,或以其他方式包括耦接到徑向向外部件的徑向向內部件,徑向向外部件具有比徑向向內部件更大的垂直高度。唇部291促進遞迴分配組件的部件的組裝和/或對準。外殼290可由金屬形成並且可電接地。
圖3D是如圖3C所示的配電組件282的剖面圖。如圖所示,被諸如橡膠或PTFE之類的電絕緣體292環繞的同軸結構283連接到第一半圓形元件285。第一半圓形元件285被電絕緣體289a環繞並設置在外殼290中。軸向地定位在第一半圓形元件285上方的是電絕緣體289b。由於第二半圓形元件287不是以完整的圓圈延伸,因此附加的電絕緣體292可定位在電絕緣體289b內以佔據原本沒有被第二半圓形元件287佔據的空間。附加的電絕緣體也可由PTFE形成。雖然未示出,但是電絕緣體289b內未被第一半圓形元件285佔據的空間也可被PTFE佔據。因此,在一個示例中,附加的電絕緣體292和第二半圓形元件287一起形成完整的環。第一半圓形元件285可以被類似地配置。
圖3E是如圖3C所示的配電組件282的另一剖面圖。圖3E中所示的剖面圖示出了將第二半圓形元件287電連接到邊緣環組件274的電極277的垂直耦接件288。垂直耦接件288包括被一個或多個電絕緣層294a、294b(示出兩個)(諸如PTFE)環繞的導電連接293。垂直耦接件延伸穿過陶瓷基部275的下表面以接觸電極277。
圖3F是如圖3C所示的配電組件282的另一剖面圖。圖3F中所示的剖面圖示出了將第二半圓形元件287電連接到第一半圓形元件285的垂直耦接件286。垂直耦接件286、第一半圓形元件285和第二半圓形元件287分別被外殼290、電絕緣體289a和電絕緣體289b環繞。電絕緣體289a和電絕緣體289b促進垂直耦接件286、第一半圓形元件285和第二半圓形元件287與外殼290電隔離,所述外殼可在處理期間接地。
圖4A-4C是根據本揭示的數個態樣的電路配置的示意圖。圖4A示出了用於調節其中有基板支撐件206的處理腔室400a中的電漿456的電路455a的被動配置。處理腔室400a與處理腔室100類似。電漿456由源132產生。偏壓源109b耦接到基板支撐件206以促進處理腔室400a內的電漿處理。電路455a透過同軸電纜283和遞迴分配組件284耦接到電極277。對電路455a的調諧影響電極277的電性質,由此影響與基板相鄰的電漿456或電漿456的鞘。使用本文所述的各個態樣,可調節電漿456以得到基板的更均勻的處理,由此減輕基板邊緣不均勻性。
電路455a包括接地調節件457、偏壓敏感的調節件458和源敏感的調節件459。接地調節件457、偏壓敏感的調節件458和源敏感的調節件459中的每一個都經由開關元件437耦接到同軸結構283。接地調節件457,偏壓敏感的調節件458和源敏感的調節件459中的每一者包括可調節電容器和電感器。可選擇接地調節件457、偏壓敏感的調節件458和源敏感的調節件459的每個電容器和電感器以調節偏壓頻率或偏壓頻率範圍從而促進對電漿特性的調節。在一個示例中,接地調節件457、偏壓敏感的調節件458和源敏感的調節件459各自經配置以促進在彼此不同的範圍中進行頻率調節。
另外,電源435(諸如DC電源)附加地耦接到開關元件437。開關元件437可由控制器191(圖1中所示)控制以選擇性地將電極277耦接到電源433、接地調節件457、偏壓敏感的調節件458和/或源敏感的調節件459中的任一個。因此,對開關元件437的調製促進對與基板邊緣相鄰的電極277處的電漿特性的控制。
例如,可使開關元件437將偏壓敏感的調節件458耦接到電極277。可調節偏壓敏感的調節件458以使電極277與偏壓源109b的基波或諧波頻率串聯或並聯。此類調節在電極277(和因此圖2B中所示的第二矽環270)上施加期望的電壓,由此更改電漿456的局部鞘。
類似地,可相對於開關元件437來選擇源敏感的調節件459。在這樣的示例中,電極277可相對於電源132以與上文關於偏壓敏感的調節件458和偏壓源109b所述的類似的方式進行調諧。經由源敏感的調節件459調諧電漿456造成電漿密度增加(或降低)。增加的電漿密度造成壓縮的電漿鞘。
在另一示例中,可致使開關元件437將接地調節件457耦接到電極277。在一個示例中,接地調節件可以是促進電極277的接地的RF繼電器和/或PIN二極體。電極277的接地促進電漿456的鞘終止在電極277處。為進一步影響電漿456,當電極277接地時,第二矽環270(圖2b中所示)可被垂直地致動,由此鄰近基板邊緣提供增加的電漿可調諧性。在一個示例中,當利用PIN二極體時,PIN二極體可被正向偏壓以在電極277處形成DC短路,或可被反向偏壓以促進電連接斷開。在另一示例中,電源433促進第二矽環270朝向電極277的靜電吸附,因此增加了第二矽環270、絕緣支撐件269(圖2B中所示)和邊緣環組件274之間的熱接觸。增加的熱接觸造成增加的熱移除,由此提高部件壽命並降低在基板邊緣附近的熱不均勻性。
圖4B示出了用於調節處理腔室400b中的電漿456的電路455b的主動配置。處理腔室400b與處理腔室100和處理腔室400a類似。在主動配置中,電路455b包括透過匹配電路429耦接到同軸電纜283的輔助電源427,諸如RF源。電路455b還包括耦接到匹配電路429的電源433。電源433類似於上文關於處理腔室400a描述的那樣操作。另外,處理腔室400b包括第二匹配電路405,偏壓源109b透過第二匹配電路耦接到基板支撐件206。基板支撐件480與上文關於圖2A描述的基板支撐件280類似。匹配電路429和電源427的包括提供了對電漿特性的附加的控制。
圖4C示出了用於調節其中有基板支撐件206的處理腔室400c中的電漿456的電路455c的主動配置。電路455c與電路455b類似,然而,同軸電纜283和因此遞迴分配組件284連接到匹配電路405。因此,與處理腔室400b相反,不包括匹配電路429和電源427。在一個示例中,RF分頻器(未示出)可定位成與匹配電路405與電源433之間的同軸電纜283成直線,或定位在匹配電路405內,以促進將RF電力施加到期望的腔室部件。
任選地,設想的是,圖4A-4C中所示的配置中的任一者可任選地利用耦接到電極277的DC電源供應器。將DC電力施加到電極277增強了在基板邊緣附近的熱傳遞。在這樣的示例中,陶瓷蓋276可由氮化鋁形成。
本揭示的益處包括對與基板邊緣相鄰的電漿的增加的控制。增加的電漿控制造成增加的處理均勻性,尤其在基板邊緣附近的處理均勻性。另外,根據本揭示的各個態樣的電漿調節局部地發生在基板邊緣,因此不會不利地影響到橫跨基板表面的電漿均勻性。
雖然前述針對本揭示的各個態樣,但是也可在不脫離本揭示的基本範圍的情況下,設想本揭示的其他和進一步態樣,並且本揭示的範圍由隨附權利要求書確定。
100‧‧‧處理腔室
101‧‧‧基板
105‧‧‧腔室主體
106‧‧‧支撐組件
108‧‧‧內部空間
109a‧‧‧電源
109b‧‧‧偏壓源
110‧‧‧氣體分配板組件
111‧‧‧基板
120‧‧‧處理容積
130‧‧‧上表面
132‧‧‧電源
136‧‧‧真空系統
138‧‧‧襯裡
140‧‧‧通口
159‧‧‧靜電吸盤
180‧‧‧基板支撐件
191‧‧‧控制器
192‧‧‧CPU
194‧‧‧記憶體
196‧‧‧支援電路
206‧‧‧支撐組件
217‧‧‧致動器
230‧‧‧導電環
235‧‧‧加熱器
255‧‧‧基部
256‧‧‧陰極基部
257‧‧‧設施板
258‧‧‧介電板
259‧‧‧靜電吸盤
260‧‧‧網狀流量等化器
261‧‧‧擋環
262‧‧‧通道
263‧‧‧電極
265‧‧‧加熱器
266‧‧‧陶瓷板
267‧‧‧導電板
268‧‧‧介電環
269‧‧‧絕緣支撐件
270‧‧‧第二矽環
274‧‧‧邊緣環組件
275‧‧‧陶瓷基部
276‧‧‧陶瓷蓋
277‧‧‧電極
278‧‧‧升降機構
279‧‧‧支撐銷
280‧‧‧基板支撐件
281‧‧‧RF連接器
282‧‧‧配電組件
283‧‧‧同軸結構
284‧‧‧遞迴分配組件
285‧‧‧第一半圓形元件
286‧‧‧垂直耦接件
287‧‧‧第二半圓形元件
288‧‧‧附加的垂直耦接件
289a‧‧‧電絕緣體
289b‧‧‧電絕緣體
290‧‧‧外殼
291‧‧‧唇部
292‧‧‧電絕緣體
293‧‧‧導電連接
294a‧‧‧電絕緣
294b‧‧‧電絕緣
297‧‧‧垂直開口
400a‧‧‧處理腔室
400b‧‧‧處理腔室
400c‧‧‧處理腔室
405‧‧‧第二匹配電路
427‧‧‧輔助電源
429‧‧‧匹配電路
433‧‧‧電源
435‧‧‧電源
437‧‧‧開關元件
455a‧‧‧電路
455b‧‧‧電路
455c‧‧‧電路
456‧‧‧正在調節的電漿
457‧‧‧接地調節件
458‧‧‧偏壓敏感的調節件
459‧‧‧源敏感的調節件
480‧‧‧基板支撐件
為了可詳細地理解本揭示的上述特徵結構所用方式,在上文簡要概述的本揭示的更具體的描述可參考各個態樣來進行,一些態樣示出在附圖中。然而,應當注意,附圖僅示出了示例性態樣,並且因此不應視為對範圍的限制,因為本揭示可允許其他等效態樣。
圖1示出了根據本揭示的一個態樣的處理腔室的剖視圖。
圖2A-2B是根據本揭示的一個態樣的支撐組件的示意性剖面圖。
圖3A-3F是根據本揭示的數個態樣的配電組件的示意性透視圖。
圖4A-4C是根據本揭示的數個態樣的電路配置的示意圖。
為了促進理解,已盡可能使用相同附圖標記標示附圖間共有的相同要素。設想的是,一個態樣的要素和特徵可有利地併入其他態樣,而無需進一步敘述。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無

Claims (20)

  1. 一種處理腔室,該處理腔室包括: 一腔室主體;一基板支撐件,設置在該腔室主體內;一遞迴(recursive)分配組件,設置在該基板支撐件內;一邊緣環組件,設置在該基板支撐件內並耦接到該遞迴分配組件,該邊緣環組件包括一導電電極;一絕緣支撐件,定位在該基板支撐件上,位於該電極上方;以及一第一矽環,設置在該絕緣支撐件上。
  2. 如請求項1所述的處理腔室,其中該基板支撐件包括一靜電吸盤,該靜電吸盤具有一個或多個吸附電極。
  3. 如請求項1所述的處理腔室,其中該邊緣環組件包括一陶瓷蓋和一陶瓷基部。
  4. 如請求項3所述的處理腔室,其中該邊緣環組件的該電極設置在該陶瓷蓋與該陶瓷基部之間。
  5. 如請求項1所述的處理腔室,其進一步包括一擋環,該擋環徑向向該邊緣環組件、該導電環和該絕緣支撐件外延伸。
  6. 如請求項1所述的處理腔室,其中該遞迴分配組件包括多個分岔的電連接。
  7. 如請求項6所述的處理腔室,其中該分岔的電連接具有相等長度。
  8. 如請求項1所述的處理腔室,其進一步包括一升降機構,該升降機構設置在該基板支撐件內,該升降機構經配置以垂直地致動該矽環和該絕緣支撐件。
  9. 如請求項1所述的處理腔室,其中該遞迴分配連接器包括多個半圓形元件。
  10. 如請求項9所述的處理腔室,其中該等多個半圓形元件在軸向上間隔開並由垂直連接件連接。
  11. 如請求項9所述的處理腔室,其進一步包括聚四氟乙烯,該聚四氟乙烯設置在該等多個半圓形元件的周圍。
  12. 如請求項1所述的處理腔室,其進一步包括一電路,該電路耦接到該電極,該電路包括一接地調節件、一偏壓敏感的調節件和一源敏感的調節件。
  13. 如請求項1所述的處理腔室,其中該電路包括一開關元件,該開關元件將該電極耦接到該接地調節件、該偏壓敏感的調節件和該源敏感的調節件。
  14. 一種處理腔室,該處理腔室包括: 一腔室主體;一基板支撐件,設置在該腔室主體內;一遞迴分配組件,設置在該基板支撐件內;一邊緣環組件,設置在該基板支撐件內並耦接到該遞迴分配組件,該邊緣環組件包括一圓形導電電極;一絕緣支撐件,定位在該基板支撐件上,位於該電極上方;和一第一矽環,設置在該絕緣支撐件上。
  15. 如請求項14所述的處理腔室,其中該邊緣環組件包括一陶瓷蓋和一陶瓷基部,並且其中該陶瓷基部和該陶瓷蓋是圓形的。
  16. 如請求項15所述的處理腔室,其中該電極設置在該陶瓷基部與該陶瓷蓋之間。
  17. 如請求項16所述的處理腔室,其中該遞迴分配組件包括多個分岔的電連接。
  18. 如請求項16所述的處理腔室,其中該遞迴分配組件包括多個半圓形元件。
  19. 一種遞迴分配連接器,該遞迴分配連接器包括: 一第一半圓形元件;一同軸結構,在該第一半圓形元件的一中心部分處耦接到該第一半圓形元件;一第一垂直耦接件,設置在該第一半圓形元件的一第一端部處並且從該第一半圓形元件的一平面正交地延伸;一第二垂直耦接件,設置在該第一半圓形元件的一第二端部處並且從該第一半圓形元件的該平面正交地延伸;一第二半圓形元件,連接到該第一垂直耦接件,該第一垂直耦接件連接到該第二半圓形元件的一中心部分;和一第三半圓形元件,連接到該第二垂直耦接件,該第二垂直耦接件連接到該第三半圓形元件的一中心部分。
  20. 如請求項19所述的遞迴分配組件,其中該遞迴分配組件包括一導電材料。
TW107114804A 2017-05-02 2018-05-02 使用有對稱饋送結構及驅動器以在被動方式操作時使可控阻抗接地及主動充能時對稱rf電力輸入至電漿中的輔助電極以調變電漿處理腔室中晶圓邊緣鞘之方法 TW201907439A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201762500120P 2017-05-02 2017-05-02
US62/500,120 2017-05-02

Publications (1)

Publication Number Publication Date
TW201907439A true TW201907439A (zh) 2019-02-16

Family

ID=64015476

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107114804A TW201907439A (zh) 2017-05-02 2018-05-02 使用有對稱饋送結構及驅動器以在被動方式操作時使可控阻抗接地及主動充能時對稱rf電力輸入至電漿中的輔助電極以調變電漿處理腔室中晶圓邊緣鞘之方法

Country Status (5)

Country Link
US (1) US20180323042A1 (zh)
JP (1) JP2018190978A (zh)
KR (1) KR20180122295A (zh)
CN (2) CN209266350U (zh)
TW (1) TW201907439A (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI755800B (zh) * 2019-07-29 2022-02-21 美商應用材料股份有限公司 具有改善的高溫吸附的半導體基板支撐件

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9873180B2 (en) 2014-10-17 2018-01-23 Applied Materials, Inc. CMP pad construction with composite material properties using additive manufacturing processes
US11745302B2 (en) 2014-10-17 2023-09-05 Applied Materials, Inc. Methods and precursor formulations for forming advanced polishing pads by use of an additive manufacturing process
US10875153B2 (en) 2014-10-17 2020-12-29 Applied Materials, Inc. Advanced polishing pad materials and formulations
CN113579992A (zh) 2014-10-17 2021-11-02 应用材料公司 使用加成制造工艺的具复合材料特性的cmp衬垫建构
US10593574B2 (en) 2015-11-06 2020-03-17 Applied Materials, Inc. Techniques for combining CMP process tracking data with 3D printed CMP consumables
US10391605B2 (en) 2016-01-19 2019-08-27 Applied Materials, Inc. Method and apparatus for forming porous advanced polishing pads using an additive manufacturing process
US11471999B2 (en) 2017-07-26 2022-10-18 Applied Materials, Inc. Integrated abrasive polishing pads and manufacturing methods
KR20210042171A (ko) 2018-09-04 2021-04-16 어플라이드 머티어리얼스, 인코포레이티드 진보한 폴리싱 패드들을 위한 제형들
JP6960390B2 (ja) * 2018-12-14 2021-11-05 東京エレクトロン株式会社 給電構造及びプラズマ処理装置
CN113330533A (zh) * 2019-01-08 2021-08-31 应用材料公司 用于电感耦合等离子体的递归线圈
JP2021103641A (ja) * 2019-12-25 2021-07-15 東京エレクトロン株式会社 プラズマ発生源の検査方法及び負荷

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5822171A (en) * 1994-02-22 1998-10-13 Applied Materials, Inc. Electrostatic chuck with improved erosion resistance
US5633073A (en) * 1995-07-14 1997-05-27 Applied Materials, Inc. Ceramic susceptor with embedded metal electrode and eutectic connection
DE50112009D1 (de) * 2000-04-12 2007-03-22 Aixtron Ag Reaktionskammer mit wenigstens einer hf-durchführung
US7311784B2 (en) * 2002-11-26 2007-12-25 Tokyo Electron Limited Plasma processing device
US7572737B1 (en) * 2006-06-30 2009-08-11 Lam Research Corporation Apparatus and methods for adjusting an edge ring potential substrate processing
US9536711B2 (en) * 2007-03-30 2017-01-03 Lam Research Corporation Method and apparatus for DC voltage control on RF-powered electrode
TWI500804B (zh) * 2009-11-17 2015-09-21 Applied Materials Inc 具有電極rf匹配之大面積電漿處理腔室
US9443753B2 (en) * 2010-07-30 2016-09-13 Applied Materials, Inc. Apparatus for controlling the flow of a gas in a process chamber
KR101202957B1 (ko) * 2010-10-19 2012-11-20 주성엔지니어링(주) 플라즈마 발생용 안테나 및 이를 포함하는 기판처리장치
US9412579B2 (en) * 2012-04-26 2016-08-09 Applied Materials, Inc. Methods and apparatus for controlling substrate uniformity
US10580623B2 (en) * 2013-11-19 2020-03-03 Applied Materials, Inc. Plasma processing using multiple radio frequency power feeds for improved uniformity

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI755800B (zh) * 2019-07-29 2022-02-21 美商應用材料股份有限公司 具有改善的高溫吸附的半導體基板支撐件

Also Published As

Publication number Publication date
US20180323042A1 (en) 2018-11-08
CN209266350U (zh) 2019-08-16
CN108807125A (zh) 2018-11-13
KR20180122295A (ko) 2018-11-12
JP2018190978A (ja) 2018-11-29

Similar Documents

Publication Publication Date Title
TW201907439A (zh) 使用有對稱饋送結構及驅動器以在被動方式操作時使可控阻抗接地及主動充能時對稱rf電力輸入至電漿中的輔助電極以調變電漿處理腔室中晶圓邊緣鞘之方法
US10770328B2 (en) Substrate support with symmetrical feed structure
US10847347B2 (en) Edge ring assembly for a substrate support in a plasma processing chamber
CN102947920B (zh) 等离子体处理装置的可移动室衬等离子体约束屏蔽组合
US10163610B2 (en) Extreme edge sheath and wafer profile tuning through edge-localized ion trajectory control and plasma operation
KR101812646B1 (ko) 플라즈마 처리 장치 및 반도체 장치의 제조 방법
TW201344743A (zh) 用於控制基板均勻度之方法及設備
TWI621172B (zh) 電漿處理裝置
JP7130359B2 (ja) プラズマ処理装置
US10325758B2 (en) Plasma processing apparatus
US11443920B2 (en) Plasma processing apparatus
KR101804341B1 (ko) 플라즈마 처리 장치 및 플라즈마 처리 방법
CN101546697A (zh) 等离子体处理装置
CN110752133A (zh) 基板支撑设备及具有基板支撑设备的等离子体处理设备
TWI637438B (zh) 用於電漿反應器的增強電漿源
US11437223B2 (en) Stage and plasma processing apparatus
US20050066902A1 (en) Method and apparatus for plasma processing
KR20180122964A (ko) 액티브 파 에지 플라즈마 튜닝가능성
US20230268216A1 (en) Substrate support and plasma processing apparatus
TWI835177B (zh) 用於基板處理腔室的製程套件以及處理腔室
CN116387127A (zh) 基板加工装置及基板加工方法