TW201901738A - 微影圖案化方法 - Google Patents

微影圖案化方法 Download PDF

Info

Publication number
TW201901738A
TW201901738A TW106127270A TW106127270A TW201901738A TW 201901738 A TW201901738 A TW 201901738A TW 106127270 A TW106127270 A TW 106127270A TW 106127270 A TW106127270 A TW 106127270A TW 201901738 A TW201901738 A TW 201901738A
Authority
TW
Taiwan
Prior art keywords
layer
photoresist
organic
photoresist layer
solvent
Prior art date
Application number
TW106127270A
Other languages
English (en)
Inventor
王筱姍
劉朕與
張慶裕
林進祥
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201901738A publication Critical patent/TW201901738A/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/038Macromolecular compounds which are rendered insoluble or differentially wettable
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/094Multilayer resist systems, e.g. planarising layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/095Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having more than one photosensitive layer
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/162Coating on a rotating support, e.g. using a whirler or a spinner
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2022Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/322Aqueous alkaline compositions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/325Non-aqueous compositions
    • G03F7/327Non-aqueous alkaline compositions, e.g. anhydrous quaternary ammonium salts
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)

Abstract

本發明一些實施例提供微影圖案化的方法,包括形成材料層於基板上,其中材料層可溶於溶劑中;形成阻擋層於材料層上;以及形成光阻層於阻擋層上,其中光阻層包含光敏材料,且光敏材料溶於溶劑中。上述方法亦包含曝光光阻層;以及在顯影劑中顯影光阻層。

Description

微影圖案化方法
本發明實施例關於半導體裝置的製作方法,更特別關於採用阻擋層以隔離有機層與其上之其他層,且其他層可能會部份溶解有機層(若直接接觸)。
半導體積體電路產業已經歷指數成長。積體電路材料與設計的技術進步,使每一代的積體電路均比前一代的積體電路具有更小且更複雜的電路。在積體電路的演進中,功能密度(如單位晶片面積的內連線裝置數目)通常隨著幾何尺寸(如製程所能形成的最小構件或線路)縮小而增加。製程尺寸縮小通常有利於增加產能並降低相關成本。上述製程尺寸縮小亦會增加積體電路的製程複雜性。
幾何尺寸縮小導致半導體製程中的挑戰。舉例來說,有機層作為微影製程中的下方層時,其組成(如單體或高分子)會溶解於塗佈其上的另一層(比如中間層或光阻層)中,造成不同材料層之間的混合邊界。當幾何尺寸持續縮小時製程容忍度會下降,而混合邊界會限制製程容許範圍(比如曝光或蝕刻的製程容許範圍),並進一步限制微影製程形成的光阻圖案之關鍵尺寸。
如此一來,習知的微影製程方法一般適用於其發展目的,但無法完全適用於每一領域。目前亟需解決上述問題 的微影方法。
本發明一實施例提供之微影方法,包括:形成下方層於基板上;形成含矽中間層於下方層上,其中含矽中間層具有熱酸產生組成;形成光敏層於含矽中間層上;對光敏層進行曝光製程;以及顯影光敏層,以形成圖案化的光敏層。
h1、h2‧‧‧厚度
Ra‧‧‧光切換單體
Rc‧‧‧調整單體
Rg‧‧‧反應性單體
100‧‧‧方法
102、104、106、108、110、112、114、116、118、120、122‧‧‧步驟
200‧‧‧半導體裝置
202‧‧‧基板
204‧‧‧圖案化層
206‧‧‧有機層
206a、206b、208a、208b、210a、210b‧‧‧部份
208‧‧‧阻擋層
210‧‧‧光阻層
212、222‧‧‧射線束
214、224‧‧‧光罩
216‧‧‧顯影劑
228‧‧‧溶液
300‧‧‧材料組成
302‧‧‧高分子
304‧‧‧高分子主鏈
306‧‧‧光酸產生劑
312‧‧‧溶劑
第1圖係本發明多種實施例中,微影圖案化方法的流程圖。
第2A、2B、2C-1、2C-2、2D、2E-1、2E-2、2F、2G、2H、2I、與2J圖係一些實施例中,依據第1圖之方法形成目標圖案的製程剖視圖。
第3A與3B圖係一些實施例中,阻擋層中的材料組成。
下述揭露內容提供許多不同實施例或例子以實施本發明的不同結構。下述特定構件與排列的例子係用以簡化本發明而非侷限本發明。舉例來說,形成第一構件於第二構件上的敘述包含兩者直接接觸,或兩者之間隔有其他額外構件而非直接接觸。此外,本發明的多個實例可採用重複標號及/或符號使說明簡化及明確,但這些重複不代表多種實施例中相同標號的元件之間具有相同的對應關係。
此外,空間性的相對用語如「下方」、「其下」、「較下方」、「上方」、「較上方」、或類似用語可用於簡化說明某一元件與另一元件在圖示中的相對關係。空間性的相對 用語可延伸至以其他方向使用之元件,而非侷限於圖示方向。元件亦可轉動90°或其他角度,因此方向性用語僅用以說明圖示中的方向。
在半導體製程中,多種有機材料可沉積或形成於基板上。在製程中,有機材料的移除、清潔、或剝除方法可包含乾式方法如乾式灰化或電漿灰化,或濕式方法如溶劑剝除。乾式方法具有多種缺陷,比如採用高能離子移除有機材料會造成射線損傷下方基板,或者在許多乾式方法中採用的較高溫環境會讓雜質擴散至基板中。與此相較,濕式方法的優點可減少基板損傷與腐蝕,改善橫越基板的製程一致性,並增加基板的產量(比如增加單次製程循環中同時處理的基板數量)。但是在有機材料硬化時,比如有機材料中的單體或高分子因高溫產生交聯反應而硬化,濕式方法將難以移除硬化的有機材料。這會導致未完全移除的有機材料殘留於基板表面及/或小結構上。如此一來,採用的有機材料需具有不交聯的單體或高分子(又稱作不交聯的有機材料),使移除步驟可採用濕式方法如溶劑剝除移除有機材料。然而形成在有機材料層上的相鄰材料層中的溶劑,可能會溶解上述單體或高分子。舉例來說,作為蝕刻遮罩的有機材料層,可能會與塗佈其上的光阻層互混於兩層之間的界面。或者在三層圖案化方案中,構成下方層的有機材料層,可能會與塗佈其上的中間層互混。上述互混會模糊材料層之間的邊界,並限制製程容許範圍(比如劣化曝光中的成像解析度)。
本發明的目的之一為提供新穎且改良的材料層組 成,其可作為阻擋層以避免有機層與其他材料層互混,有利於先進微影製程達到高圖案保真度。上述微影製程包含深紫外線微影、極紫外線微影、與電子束微影。上述阻擋層可維持(不影響)溶劑剝除中,有機材料的移除效率。
第1圖係本發明多種實施例中,圖案化基板(如半導體晶圓)的方法100之流程圖。可採用深紫外線微影、極紫外線微影、電子束微影、X光微影、離子束微影、或其他微影製程的執行系統,部份或完全地實施方法100。在方法100之前、之中、與之後可進行額外步驟,且方法的其他實施例可置換、省略、或調換一些步驟。方法100僅用以舉例,而非侷限本發明至申請專利範圍未實際限縮的部份。
在下述內容中,方法100將搭配第2A至2J、3A、與3B圖說明,其中半導體裝置200的製作方法採用方法100的實施例。半導體裝置200可為製作積體電路的中間階段之裝置或其部份,其可包含靜態隨機存取記憶體及/或邏輯電路;被動構件如電阻、電容、或電感;或主動構件如二極體、場效電晶體、p型場效電晶體、n型場效電晶體、鰭狀場效電晶體、其他三維場效電晶體、金氧半場效電晶體、互補式金氧半場效電晶體、雙極性電晶體、高壓電晶體、高頻電晶體、其他記憶單元、或上述之組合。
如第1圖所示,方法100之步驟102接收(或被提供)基板202。如第2A圖所示,基板202包含一或多個材料或組成的層狀物。在一實施例中,基板202為半導體基板如晶圓。在另一實施例中,基板202包含結晶結構的矽。在又一實施例中, 基板202可包含另一半導體元素如鍺;半導體化合物如碳化矽、砷化鎵、砷化銦、或磷化銦;半導體合金如磷化鎵砷、砷化鋁銦、砷化鋁鎵、砷化銦鎵、磷化鎵銦、及/或磷砷化鎵銦;或上述之組合。基板202可包含絕緣層上矽基板、可具有應力以增進效能、可包含磊晶區、可包含隔離區、可包含摻雜區、可包含一或多個半導體裝置或其部份、可包含導電及/或非導電層、及/或可包含其他合適結構與層狀物。在此實施例中,基板202包含圖案化層204。在一實施例中,圖案化層204為硬遮罩層,其包含的材料可為非晶矽、氧化矽、氮化矽、氮化鈦、或其他合適的材料或組成。在一實施例中,圖案化層204為抗反射塗層(如無氮的抗反射塗層),其包含的材料可為氧化矽、碳氧化矽、或電漿增強化學氣相沉積的氧化矽。在多種實施例中,圖案化層204可包含高介電常數的介電層、閘極層、硬遮罩層、界面層、蓋層、擴散阻障層、介電層、導電層、其他合適的層狀物、及/或上述之組合。圖案化層204形成於基板202上的方法可為一或多道沉積技術,比如物理氣相沉積、化學氣相沉積、或原子層沉積。
在其他實施例中,基板202為光罩基板,其可包含低熱膨脹材料如石英、矽、碳化矽、或氧化矽-氧化鈦化合物。在此例中,基板202可為用於深紫外線光罩、極紫外線光罩、或其他種類光罩的光罩基板。
如第1與2A圖所示,方法100之步驟104形成材料層於基板202上。在多種實施例中,材料層包含可圖案化的有機材料。如此一來,材料層亦可稱作有機層206。有機層206亦可 包含溶劑,其與有機材料混合。在此實施例中,溶劑為有機溶劑,且有機材料可溶於有機溶劑中。有機層206可由合適技術施加至基板202上,比如旋轉塗佈、刮刀塗佈、噴塗、狹縫塗佈、滾筒式凹版印刷、網印、或其他合適技術。
在本發明多種實施例中,有機層206可構成三層圖案化方案的下方層。這種三層圖案化方案可用於微影製程中。
有機層206中的有機材料可包含不交聯的多種單體或高分子。在微影製程中,需要對有機層206不進行交聯處理。交聯處理指的是將材料置於一特定條件,比如幾百度的高溫烘烤,以啟動材料中的分子(如單體或高分子)的交聯反應。交聯的分子將大幅增加材料的強度或硬度。如此一來,當有機層206置於交聯處理時,有機層206中的材料將交聯硬化,而難以由溶劑剝除製程移除。然而本發明多種實施例中,不對有機層206進行交聯處理,且溶劑剝除製程仍可移除有機層206。此外,此實施例的有機層206不溶於水性溶液中。
在第1圖之方法100的步驟106中,形成阻擋層208於有機層206上(見第2B圖)。在一實施例中,阻擋層208的形成方法為旋轉塗佈液態的高分子材料於有機層206上。阻擋層208具有厚度h1。在一些實施例中,厚度h1大於10nm。
阻擋層208可構成本發明實施例中,三層圖案化方案的中間層。在一些實施例中,阻擋層208包含溶解於水為主(水性)溶液中的高分子。舉例來說,高分子可包含聚丙烯醯胺、聚胺、聚丙烯酸酯、聚乙二醇、聚乙烯亞胺、或聚醯胺-胺。溶液可包含水溶性溶劑,其可為C1-C8的醇、二醇、單烷基醚、 乙二醇單烷基醚醋酸酯、丙二醇單甲基醚醋酸酯、或上述之組合。阻擋層208可額外包含添加劑,比如一或多種界面活性劑,以利降低阻擋層208的表面張力並改善塗佈的一致性。舉例來說,界面活性劑可為陰離子型、非離子型、或親水型。在一些實施例中,阻擋層208包含調整單體,其包含芳基以調整阻擋層208的性質(比如消光係數κ與折射率n)。舉例來說,芳基可包含苯、苯甲酸、苯乙酮、苯甲酸甲酯、酚、萘、或蒽。由於有機層206不溶於水性溶液中,有機層206與阻擋層208之間的材料界面不會互混。因此阻擋層208可保護有機層206,可避免形成於有機層206上的其他層影響有機層206。
在一些實施例中,阻擋層208包含反應性的單體溶於有機溶液中。有機溶液可包含單一溶劑或多種溶劑的混合物,且溶劑可為醋酸異丁酯、醋酸正丁酯、2-庚酮、或丙二醇單甲基醚醋酸酯。應注意的是,上述溶劑僅用以舉例而非侷限本發明實施例。本發明實施例可採用任何其他合適的化學溶劑。反應性單體包含一或多個反應點位,因此反應性單體可連結或鍵結至有機層206的表面。舉例來說,反應性單體可與有機層206的表面反應,可產生一或多個化學鍵(如氫鍵)以連結反應性單體與有機層206的表面。雖然阻擋層208包含的有機溶劑可溶解有機層206,但連結至有機層206之表面的反應性單體可形成蓋層,且蓋層可阻擋有機溶劑直接接觸有機層206。如此一來,可保護有機層206不受形成其上之其他層的影響。阻擋層208未鍵結至有機層206的表面的其他部份仍可溶於有機溶劑(比如形成於阻擋層208上的其他層中的有機溶劑)中,且上述 有機溶劑可為丙二醇單甲基醚、OK73(70%的丙二醇單甲基醚與30%的丙二醇單甲基醚醋酸酯)、或醇。上述特徵可有效降低阻擋層208的厚度h1,其將搭配步驟108詳述如下。
在一些例子中,反應性單體可包含一或多個含氮官能基,比如一級胺、二級胺、三級胺、或含氮雜環基。在一些例子中,反應性單體包含羥基。在一些實施例中,反應性單體可與酸作用。舉例來說,反應性單體可包含鹼,比如具有鹼性的單體。舉例來說,反應性單體可為混合於有機溶液中的單體,比如光分解鹼。如此一來,一些實施例中的阻擋層208其酸解離常數(pKa)大於4且小於13。在至少一些實施例中,阻擋層208的酸解離常數大於5且小於10。阻擋層208亦可包含調整單體,其包含芳基以調整阻擋層208的性質(比如消光係數κ與折射率n)。舉例來說,芳基可包含苯、苯甲酸、苯乙酮、苯甲酸甲酯、酚、萘、或蒽。此外,阻擋層208可包含高分子,其具有高分子主鏈以鍵結至反應性單體與調整單體。
在一些實施例中,阻擋層208中的高分子可如式(a)至(c)中任一者所示:
在式(a)至(c)中,高分子包含-COOH官能基。調整高分子主鏈上-COOH官能基的負載量,可調整高分子在溶劑中的溶解度。
在一實施例中,第1圖之方法100的步驟108形成光阻層210於基板202以及部份的阻擋層208上。光阻層210可構成上述三層圖案化方案的較上層。如第2C-1與2C-2圖所示的多種實施例中,光阻層210的形成方法可為旋轉塗佈液態的高分子材料於阻擋層208上。在一實施中,在光阻層210堆疊於阻擋層208上之後,阻擋層208維持其厚度h1,如第2C-1圖所示。換言之,形成光阻層210時並未溶解阻擋層208。在一實施例中,形成光阻層210時的阻擋層208其厚度自厚度h1減少至h2,如第2C-2圖所示。舉例來說,阻擋層208可具有溶解於有機溶液中的反應性單體,而阻擋層208其未鍵結至有機層206之表面的較上部份可部份地溶解於光阻層210中的有機溶劑,且在旋轉塗佈製程中被洗除。在其他實施例中,在施加光阻材料前的預處理溶劑(比如光阻減量消耗溶劑),可洗除阻擋層208的較上部份。為方便說明,第2C-2圖所示之具有減少的厚度h2之阻擋層208將用於後續步驟。本技術領域中具有通常知識者應理解,第2C-1圖中具有厚度h1的阻擋層208亦可用於後續步驟。
在一些實施例中,需要縮小阻擋層208的厚度。光阻層210的厚度與阻擋層208的厚度相關。在採用習知三層圖案化方案的習知製程中,中間層的形成方法為旋轉塗佈法,因此具有較厚的厚度。如此一來,形成於中間層上的光阻層亦傾向為具有較厚的厚度。換言之,阻擋層208越厚會造成光阻層210越厚。與此同時,隨著裝置的製程尺寸持續縮小,晶圓上的圖案也具有更小的橫向尺寸,這將使光阻圖案的橫向尺寸(如寬度)隨之縮小。如此一來,光阻圖案的高寬比將增加。大高寬 比的光阻圖案難以形成與維持,且具有較高崩潰風險並導致製程缺陷。在一實施例中,阻擋層208的較上部份未鍵結至有機層206的表面,且光阻層210的有機溶劑或光阻減量消耗溶劑可部份地移除阻擋層208的較上部份。減少的厚度h2可低於10nm。在另一例中,光阻層210的有機溶劑或光阻減量消耗溶劑可大部份或實質上完全地移除阻擋層208的較上部份(未鍵結至有機層206的表面)。減少的厚度h2可低於1nm。
在一實施例中,光阻層210對射線如I線光、深紫外線(比如波長為248nm的氟化氪準分子雷射或波長為193nm的氟化氬準分子雷射)、極紫外線(比如波長為約13.5nm的極紫外線)、電子束、X光、或離子束敏感。光阻層210可為負型顯影光阻,其照射射線的部份在顯影劑中的溶解度降低。負型顯影製程中採用的光阻可為高分子材料,其包含可交聯的高分子與交聯劑,其中高分子的分子在照射射線後產生交聯。在其他實施例中,光阻層210可為正型顯影光阻,其照射射線的部份在顯影劑中的溶解度增加。正型顯影製程中採用的光阻可為化學放大光阻,其具有一或多個酸活性基團與光酸產生劑,其中化學放大光阻在照射射線後產生酸。在化學放大反應中,酸自高分子材料切斷酸活性基團。
在第1圖中的方法110之步驟110中,在微影系統中以射線束212曝光光阻層210,如第2D圖所示。射線束212曝光光阻層210的一些部份210a(陰影區),而光阻層210的其他部份210b維持未曝光。射線束212可為I線光(365nm)、深紫外線(比如波長為248nm的氟化氪準分子雷射或波長為193nm的氟化氬 準分子雷射)、極紫外線(比如波長為約13.5nm的極紫外線)、電子束、X光、離子束、或其他合適射線。步驟110可在空氣、液體(浸潤式微影)、或真空(極紫外線微影或電子束微影)中進行。在一實施例中,射線束212經由光罩214圖案化。光罩可為穿透式光罩或反射式光罩,其可包含解析度增進技術如相位移及/或光學鄰近修正。光罩214包含多種圖案,以用於形成積體電路結構於基板202之中或之上。在另一實施例中,可依預定圖案如積體電路佈局直接調整射線束212,而不採用光罩(比如採用電子束的無光罩微影)。在一實施例中,步驟110包含曝光前軟烘烤光阻層210,及/或曝光後烘烤光阻層210,且烘烤溫度不會高到啟動有機層206中的交聯反應。
如第2E-1與2E-2圖所示,步驟112在顯影劑216中顯影曝光的光阻層210,以形成光阻圖案。顯影劑216可包含水性溶劑或有機溶劑。光阻層210與顯影劑216的種類,將決定顯影製程移除曝光的部份210a(比如第2E-1圖所示的正型顯影製程)或未曝光的部份210b(比如第2E-2圖所示的負型顯影製程)。在這些例子中,可形成一或多個開口於光阻層210中。顯影劑216亦移除光阻層210之開口中的阻擋層208其一些部份208b,而保留未顯影之光阻層210下之阻擋層208的一些部份208a。在一些實施例中,步驟112露出光阻層210之開口中的有機層206其上表面。在其他實施例中,顯影劑216並未移除高分子之薄層如部份208b,且部份208b鍵結至有機層206的上表面。上述部份208b如同蓋層,仍覆蓋有機206。為方便說明,第2E-2圖所示的光阻圖案將用於後續步驟的例子。本技術領域中具有通常知 識者應理解,第2E-1圖所示光阻圖案亦可用於後續步驟。
在一些實施例中,阻擋層208包含的高分子,對用於曝光光阻層210的射線敏感。如第2D圖所示,在曝光光阻層210時,部份射線束212將穿過光阻層210以曝光阻擋層208。阻擋層208中的高分子具有光切換基團,其於曝光時可改變極性。如此一來,可調整阻擋層208在顯影劑216中的溶解度。
第3A與3B圖分別為一些實施例中,阻擋層208中的材料組成300與對射線敏感的高分子302。高分子302可包含高分子主鏈304、一或多個反應性單體Rg、一或多個光切換單體Ra、與一或多個調整單體Rc。反應性單體Rg、光切換單體Ra、與調整單體Rc可都鍵結至高分子主鏈304。在一些實施例中,反應性單體Rg為鍵結至高分子主鏈的螯合基。螯合基可為鹼性單體,當其接觸有機層206的表面時可產生氫鍵以形成隔離層。在一些實施例中,螯合基可包含官能基如胺、醯胺、酯、羥基、碸基、或雜環基。在一實施例中,螯合基可包含下列中至少一者:一級胺、二級胺、三級胺、氨基、吡啶基、酚基、或氰基。在一些實施例中,調整單體Rc包含芳基以調整阻擋層208的消光係數κ與折射率n。舉例來說,芳基可包含苯、苯甲酸、苯乙酮、苯甲酸甲酯、酚、萘、或蒽。在一些實施例中,光切換單體Ra包含酸活性基團。酸活性基團作為溶解抑制劑,其可由光酸產生劑306產生的酸切斷。阻擋層208之曝光部份中的光酸產生劑因射線而釋放酸。混合於溶劑312中的自動催化酸放大添加劑亦可產生酸。材料組成300亦可包含其他添加劑,比如接收主要射線(如極紫外線)後可產生二次射線或電子 的敏化劑。上述主要射線可啟動光酸產生劑306以釋放酸。如此一來,阻擋層208的曝光部份將改變其極性與溶解度。此外,在負型顯影製程中,阻擋層208其曝光部份中的極性與溶解度改變,可增加阻擋層208與光阻層210之下表面的黏著力。上述黏著力增加為需要的,其可改善微影製程中光阻層210其崩潰(剝離)的容許範圍。在一些實施例中,光切換單體Ra可為酸可切斷的環狀或支鏈狀的脂肪族、羰、酯、寡聚的酯、醚、碳酸酯、或原酸酯。在一實施例中,光切換單體係甲基環戊烷、乙基環戊烷、或甲基金鋼烷。
如第3B圖所示的一些例子中,高分子302的化學結構可包含分別鍵結至A1、A2、與A3的反應性單體Rg、光切換單體Ra、與調整單體Rc,其中A1、A2、與A3各自可為COO-或PhO-。A1、A2、與A3鍵結至高分子主鏈的部份分別具有莫耳比例X、Y、與Z。在一些實施例中,X+Y+Z=1.0,0<X<1,0.1<Y<0.9,且0.25<Z<0.5。Xa、Xb、與Xc亦可鍵結至高分子主鏈,且各自可為氫或甲基。
在一些實施例中,阻擋層208中的高分子302可如式(d)至(l)中任一者所示:
第1圖之方法100的步驟114採用圖案化的光阻層210與圖案化的阻擋層208作為遮罩,並將光阻層210的圖案轉移至有機層206,如第2F圖所示。在多種實施例中,步驟114為蝕刻製程,其選擇性地蝕刻有機層206。蝕刻製程可包含乾蝕刻、濕蝕刻、或上述之組合。在一例中,蝕刻製程包含電漿蝕刻製程,其採用含氟蝕刻品如CF2、CF3、CF4、C2F2、C2F3、C3F4、C4F4、C4F6、C5F6、C6F6、C6F8、或上述之組合。在蝕刻有機層206時,可消耗部份的光阻圖案。在步驟114之後,方法100可視情況進行額外步驟如剝除光阻層210其殘留的部份210a與阻擋層208其殘留的部份208a,並保留圖案化的有機層(如部份206a)於圖案化層204上。
在一些實施例中,有機層206為光阻層。如此一來,第1圖之方法100可排除步驟114,並由步驟112跳到步驟116以經由圖案化的光阻層210之開口對有機層206進行第二曝光製程。藉由兩個光阻層與雙重曝光製程,可改善製程容許範圍的保真度並可達到較小的關鍵尺寸。在一實施例中,有機層210 對射線如I線光、深紫外線、極紫外線、電子束、X光、或離子束敏感。如第2D圖所示的一實施例,在曝光光阻層210時,射線束212不會同時曝光有機層206。舉例來說,有機層206對射線敏感,但此射線波長不同於射線束212的波長。在另一例中,有機層206與光阻層210對射線束212可具有不同的曝光臨界值。舉例來說,有機層206的曝光臨界值高於光阻層210的曝光臨界值,因此即使射線束212的能量劑量足以曝光光阻層210,仍不足以曝光有機層206。在另一實施例中,阻擋層208中的調整單體Rc提供衰減機制,使到達有機層206的射線束212衰減至低於有機層206的曝光臨界值。
如第2G圖所示,方法100在微影系統中以射線束222曝光有機層206。射線束222經由圖案化的光阻層210之開口曝光有機層206的一些部份206a(陰影區域),而有機層206的其他部份206b則維持未曝光。在一實施例中,以光罩224(如穿透式光罩或反射式光罩)圖案化射線束222。在另一實施例中,以預定圖案直接調整射線束222而不採用光罩,比如採用電子束的無光罩微影。
第1圖之方法100的步驟118在顯影劑226中顯影曝光的有機層206,如第2H圖所示。方法100亦可具有視情況進行的步驟,比如在顯影曝光的有機層206之前,剝除光阻層210其保留的部份210a與阻擋層208其保留的部份208a。在其他實施例中,可在顯影曝光的有機層206之後,剝除光阻層210其保留的部份210a與阻擋層208其保留的部份208a。與前述顯影光阻層210之步驟112類似,有機層206與顯影劑226的種類將決定步 驟118移除曝光的部份206a(比如正型顯影製程)或未曝光的部份206b(比如負型顯影製程)。第2H圖顯示正型顯影製程,其中曝光的部份206a被移除。在一些實施例中,高分子的薄層如部份208b鍵結至有機層206的上表面,顯影劑226不會移除這些部份208b,且部份208b仍覆蓋有機層206其露出的部份206b。
在第1圖之幫法100的步驟120中,採用圖案化的有機層206作為蝕刻遮罩,並蝕刻圖案化層204,以將圖案轉移至圖案化層204,如第2D圖所示。在一實施例中,步驟120亦採用圖案化的有機層206作為蝕刻遮罩並蝕刻基板202。步驟120可採用乾式(電漿)蝕刻、濕式蝕刻、或其他合適的蝕刻方法。舉例來說,乾蝕刻製程可採用含氧氣體、含氟氣體(如CF4、SF6、CH2F2、CHF3、及/或C2F6)、含氯氣體(如Cl2、CHCl3、CCl4、及/或BCl3)、含溴氣體(如HBr及/或CHBr3)、含碘氣體、其他合適氣體及/或電漿、及/或上述之組合。
第1圖之方法100的步驟122以溶劑剝除製程移除圖案化的有機層206,並保留圖案化層204於基板202上,如第2J圖所示。在一些實施例中,溶劑剝除製程包含施加溶液228至半導體裝置200。在一些實施例中,溶液228的形成方法為混合氫氧化四甲基銨與溶劑(如丙二醇乙基醚或丙二醇乙基醚與水)。在一些實施例中,溶劑剝除製程包含施加硫酸與過氧化氫的混合物,以及施加氨與過氧化氫的混合物(比如氫氧化銨、過氧化氫、與水的混合物)。在多種實施例中,依序施加硫酸與過氧化氫的混合物,以及氨與過氧化氫的混合物至半導體裝置200。在其他實施例中,溶劑剝除製程更包含施加稀氫 氟酸至半導體裝置200。
雖然未圖示於第1圖中,方法100可進行其他製程以形成最終圖案或裝置。舉例來說,方法100可將圖案化層204作為蝕刻遮罩,並蝕刻基板202。在另一例中,方法100可沉積額外層狀物於圖案化層204上,並對額外層狀物進行圖案化製程。舉例來說,方法100可形成淺溝槽隔離結構以定義電晶體主動區,可形成鰭狀凸起於個別基板中以形成鰭狀場效電晶體,可形成接點孔以用於電晶體的源極/汲極/汲極接點,且可形成內連線結構。
本發明一或多個實施例提供之半導體製程具有多個優點,不過並不侷限於這些優點。舉例來說,本發明實施例的阻擋層提供有機層所需的隔離,以避免有機層與形成其上的其他層互混。高分子化合物的設計可讓薄蓋層形成於有機層的上表面上,以避免有機層直接接觸來自其他層的溶劑。此外,阻擋層材料組成與採用其的方法有助於改善製程容許範圍。另一方面,本發明實施例的方法易於整合至現有的半導體製程中。
在本發明一實施例中,微影圖案化的方法包括:形成材料層於基板上,其中材料層可溶於溶劑中;形成阻擋層於材料層上;形成光阻層於阻擋層上,其中光阻層包含光敏材料,且光敏材料溶於溶劑中;曝光光阻層;以及在顯影劑中顯影光阻層。
在一些實施例中,上述方法之阻擋層包含水溶性溶劑,且水溶性溶劑係C1-C8的醇、二醇、單烷基醚、乙二醇 單烷基醚醋酸酯、或丙二醇單甲基醚醋酸酯。
在一些實施例中,上述方法之阻擋層包含有機溶劑。
在一些實施例中,上述方法之阻擋層的酸解離常數大於4且小於13。
在一些實施例中,上述方法之阻擋層包括反應性單體,其連結至材料層的上表面。
在一些實施例中,上述方法之反應性單體經由氫鍵連結至材料層的上表面。
在一些實施例中,上述方法在形成光阻層的步驟中,光阻層的溶劑移除未連結至材料層的上表面之部份阻擋層。
在一些實施例中,上述方法之反應性單體包含下述中至少一者:一級胺、二級胺、三級胺、含氮雜環基、羥基、或光分解鹼。
在一些實施例中,上述方法之阻擋層包含具有芳基的調整單體,且調整單體調整阻擋層的消光係數與折射率。
在一些實施例中,上述方法之材料層為光阻,阻擋層包含高分子主鏈、鍵結至高分子主鏈的螯合基、鍵結至高分子主鏈的光切換單體、與鍵結至高分子主鏈的調整單體,且調整單體設置以調整阻擋層的消光係數與折射率。
在一些實施例中,上述方法更包括將顯影的光阻層中的圖案轉移至材料層;採用材料層作為遮罩,以將圖案轉移至基板;以及在溶劑剝除製程中移除材料層。
在一些實施例中,上述方法之阻擋層包含的高分子如式(a)、(b)、與(c)中任一者所示:
在一些實施例中,上述方法之阻擋層包含的高分子如式(d)、(e)、(f)、(g)、(h)、(i)、(j)、(k)、與(l)中任一者所示:
在本發明另一實施例中,微影圖案化的方法包括:形成有機層於基板上,有機層包含的材料能交聯,但不將有機層的材料置於交聯條件;形成阻擋層於有機層上,其中部 份的阻擋層連結至有機層;形成光阻層於阻擋層上,其中光阻層的溶劑移除未交聯至有機層之另一部份的阻擋層;對光阻層進行曝光製程;在顯影劑中顯影光阻層,以形成圖案化的光阻層,其中顯影劑部份地移除阻擋層;將圖案化的光阻層中的圖案轉移至有機層;以有機層作為遮罩,將圖案轉移至基板;以及在溶劑剝除製程中移除有機層。
在一些實施例中,上述方法中部份阻擋層經由反應性單體連結至有機層,且反應性單體包含下述中至少一者:一級胺、二級胺、三級胺、氨基、吡啶基、酚基、或氰基。
在一些實施例中,上述方法的阻擋層更包含酸活性基團與高分子主鏈,其中反應性單體與酸活性基團均鍵結至高分子主鏈。
在本發明另一實施例中,微影圖案化的方法包括:形成第一光阻層於基板上;形成阻擋層於第一光阻層上,且阻擋層包含螯合基、酸活性基團、高分子主鏈、以及光酸產生劑,其中螯合基連結至第一光阻層的上表面;形成第二光阻層於阻擋層上,其中第二光阻層的溶劑移除未連結至第一光阻層的上表面之部份的阻擋層;對第二光阻層進行第一曝光製程;顯影第二光阻層,以形成圖案化的第二光阻層;經由圖案化的第二光阻層中的開口,對第一光阻層進行第二曝光製程;顯影第一光阻層,以形成圖案化的第一光阻層;至少採用圖案化的第一光阻層作為遮罩,將圖案轉移至基板;以及在溶劑剝除製程中移除第一光阻層。
在一些實施例中,上述方法之螯合基包含下述中 至少一者:胺基、醯胺基、酯基、羥基、碸基、或雜環基。
在一些實施例中,上述方法在進行第一曝光製程後切斷酸活性基團,且阻擋層與第二光阻層的黏著力提高。
在一些實施例中,上述方法之第一光阻層與第二光阻層具有不同的曝光臨界值。
本發明已以數個實施例揭露如上,以利本技術領域中具有通常知識者理解本發明。本技術領域中具有通常知識者可採用本發明為基礎,設計或調整其他製程與結構,用以實施實施例的相同目的,及/或達到實施例的相同優點。本技術領域中具有通常知識者應理解上述等效置換並未偏離本發明之精神與範疇,並可在未偏離本發明之精神與範疇下進行這些不同的改變、置換、與調整。

Claims (1)

  1. 一種微影圖案化的方法,包括:形成一材料層於一基板上,其中該材料層可溶於一溶劑中;形成一阻擋層於該材料層上;形成一光阻層於該阻擋層上,其中該光阻層包含一光敏材料,且該光敏材料溶於該溶劑中;曝光該光阻層;以及在一顯影劑中顯影該光阻層。
TW106127270A 2017-05-17 2017-08-11 微影圖案化方法 TW201901738A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/597,309 2017-05-17
US15/597,309 US10394123B2 (en) 2017-05-17 2017-05-17 Blocking layer material composition and methods thereof in semiconductor manufacturing

Publications (1)

Publication Number Publication Date
TW201901738A true TW201901738A (zh) 2019-01-01

Family

ID=64271613

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106127270A TW201901738A (zh) 2017-05-17 2017-08-11 微影圖案化方法

Country Status (3)

Country Link
US (2) US10394123B2 (zh)
CN (1) CN108957958A (zh)
TW (1) TW201901738A (zh)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10394123B2 (en) * 2017-05-17 2019-08-27 Taiwan Semiconductor Manufacturing Co., Ltd. Blocking layer material composition and methods thereof in semiconductor manufacturing
US10573519B2 (en) * 2017-09-08 2020-02-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method for performing a photolithography process
US10515847B2 (en) * 2017-09-29 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming vias and method for forming contacts in vias
CN114375489A (zh) * 2019-09-04 2022-04-19 朗姆研究公司 刺激响应聚合物膜和制剂
WO2021231307A1 (en) 2020-05-12 2021-11-18 Lam Research Corporation Controlled degradation of a stimuli-responsive polymer film

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8586478B2 (en) * 2011-03-28 2013-11-19 Renesas Electronics Corporation Method of making a semiconductor device
US9213234B2 (en) 2012-06-01 2015-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Photosensitive material and method of lithography
US9256133B2 (en) 2012-07-13 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for developing process
US9028915B2 (en) 2012-09-04 2015-05-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a photoresist layer
US9093530B2 (en) 2012-12-28 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of FinFET
US9012132B2 (en) 2013-01-02 2015-04-21 Taiwan Semiconductor Manufacturing Company, Ltd. Coating material and method for photolithography
US9223220B2 (en) 2013-03-12 2015-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Photo resist baking in lithography process
US9146469B2 (en) 2013-03-14 2015-09-29 Taiwan Semiconductor Manufacturing Company, Ltd. Middle layer composition for trilayer patterning stack
US8796666B1 (en) 2013-04-26 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with strain buffer layer and methods of forming the same
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
US9536759B2 (en) 2015-05-29 2017-01-03 Taiwan Semiconductor Manufacturing Co., Ltd Baking apparatus and method
US10394123B2 (en) * 2017-05-17 2019-08-27 Taiwan Semiconductor Manufacturing Co., Ltd. Blocking layer material composition and methods thereof in semiconductor manufacturing

Also Published As

Publication number Publication date
US10394123B2 (en) 2019-08-27
US10747114B2 (en) 2020-08-18
CN108957958A (zh) 2018-12-07
US20190384177A1 (en) 2019-12-19
US20180335697A1 (en) 2018-11-22

Similar Documents

Publication Publication Date Title
US10281819B2 (en) Silicon-containing photoresist for lithography
US20240045327A1 (en) Extreme ultraviolet photolithography method with developer composition
TW201901738A (zh) 微影圖案化方法
US8435728B2 (en) Method of slimming radiation-sensitive material lines in lithographic applications
TWI584083B (zh) 用於微影製程之圖案化的方法
JP3112745B2 (ja) パターン形成用導電性組成物及び該組成物を用いたパターン形成方法
US9459536B1 (en) Negative tone developer composition for extreme ultraviolet lithography
US8338086B2 (en) Method of slimming radiation-sensitive material lines in lithographic applications
JP2010093264A (ja) 半導体素子の微細パターンの形成方法
US20200142314A1 (en) Lithography Patterning Technique
US8906598B2 (en) Pattern forming method, method for manufacturing semiconductor device, and material for forming coating layer of resist pattern
TW201901294A (zh) 微影圖案化的方法
TW201900593A (zh) 微影方法
CN108333866B (zh) 光刻图案化的方法
CN105990104B (zh) 制造一半导体装置的方法
TWI515768B (zh) 微影圖案化方法及雙重圖案化方法
TW201901853A (zh) 積體電路的製造方法
KR102481142B1 (ko) Euv 리소그래피를 위한 네가티브 톤 포토레지스트
TW201835971A (zh) 用於微影製程之圖案化的方法