TW201842599A - Integrated circuit - Google Patents

Integrated circuit Download PDF

Info

Publication number
TW201842599A
TW201842599A TW107112412A TW107112412A TW201842599A TW 201842599 A TW201842599 A TW 201842599A TW 107112412 A TW107112412 A TW 107112412A TW 107112412 A TW107112412 A TW 107112412A TW 201842599 A TW201842599 A TW 201842599A
Authority
TW
Taiwan
Prior art keywords
conductive line
conductive
horizontal direction
integrated circuit
line
Prior art date
Application number
TW107112412A
Other languages
Chinese (zh)
Other versions
TWI799410B (en
Inventor
李在鵬
都楨湖
宋泰中
李昇映
鄭鐘勳
柳志秀
Original Assignee
南韓商三星電子股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 南韓商三星電子股份有限公司 filed Critical 南韓商三星電子股份有限公司
Publication of TW201842599A publication Critical patent/TW201842599A/en
Application granted granted Critical
Publication of TWI799410B publication Critical patent/TWI799410B/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0611Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

An integrated circuit including: a power rail including first and second conductive lines spaced apart from each other in a vertical direction, wherein the first and second conductive lines extend in parallel to each other in a first horizontal direction, and are electrically connected to each other, to supply power to a first standard cell, wherein the first and second conductive lines are disposed at a boundary of the first standard cell; and a third conductive line between the first and second conductive lines and extending in a second horizontal direction orthogonal to the first horizontal direction, to transfer an input signal or an output signal of the first standard cell.

Description

積體電路Integrated circuit

本發明概念是有關於一種積體電路,且更具體而言,是有關於一種包括標準胞元的積體電路及一種製作所述積體電路的方法。SUMMARY OF THE INVENTION The present invention relates to an integrated circuit, and more particularly to an integrated circuit including a standard cell and a method of fabricating the integrated circuit.

隨著半導體製程的微型化,積體電路中所包括的圖案可能具有減小的寬度及/或厚度。此種減小的寬度及/或厚度可能使圖案上出現壓降(voltage drop)(或電阻電位降(IR drop))的可能性增大。電阻電位降可能導致訊號在穿過圖案時衰減。因此,訊號的轉變(transition)可能延遲,且積體電路的效能可能惡化。As the semiconductor process is miniaturized, the patterns included in the integrated circuit may have a reduced width and/or thickness. Such reduced width and/or thickness may increase the likelihood of a voltage drop (or IR drop) on the pattern. The resistance drop can cause the signal to decay as it passes through the pattern. Therefore, the transition of the signal may be delayed, and the performance of the integrated circuit may deteriorate.

根據本發明概念的示例性實施例,提供一種積體電路,所述積體電路包括:電源軌條,包括在垂直方向上彼此間隔開的第一導電線與第二導電線,其中所述第一導電線與所述第二導電線在第一水平方向上彼此平行地延伸且與彼此電性連接以向第一標準胞元供應電力,其中所述第一導電線及所述第二導電線設置於所述第一標準胞元的邊界處;以及第三導電線,位於所述第一導電線與所述第二導電線之間且在與所述第一水平方向正交的第二水平方向上延伸,以傳輸所述第一標準胞元的輸入訊號或輸出訊號。According to an exemplary embodiment of the inventive concept, an integrated circuit is provided, the integrated circuit including: a power rail including a first conductive line and a second conductive line spaced apart from each other in a vertical direction, wherein the a conductive line and the second conductive line extend parallel to each other in a first horizontal direction and are electrically connected to each other to supply power to the first standard cell, wherein the first conductive line and the second conductive line Provided at a boundary of the first standard cell; and a third conductive line between the first conductive line and the second conductive line and at a second level orthogonal to the first horizontal direction Extending in direction to transmit an input signal or an output signal of the first standard cell.

根據本發明概念的示例性實施例,提供一種積體電路,所述積體電路包括:第一標準胞元及第二標準胞元,排列於第一水平方向上;電源軌條,包括在垂直方向上彼此間隔開的第一導電線與第二導電線,其中所述第一導電線與所述第二導電線在所述第一水平方向上平行地延伸且與彼此電性連接以向所述第一標準胞元及所述第二標準胞元供應電力,其中所述第一導電線及所述第二導電線設置於所述第一標準胞元及所述第二標準胞元中的每一者的邊界處;以及第三導電線,位於所述第一導電線與所述第二導電線之間且在與所述第一水平方向正交的第二水平方向上延伸,以傳輸所述第一標準胞元的輸入訊號或輸出訊號,其中所述電源軌條更包括在所述第二標準胞元的所述邊界上在所述第一水平方向上延伸的第四導電線,其中所述第四導電線電性連接至所述第一導電線及所述第二導電線且與所述第三導電線形成於同一層中。According to an exemplary embodiment of the inventive concept, an integrated circuit is provided, the integrated circuit including: a first standard cell and a second standard cell arranged in a first horizontal direction; and a power rail, including in a vertical a first conductive line and a second conductive line spaced apart from each other in a direction, wherein the first conductive line and the second conductive line extend in parallel in the first horizontal direction and are electrically connected to each other to The first standard cell and the second standard cell supply power, wherein the first conductive line and the second conductive line are disposed in the first standard cell and the second standard cell a boundary of each of; and a third conductive line extending between the first conductive line and the second conductive line and extending in a second horizontal direction orthogonal to the first horizontal direction for transmission An input signal or an output signal of the first standard cell, wherein the power rail further includes a fourth conductive line extending in the first horizontal direction on the boundary of the second standard cell, Wherein the fourth conductive line is electrically connected to the A first conductive line and the second conductive line and the third conductive line is formed in the same layer.

根據本發明概念的示例性實施例,提供一種積體電路,所述積體電路包括:電源軌條,包括位於多個標準胞元的邊界上的多條導電線,其中所述導電線在多個導電層中形成有多條且在第一水平方向上彼此平行地延伸以向所述多個標準胞元供應電力;以及訊號線,在與所述第一水平方向正交的第二水平方向上穿過所述電源軌條,其中所述訊號線形成於所述多個導電層中的一者中,以傳輸所述多個標準胞元中的至少一者的輸入訊號或輸出訊號,其中所述電源軌條包括第一導電線,所述第一導電線形成於其中形成有所述訊號線的所述導電層中,其中所述第一導電線在所述第一水平方向上延伸且與所述訊號線絕緣。According to an exemplary embodiment of the inventive concept, an integrated circuit is provided, the integrated circuit including: a power rail including a plurality of conductive lines on a boundary of a plurality of standard cells, wherein the conductive lines are a plurality of conductive layers are formed and extend parallel to each other in a first horizontal direction to supply power to the plurality of standard cells; and a signal line in a second horizontal direction orthogonal to the first horizontal direction Passing through the power rail, wherein the signal line is formed in one of the plurality of conductive layers to transmit an input signal or an output signal of at least one of the plurality of standard cells, wherein The power rail includes a first conductive line formed in the conductive layer in which the signal line is formed, wherein the first conductive line extends in the first horizontal direction and Insulated from the signal line.

圖1是根據本發明概念示例性實施例的積體電路10的一部分的圖式。圖2A及圖2B是根據本發明概念示例性實施例,積體電路10的沿圖1所示的線X1-X1'與Z軸方向平行地切割的剖視圖。為便於說明,圖1、圖2A、及圖2B僅示出積體電路10中所包括的一些層。舉例而言,圖1、圖2A、及圖2B示出藉由後段(back end of line,BEOL)製程形成的層中的一些層。在下文中,由X軸與Y軸形成的平面可稱作水平面;放置於Z方向上的元件可被視為例如處於Z方向上的其他元件下方或上方。FIG. 1 is a diagram of a portion of an integrated circuit 10 in accordance with an exemplary embodiment of the inventive concept. 2A and 2B are cross-sectional views of the integrated circuit 10 cut along the line X1-X1' shown in FIG. 1 in parallel with the Z-axis direction, according to an exemplary embodiment of the inventive concept. For convenience of explanation, FIGS. 1, 2A, and 2B show only some of the layers included in the integrated circuit 10. For example, Figures 1, 2A, and 2B illustrate some of the layers formed by a back end of line (BEOL) process. Hereinafter, a plane formed by the X-axis and the Y-axis may be referred to as a horizontal plane; an element placed in the Z-direction may be regarded as below or above other elements such as in the Z direction.

參照圖1、圖2A、及圖2B,積體電路10可包括如由虛線所示的標準胞元C11及C12。標準胞元是積體電路10中所包括的佈局的單位。積體電路10可包括多個各種各樣的標準胞元。標準胞元可具有符合預定規格的結構。舉例而言,如圖1中所示,標準胞元C11及C12可具有特定高度(換言之,在Y軸方向上的長度Y10),且可具有與一對電源軌條PR11及PR12交疊的邊界,所述一對電源軌條PR11及PR12在Y軸方向上彼此間隔開且在X軸方向上平行地延伸。儘管標準胞元C11及C12包括M1層至M3層的圖案,然而標準胞元C11及C12可包括僅M1層的圖案或者僅M1層及M2層的圖案。舉例而言,可自基底至M1層或M2層對由標準胞元庫所界定的標準胞元C11及C12的結構進行界定,且可在於標準胞元C11及C12的設計製程中放置標準胞元C11及C12之後在路由操作中確定M2層的一些圖案及M3層的圖案。Referring to Figures 1, 2A, and 2B, integrated circuit 10 can include standard cells C11 and C12 as indicated by dashed lines. The standard cell is a unit of the layout included in the integrated circuit 10. The integrated circuit 10 can include a plurality of various standard cells. The standard cell may have a structure conforming to a predetermined specification. For example, as shown in FIG. 1, the standard cells C11 and C12 may have a specific height (in other words, a length Y10 in the Y-axis direction), and may have a boundary overlapping with a pair of power rails PR11 and PR12. The pair of power supply rails PR11 and PR12 are spaced apart from each other in the Y-axis direction and extend in parallel in the X-axis direction. Although the standard cells C11 and C12 include patterns of the M1 layer to the M3 layer, the standard cells C11 and C12 may include a pattern of only the M1 layer or a pattern of only the M1 layer and the M2 layer. For example, the structure of the standard cells C11 and C12 defined by the standard cell library can be defined from the substrate to the M1 layer or the M2 layer, and the standard cells can be placed in the design process of the standard cells C11 and C12. After C11 and C12, some patterns of the M2 layer and the pattern of the M3 layer are determined in the routing operation.

標準胞元C11及C12可包括使訊號沿其移動的圖案。舉例而言,第一標準胞元C11可包括使在第一標準胞元C11中產生的內部訊號在其中移動的圖案,且可包括使第一標準胞元C11的輸入訊號及輸出訊號在其中分別移動的圖案(例如,輸入引腳及輸出引腳)。在圖1所示積體電路10中,第一標準胞元C11的輸入引腳及輸出引腳可為形成於M2層上的圖案。第一標準胞元C11的輸入引腳及輸出引腳可電性連接至第一標準胞元C11的外部。舉例而言,第一標準胞元C11的輸入引腳可電性連接至另一標準胞元的輸出引腳,且第一標準胞元C11的輸出引腳可電性連接至另一標準胞元的輸入引腳。為將第一標準胞元C11的輸入引腳及/或輸出引腳電性連接至第一標準胞元C11的外部,可使用穿過第一標準胞元C11的邊界的圖案。舉例而言,如圖1中所示,經由通孔與形成於M2層上的第一標準胞元C11的輸入引腳及/或輸出引腳連接的M3層的圖案可在X軸方向上穿過第一標準胞元C11的邊界。另外,如圖1中所示,形成於M2層上的第一標準胞元C11的輸入引腳及/或輸出引腳可延伸以使得M2層的圖案可在Y軸方向上穿過第一標準胞元C11。如隨後將闡述,連接標準胞元C11及C12的輸入引腳及輸出引腳的操作(例如,產生圖案或訊號路由的任務)可能受到具有用於減輕電阻電位降的結構的電源軌條PR11及PR12的影響。Standard cells C11 and C12 may include a pattern along which the signal is moved. For example, the first standard cell C11 may include a pattern in which the internal signal generated in the first standard cell C11 is moved, and may include the input signal and the output signal of the first standard cell C11 being respectively included therein. Moving patterns (for example, input pins and output pins). In the integrated circuit 10 shown in FIG. 1, the input pin and the output pin of the first standard cell C11 may be a pattern formed on the M2 layer. The input pin and the output pin of the first standard cell C11 are electrically connected to the outside of the first standard cell C11. For example, the input pin of the first standard cell C11 can be electrically connected to the output pin of another standard cell, and the output pin of the first standard cell C11 can be electrically connected to another standard cell. Input pin. To electrically connect the input pins and/or output pins of the first standard cell C11 to the outside of the first standard cell C11, a pattern passing through the boundary of the first standard cell C11 may be used. For example, as shown in FIG. 1, the pattern of the M3 layer connected to the input pin and/or the output pin of the first standard cell C11 formed on the M2 layer via the via hole can be worn in the X-axis direction. Passes the boundary of the first standard cell C11. In addition, as shown in FIG. 1, the input pins and/or output pins of the first standard cell C11 formed on the M2 layer may be extended such that the pattern of the M2 layer can pass the first standard in the Y-axis direction. Cell C11. As will be explained later, the operation of connecting the input and output pins of the standard cells C11 and C12 (for example, the task of generating a pattern or signal routing) may be subject to a power rail PR11 having a structure for mitigating the resistance potential drop and The impact of PR12.

用於向標準胞元C11及C12供應電力的電源軌條PR11及PR12可以與標準胞元C11及C12的高度Y10相等的間隔排列於積體電路10中,且可在與標準胞元C11及C12的高度Y10垂直的方向上(換言之,在X軸方向上)延伸。在本發明概念的示例性實施例中,第一電源軌條PR11可被施加正的供應電壓(例如,VDD)且第二電源軌條PR12可被施加負的供應電壓(例如,VSS)。在替代性實施例中,第一電源軌條PR11可被施加負的供應電壓(例如,VSS)且第二電源軌條PR12可被施加正的供應電壓(例如,VDD)。在以下說明中,第一電源軌條PR11被施加正的供應電壓VDD且第二電源軌條PR12被施加負的供應電壓VSS,但本發明概念並非僅限於此。形成於標準胞元C11及C12中的元件(例如,電晶體)可自第一電源軌條PR11接收電流並將電流引入至第二電源軌條PR12中。The power rails PR11 and PR12 for supplying power to the standard cells C11 and C12 may be arranged in the integrated circuit 10 at intervals equal to the height Y10 of the standard cells C11 and C12, and may be in the same manner as the standard cells C11 and C12. The height Y10 extends in the vertical direction (in other words, in the X-axis direction). In an exemplary embodiment of the inventive concept, the first power rail PR11 may be applied with a positive supply voltage (eg, VDD) and the second power rail PR12 may be applied with a negative supply voltage (eg, VSS). In an alternative embodiment, the first power rail PR11 may be applied with a negative supply voltage (eg, VSS) and the second power rail PR12 may be applied with a positive supply voltage (eg, VDD). In the following description, the first power supply rail PR11 is applied with the positive supply voltage VDD and the second power supply rail PR12 is applied with the negative supply voltage VSS, but the inventive concept is not limited thereto. Elements (eg, transistors) formed in standard cells C11 and C12 may receive current from the first power rail PR11 and introduce current into the second power rail PR12.

隨著半導體製程的微型化,積體電路中所包括的圖案的寬度及/或厚度(例如,在Z方向上的長度)可能減小,且標準胞元的大小亦可能減小。因此,圖案上的壓降(或電阻電位降)效應可能增大。舉例而言,在連接至此種標準胞元的電源軌條中出現的電阻電位降可能造成訊號轉變的延遲,且因此使積體電路的效能劣化。在一種減輕電阻電位降的方法中,電源軌條PR11及PR12可具有冗餘圖案。舉例而言,如圖1中所示,第一電源軌條PR11包括在X軸方向上彼此平行地延伸的導電線L11與L31,及用於將導電線L11與L31彼此電性連接的通孔。第二電源軌條PR12亦可包括在X軸方向上彼此平行地延伸的導電線L12與L32,及用於將導電線L12與L32彼此電性連接的通孔。如圖1中所示,導電線L11及L12可形成於M1層中,且導電線L31及L32可形成於M3層中。As the semiconductor process is miniaturized, the width and/or thickness of the pattern included in the integrated circuit (for example, the length in the Z direction) may be reduced, and the size of the standard cell may also be reduced. Therefore, the voltage drop (or resistance potential drop) effect on the pattern may increase. For example, a potential drop occurring in a power rail connected to such a standard cell may cause a delay in signal transition and thus degrade the performance of the integrated circuit. In a method of mitigating the resistance potential drop, the power rails PR11 and PR12 may have a redundant pattern. For example, as shown in FIG. 1, the first power rails PR11 include conductive lines L11 and L31 extending in parallel with each other in the X-axis direction, and through holes for electrically connecting the conductive lines L11 and L31 to each other. . The second power rails PR12 may also include conductive lines L12 and L32 extending in parallel with each other in the X-axis direction, and through holes for electrically connecting the conductive lines L12 and L32 to each other. As shown in FIG. 1, conductive lines L11 and L12 may be formed in the M1 layer, and conductive lines L31 and L32 may be formed in the M3 layer.

如圖1中所示,電源軌條PR11及PR12可部分地包括M2層的在X軸方向上延伸的圖案(換言之,導電線L21及L22)。因此,在其中M2層的導電線L21及L22形成於電源軌條PR11及PR12中的區段中,電阻電位降可進一步減輕。另外,其中M2層的圖案不形成於電源軌條PR11及PR12中的空間可用於訊號路由。舉例而言,如圖1中所示,第一標準胞元C11的輸入引腳及/或輸出引腳可在Y軸方向上延伸以使得可形成穿過第一電源軌條PR11及/或第二電源軌條PR12的導電線L23、L24、及L25。在本發明概念的示例性實施例中,電源軌條PR11及PR12中所包括的M2層的導電線L21及L22的寬度(例如,Y軸方向的長度)可等於或大於用於訊號路由的導電線L23、L24、及L25的寬度(例如,X軸方向的長度)。因此,積體電路10不僅可減輕電阻電位降,而且會獲得與訊號路由相稱的自由度。隨後將參照作為第二電源軌條PR12的剖視圖的圖2A及圖2B來詳細闡述電源軌條PR11及PR12的結構。應理解,第一電源軌條PR11亦可具有與第二電源軌條PR12的結構相同或相似的結構。As shown in FIG. 1, the power rails PR11 and PR12 may partially include a pattern of the M2 layer extending in the X-axis direction (in other words, the conductive lines L21 and L22). Therefore, in the section in which the conductive lines L21 and L22 of the M2 layer are formed in the power supply rails PR11 and PR12, the resistance potential drop can be further alleviated. In addition, a space in which the pattern of the M2 layer is not formed in the power rails PR11 and PR12 can be used for signal routing. For example, as shown in FIG. 1, the input pins and/or output pins of the first standard cell C11 may extend in the Y-axis direction such that the first power rails PR11 and/or the The conductive lines L23, L24, and L25 of the two power rails PR12. In an exemplary embodiment of the inventive concept, the widths (for example, the length in the Y-axis direction) of the conductive lines L21 and L22 of the M2 layer included in the power rails PR11 and PR12 may be equal to or greater than the conductivity for signal routing. The width of the lines L23, L24, and L25 (for example, the length in the X-axis direction). Therefore, the integrated circuit 10 can not only reduce the resistance potential drop, but also obtain a degree of freedom commensurate with the signal routing. The structure of the power rails PR11 and PR12 will be explained in detail later with reference to FIGS. 2A and 2B which are cross-sectional views of the second power rail PR12. It should be understood that the first power rail PR11 may also have the same or similar structure as that of the second power rail PR12.

參照圖2A,在區R22中,第二電源軌條PR12可包括在X軸方向上彼此平行地延伸且分別形成於M1層及M3層中的導電線L12與L32,及形成於M2層中且在X軸方向上延伸的導電線L22。第二電源軌條PR12亦可包括用於將區R22中的導電線L12、L22、及L32電性內連的多個通孔V11、V12、V13、V21、V22、及V23。其中M2層的圖案不形成於第二電源軌條PR12中的區21可為用於導電線L23、L24、及L25的空間。換言之,第一標準胞元C11的輸入訊號及/或輸出訊號可經由區R21中的導電線L23、L24、及L25移動。導電線L23、L24、及L25可在Y軸方向上穿過第二電源軌條PR12。因此,第二電源軌條PR12的區R21可用於訊號路由,而第二電源軌條PR12的區R22可用於減輕電阻電位降。舉例而言,如以下參照圖4所述,第二電源軌條PR12的區R21可用於具有相對大量的輸入引腳及輸出引腳的標準胞元(例如,C11),而第二電源軌條PR12的區R22可用於其中輸出訊號的電性特性重要的標準胞元(例如,C12)。Referring to FIG. 2A, in the region R22, the second power rails PR12 may include conductive lines L12 and L32 extending in parallel with each other in the X-axis direction and formed in the M1 layer and the M3 layer, respectively, and formed in the M2 layer and A conductive line L22 extending in the X-axis direction. The second power rail PR12 may also include a plurality of through holes V11, V12, V13, V21, V22, and V23 for electrically interconnecting the conductive lines L12, L22, and L32 in the region R22. The region 21 in which the pattern of the M2 layer is not formed in the second power rail PR12 may be a space for the conductive lines L23, L24, and L25. In other words, the input signal and/or output signal of the first standard cell C11 can be moved via the conductive lines L23, L24, and L25 in the region R21. The conductive lines L23, L24, and L25 may pass through the second power rails PR12 in the Y-axis direction. Therefore, the region R21 of the second power rail PR12 can be used for signal routing, and the region R22 of the second power rail PR12 can be used to mitigate the resistance potential drop. For example, as described below with reference to FIG. 4, the region R21 of the second power rail PR12 can be used for a standard cell (eg, C11) having a relatively large number of input and output pins, and the second power rail The region R22 of PR12 can be used for a standard cell (e.g., C12) in which the electrical characteristics of the output signal are important.

參照圖2B,在本發明概念的示例性實施例中,第二電源軌條PR12的區R22中所包括的通孔可為棒狀。舉例而言,如圖2B中所示,用於將導電線L12、L22、及L32電性內連的通孔V11'、V12'、V13'、V21'、V22'、及V23'可為在X軸方向上延伸的棒狀,且可稱作棒狀通孔(bar type vias)。換言之,圖2B中通孔V11'及V21'在X軸方向上的長度X20b可大於圖2A中通孔V11及V21在X軸方向上的長度X20a。由於通孔V11'及V21'的棒狀,圖2B所示導電線L12、L22、及L32之間的電阻值可減小且電阻電位降可進一步減輕。儘管圖2B示出其中第二電源軌條PR12的通孔V11'、V12'、V13'、V21'、V22'、及V23'中均為棒狀的實例,然而應理解,所述通孔中的僅一者或不超過所有者可為棒狀。此外,第二電源軌條PR12中所包括的通孔可具有任何形狀,舉例而言,所述通孔可在XY軸平面上具有卵圓形橫截面,使得填充所述通孔的插塞(plug)的大小可增大以減小所述通孔的電阻。Referring to FIG. 2B, in an exemplary embodiment of the inventive concept, the through holes included in the region R22 of the second power rail PR12 may be rod-shaped. For example, as shown in FIG. 2B, the via holes V11', V12', V13', V21', V22', and V23' for electrically interconnecting the conductive lines L12, L22, and L32 may be A rod shape extending in the X-axis direction and may be referred to as a bar type vias. In other words, the length X20b of the through holes V11' and V21' in the X-axis direction in FIG. 2B may be greater than the length X20a of the through holes V11 and V21 in the X-axis direction in FIG. 2A. Due to the rod shape of the via holes V11' and V21', the resistance value between the conductive lines L12, L22, and L32 shown in FIG. 2B can be reduced and the resistance potential drop can be further alleviated. Although FIG. 2B shows an example in which the through holes V11', V12', V13', V21', V22', and V23' of the second power supply rail PR12 are rod-shaped, it should be understood that the through holes are Only one or no more than the owner may be rod shaped. Further, the through hole included in the second power rail PR12 may have any shape, for example, the through hole may have an oval cross section on the XY axis plane such that the plug filling the through hole ( The size of the plug can be increased to reduce the resistance of the via.

圖3A至圖3C是根據比較實例的電源軌條PR30a、PR30b、及PR30c的圖式。如以上參照圖1、圖2A、及圖2B所述,根據本發明概念的示例性實施例的電源軌條可包括形成於M1層及M3層中的導電線,且可部分地包括形成於M2層中的導電線。3A to 3C are diagrams of power supply rails PR30a, PR30b, and PR30c according to a comparative example. As described above with reference to FIGS. 1 , 2A, and 2B , the power rail according to an exemplary embodiment of the inventive concept may include conductive lines formed in the M1 layer and the M3 layer, and may partially include the formation in the M2 Conductive lines in the layer.

參照圖3A,根據比較實例的電源軌條PR30a可包括分別形成於M1層及M2層中且在X軸方向上彼此平行地延伸的導電線L01a與L02a。電源軌條PR30a亦包括用於將導電線L01a及L02a電性內連至彼此的通孔。由於存在導電線L02a,因此為將形成於與電源軌條PR30a相鄰的標準胞元的M2層中的輸入引腳及/或輸出引腳電性連接至所述標準胞元的外部,可能需要使用M3層或另一上部導電層。因此,可能出現訊號路由擁擠(signal routing congestion)。在一些情形中,由於進行用於製作積體電路的半導體製程,因此形成於標準胞元中的M2層上的圖案僅可形成於與閘極線平行的方向(例如,圖1中的Y軸方向)上。此種局限性可能加劇訊號路由擁擠。此外,在一些情形中,由於進行半導體製程,因此形成於M2層上的圖案可能具有比形成於M3層上的圖案而言小的寬度(例如,在Y軸方向上的長度)及/或厚度(例如,在Z軸方向上的長度)。因此,包括M1層的導電線L01a及M2層的導電線L02a的電源軌條PR30a可能無法減輕電阻電位降。Referring to FIG. 3A, the power rails PR30a according to the comparative example may include conductive lines L01a and L02a respectively formed in the M1 layer and the M2 layer and extending in parallel with each other in the X-axis direction. The power rail PR30a also includes through holes for electrically interconnecting the conductive lines L01a and L02a to each other. Since the conductive line L02a is present, it may be necessary to electrically connect an input pin and/or an output pin formed in the M2 layer of the standard cell adjacent to the power rail PR30a to the outside of the standard cell. An M3 layer or another upper conductive layer is used. Therefore, signal routing congestion may occur. In some cases, since the semiconductor process for fabricating the integrated circuit is performed, the pattern formed on the M2 layer in the standard cell can be formed only in a direction parallel to the gate line (for example, the Y axis in FIG. 1) Direction). This limitation may exacerbate signal routing congestion. Further, in some cases, since the semiconductor process is performed, the pattern formed on the M2 layer may have a smaller width (for example, a length in the Y-axis direction) and/or a thickness than a pattern formed on the M3 layer. (for example, the length in the Z-axis direction). Therefore, the power supply rails PR30a including the conductive lines L01a of the M1 layer and the conductive lines L02a of the M2 layer may not alleviate the resistance potential drop.

參照圖3B,根據比較實例的電源軌條PR30b可包括形成於M1層中且在X軸方向上延伸的導電線L01b。形成於M2層中的導電線可在Y軸方向上跨越電源軌條PR30b延伸,M2層是使標準胞元的訊號在其中移動的層。因此,在圖3B所示比較實例中,訊號路由的自由度可得到確保;然而,由於電力經由單條導電線L01b供應至標準胞元,因此在電源軌條PR30b中產生的電阻電位降的影響可能增大。Referring to FIG. 3B, the power rail PR30b according to the comparative example may include a conductive line L01b formed in the M1 layer and extending in the X-axis direction. The conductive line formed in the M2 layer may extend across the power rail PR30b in the Y-axis direction, and the M2 layer is a layer in which the signal of the standard cell is moved. Therefore, in the comparative example shown in FIG. 3B, the degree of freedom of signal routing can be ensured; however, since power is supplied to the standard cell via the single conductive line L01b, the influence of the potential drop generated in the power rail PR30b may be Increase.

參照圖3C,根據比較實例的電源軌條PR30c可包括分別形成於M1層、M2層、及M3層中且在X軸方向上彼此平行地延伸的導電線L01c、L02c、及L03c。電源軌條PR30c可更包括用於將導電線L01c、L02c、及L03c電性內連至彼此的通孔。相較於圖3A及圖3B所示電源軌條PR30a及PR30b的電阻電位降而言,圖3C所示電源軌條PR30c的電阻電位降可減輕。然而,這僅限於使用M2層及M3層二者進行訊號路由。因此,訊號路由擁擠可能加劇。Referring to FIG. 3C, the power rails PR30c according to the comparative example may include conductive lines L01c, L02c, and L03c which are respectively formed in the M1 layer, the M2 layer, and the M3 layer and extend in parallel with each other in the X-axis direction. The power rail PR30c may further include a through hole for electrically interconnecting the conductive lines L01c, L02c, and L03c to each other. Compared with the resistance potential drops of the power rails PR30a and PR30b shown in FIGS. 3A and 3B, the resistance potential drop of the power rail PR30c shown in FIG. 3C can be alleviated. However, this is limited to signal routing using both the M2 layer and the M3 layer. Therefore, signal routing congestion may increase.

如以上參照圖1、圖2A、及圖2B所述,根據本發明概念的示例性實施例的電源軌條可包括形成於M1層及M3層中的導電線,且可部分地包括形成於M2層中的導電線。如以下將參照圖式所述,電源軌條可自與其中欲使用訊號路由的標準胞元相鄰的區中的M2層移除導電線。此外,電源軌條可包括位於與其中電阻電位降欲被減輕的標準胞元相鄰的區中的M2層中的導電線。因此,在確保訊號路由的自由度的同時,電源軌條中的電阻電位降可減輕。As described above with reference to FIGS. 1 , 2A, and 2B , the power rail according to an exemplary embodiment of the inventive concept may include conductive lines formed in the M1 layer and the M3 layer, and may partially include the formation in the M2 Conductive lines in the layer. As will be described below with reference to the figures, the power rails can remove the conductive lines from the M2 layer in the zone adjacent to the standard cell in which the signal routing is to be used. Additionally, the power rails can include conductive lines in the M2 layer in a region adjacent to a standard cell in which the resistance potential drop is to be mitigated. Therefore, while ensuring the freedom of signal routing, the potential drop in the power rail can be reduced.

圖4是根據本發明概念示例性實施例的積體電路40的一部分的圖式。FIG. 4 is a diagram of a portion of an integrated circuit 40, in accordance with an exemplary embodiment of the inventive concept.

參照圖4,積體電路40可包括在X軸方向上彼此平行地延伸的多個電源軌條PR41至PR44,及設置於所述多個電源軌條PR41至PR44之間的多個標準胞元C41至C49。所述多個標準胞元C41至C49中的每一者可包括在X軸方向上延伸的至少一個主動區及在Y軸方向上延伸的至少一條閘極線。舉例而言,如圖4中所示,標準胞元C41可包括在X軸方向上延伸的主動區AC1及AC2且可包括多條閘極線,所述多條閘極線包括在Y軸方向上延伸的閘極線GL1。在本發明概念的示例性實施例中,主動區AC1及AC2可包含例如Si或Ge等半導體、或例如SiGe、SiC、GaAs、InAs、或InP等化合物半導體,且可包括導電區,例如摻雜有雜質的阱及摻雜有雜質的結構。閘極線可包括含功函數金屬層及間隙填充金屬膜。舉例而言,含功函數金屬層可包含Ti、W、Ru、Nb、Mo、Hf、Ni、Co、Pt、Yb、Tb、Dy、Er、及Pd中的至少一種金屬,且間隙填充金屬膜可為W膜或Al膜。在本發明概念的示例性實施例中,閘極線可包括TiAlC/TiN/W堆疊結構、TiN/TaN/TiAlC/TiN/W堆疊結構或TiN/TaN/TiN/TiAlC/TiN/W堆疊結構。Referring to FIG. 4, the integrated circuit 40 may include a plurality of power supply rails PR41 to PR44 extending in parallel with each other in the X-axis direction, and a plurality of standard cells disposed between the plurality of power supply rails PR41 to PR44. C41 to C49. Each of the plurality of standard cells C41 to C49 may include at least one active region extending in the X-axis direction and at least one gate line extending in the Y-axis direction. For example, as shown in FIG. 4, the standard cell C41 may include active regions AC1 and AC2 extending in the X-axis direction and may include a plurality of gate lines including the Y-axis direction The upper extended gate line GL1. In an exemplary embodiment of the inventive concept, the active regions AC1 and AC2 may include a semiconductor such as Si or Ge, or a compound semiconductor such as SiGe, SiC, GaAs, InAs, or InP, and may include a conductive region such as doping. A well with impurities and a structure doped with impurities. The gate line may include a work function metal layer and a gap fill metal film. For example, the work function metal layer may include at least one of Ti, W, Ru, Nb, Mo, Hf, Ni, Co, Pt, Yb, Tb, Dy, Er, and Pd, and the gap fill metal film It may be a W film or an Al film. In an exemplary embodiment of the inventive concept, the gate line may include a TiAlC/TiN/W stacked structure, a TiN/TaN/TiAlC/TiN/W stacked structure, or a TiN/TaN/TiN/TiAlC/TiN/W stacked structure.

圖4中所示電源軌條PR41至PR44中的每一者可包括分別形成於M1層及M3層中且在X軸方向上彼此平行地延伸的導電線。在圖4中,電源軌條PR41至PR44可在其中M2層的圖案被繪製於電源軌條PR41至PR44上的一部分中包括形成於所述M2層中的導電線。Each of the power supply rails PR41 to PR44 shown in FIG. 4 may include conductive lines respectively formed in the M1 layer and the M3 layer and extending in parallel with each other in the X-axis direction. In FIG. 4, the power rails PR41 to PR44 may include conductive lines formed in the M2 layer in a portion in which the pattern of the M2 layer is drawn on the power rails PR41 to PR44.

參照圖4,在本發明概念的示例性實施例中,電源軌條PR41至PR44可包括在標準胞元的邊界處形成於M2層中的導電線。舉例而言,如圖4中所示,第一電源軌條PR41與第二電源軌條PR42可各自包括與標準胞元C43的邊界交疊的M2層的導電線。第二電源軌條PR42與第三電源軌條PR43可各自包括與標準胞元C44及C45的邊界交疊的M2層的導電線。另外,第三電源軌條PR43及第四電源軌條PR44可各自包括與標準胞元C49的邊界交疊的M2層的導電線。第三電源軌條PR43可包括與標準胞元C48的邊界交疊的M2層的導電線。此外,M2層的導電線可在於X軸方向上彼此相鄰的標準胞元(例如,標準胞元C44及C45)中為連續的。其中M2層的導電線不排列於電源軌條PR41至PR44中的區可作為標準胞元C41至C49中的訊號路由。Referring to FIG. 4, in an exemplary embodiment of the inventive concept, the power rails PR41 to PR44 may include conductive lines formed in the M2 layer at the boundary of a standard cell. For example, as shown in FIG. 4, the first power rail PR41 and the second power rail PR42 may each include an electrically conductive line of an M2 layer overlapping the boundary of the standard cell C43. The second power rail PR42 and the third power rail PR43 may each include an electrically conductive line of an M2 layer overlapping the boundaries of the standard cells C44 and C45. In addition, the third power rail PR43 and the fourth power rail PR44 may each include a conductive line of an M2 layer overlapping the boundary of the standard cell C49. The third power rail PR43 may include a conductive line of the M2 layer overlapping the boundary of the standard cell C48. Further, the conductive lines of the M2 layer may be continuous in standard cells (for example, standard cells C44 and C45) adjacent to each other in the X-axis direction. The area in which the conductive lines of the M2 layer are not arranged in the power rails PR41 to PR44 can be used as signal routing in the standard cells C41 to C49.

如圖4、圖5A、圖5B、圖6A、及圖6B中所示,在本發明概念的示例性實施例中,標準胞元可被分類成第一群組及第二群組。第一群組具有與形成於電源軌條PR41至PR44中的相鄰電源軌條的M2層中的導電線交疊的邊界,且第二群組不具有與形成於電源軌條PR41至PR44中的相鄰電源軌條的M2層中的導電線交疊的邊界。舉例而言,在圖4中,標準胞元C43、C44、C45、及C49可屬於具有與形成於相鄰電源軌條中的M2層中的導電線交疊的邊界的第一群組,而標準胞元C41、C42、C46、C47、及C48可屬於不具有與形成於相鄰電源軌條中的M2層中的導電線交疊的邊界的第二群組。As shown in FIGS. 4, 5A, 5B, 6A, and 6B, in an exemplary embodiment of the inventive concept, standard cells may be classified into a first group and a second group. The first group has a boundary overlapping the conductive lines in the M2 layer of the adjacent power supply rails formed in the power supply rails PR41 to PR44, and the second group does not have and is formed in the power supply rails PR41 to PR44 The boundaries of the conductive lines in the M2 layer of adjacent power rails overlap. For example, in FIG. 4, standard cells C43, C44, C45, and C49 may belong to a first group having boundaries that overlap with conductive lines formed in the M2 layer in adjacent power rails, and The standard cells C41, C42, C46, C47, and C48 may belong to a second group that does not have a boundary that overlaps the conductive lines formed in the M2 layer in the adjacent power rail.

第一群組的標準胞元中的電晶體可被供應高量級電流,或者可由於特定效能要求而自電晶體引出高量級電流。誘發高電流的效能要求可包括例如輸出訊號的快的上升/下降時間或短的傳播延遲等。舉例而言,標準胞元C43、C44、C45、及C49可包括訊號緩衝器、時鐘緩衝器、反相器等。在其他實施例中,積體電路40的時序關鍵路徑中可包括標準胞元。The transistors in the standard cells of the first group can be supplied with high currents or can draw high currents from the transistors due to specific performance requirements. Performance requirements that induce high currents may include, for example, a fast rise/fall time of the output signal or a short propagation delay. For example, standard cells C43, C44, C45, and C49 may include a signal buffer, a clock buffer, an inverter, and the like. In other embodiments, standard cells may be included in the timing critical path of integrated circuit 40.

第二群組可具有推進訊號路由的結構,例如所述第二群組的標準胞元可包括大量輸入引腳及輸出引腳。舉例而言,標準胞元C41、C42、C46、C47、及C48可包括及或非(and-or-invert)AOI22等,及或非AOI22每單位面積具有數目較其他標準胞元的輸入引腳大的輸入引腳。另外,第二群組可包括積體電路40的時序關鍵路徑中所不包括的標準胞元。The second group may have a structure for advancing signal routing. For example, the standard cells of the second group may include a large number of input pins and output pins. For example, standard cells C41, C42, C46, C47, and C48 may include and/or-invert AOI 22, etc., and or non-AOI 22 have an input pin number per unit area compared to other standard cells. Large input pin. Additionally, the second group may include standard cells not included in the timing critical path of the integrated circuit 40.

圖5A及圖5B是示出根據本發明概念示例性實施例的標準胞元C50的圖式。舉例而言,圖5A示出標準胞元C50及位於標準胞元C50周圍的佈局。圖5B示出圍繞標準胞元C50的佈局的一些層。標準胞元C50可為反相器。5A and 5B are diagrams showing a standard cell C50 according to an exemplary embodiment of the inventive concept. For example, Figure 5A shows a standard cell C50 and a layout located around a standard cell C50. Figure 5B shows some of the layers surrounding the layout of standard cell C50. The standard cell C50 can be an inverter.

作為反相器的標準胞元C50可能對在電源軌條PR51及PR52中產生的電阻電位降敏感。舉例而言,反相器的輸出訊號可具有快的上升/下降時間,且因此,與標準胞元C50相鄰的電源軌條PR51及PR52的區可藉由如圖5A及圖5B中所示形成於M2層中的導電線而加強。因此,電源軌條PR51及PR52可包括分別形成於在X軸方向上延伸的M1層、M2層、及M3層中的導電線,且包括用於將所述導電線電性內連的通孔。The standard cell C50 as an inverter may be sensitive to the resistance potential drop generated in the power rails PR51 and PR52. For example, the output signal of the inverter can have a fast rise/fall time, and thus, the regions of the power rails PR51 and PR52 adjacent to the standard cell C50 can be as shown in FIGS. 5A and 5B. The conductive lines formed in the M2 layer are reinforced. Therefore, the power rails PR51 and PR52 may include conductive lines respectively formed in the M1 layer, the M2 layer, and the M3 layer extending in the X-axis direction, and include through holes for electrically interconnecting the conductive lines .

參照圖5A,標準胞元C50可包括被施加輸入訊號A且形成於M2層中的輸入引腳P51。標準胞元C50亦可包括輸出輸出訊號Y且形成於M2層中的輸出引腳P52。如圖5A中所示,輸入引腳P51及輸出引腳P52可與電源軌條PR51中所包括的M2層的導電線間隔開預定距離Y51,且輸入引腳P51與輸出引腳P52可彼此間隔開預定距離X51。預定距離Y51及X51可能歸因於半導體製程或設計規則。在本發明概念的示例性實施例中,Y軸方向上的距離Y51可大於X軸方向上的距離X51。Referring to FIG. 5A, the standard cell C50 may include an input pin P51 to which an input signal A is applied and formed in the M2 layer. The standard cell C50 may also include an output pin P52 that outputs an output signal Y and is formed in the M2 layer. As shown in FIG. 5A, the input pin P51 and the output pin P52 may be spaced apart from the conductive line of the M2 layer included in the power rail PR51 by a predetermined distance Y51, and the input pin P51 and the output pin P52 may be spaced apart from each other. Open the predetermined distance X51. The predetermined distances Y51 and X51 may be attributed to semiconductor manufacturing processes or design rules. In an exemplary embodiment of the inventive concept, the distance Y51 in the Y-axis direction may be greater than the distance X51 in the X-axis direction.

參照圖5B,在標準胞元C50上排列有形成於M3層中且在X軸方向上彼此平行地延伸的多條導電線L51、L52、L53、L54、及L55。所述多條導電線L51至L55中的至少一些可用於對標準胞元C50的輸入訊號A及輸出訊號Y進行路由。換言之,在圖5B中以‘☆’標記的點中的至少一者中可放置有通孔V2,且因此,輸入引腳P51及/或輸出引腳P52可電性連接至M3層的導電線L51至L55中的至少一者。由於輸入引腳P51及輸出引腳P52在Y軸方向上的長度因如以上參照圖5A所述的電源軌條PR51及PR52中所包括的M2層的導電線而受到限制,因此標準胞元C50中可放置通孔V2的點可能受到限制。舉例而言,在M3層的導電線L51及L55中未示出以‘☆’標記的點。然而,由於電源軌條PR51及PR52可藉由M2層的導電線而加強,因此標準胞元C50可由於減輕的電阻電位降而提供良好的效能。Referring to FIG. 5B, a plurality of conductive lines L51, L52, L53, L54, and L55 formed in the M3 layer and extending in parallel with each other in the X-axis direction are arranged on the standard cell C50. At least some of the plurality of conductive lines L51 to L55 can be used to route the input signal A and the output signal Y of the standard cell C50. In other words, the via hole V2 may be placed in at least one of the dots marked with '☆' in FIG. 5B, and thus, the input pin P51 and/or the output pin P52 may be electrically connected to the conductive line of the M3 layer. At least one of L51 to L55. Since the length of the input pin P51 and the output pin P52 in the Y-axis direction is limited by the conductive line of the M2 layer included in the power rails PR51 and PR52 described above with reference to FIG. 5A, the standard cell C50 The point at which the through hole V2 can be placed may be limited. For example, dots marked with '☆' are not shown in the conductive lines L51 and L55 of the M3 layer. However, since the power rails PR51 and PR52 can be reinforced by the conductive lines of the M2 layer, the standard cell C50 can provide good performance due to the reduced resistance potential drop.

圖6A及6B是示出根據本發明概念示例性實施例的標準胞元C60的圖式。舉例而言,圖6A示出標準胞元C60及位於標準胞元C60周圍的佈局。圖6B示出位於標準胞元C60周圍的佈局的一些層。標準胞元C60可為AOI22。6A and 6B are diagrams showing a standard cell C60 according to an exemplary embodiment of the inventive concept. For example, Figure 6A shows a standard cell C60 and a layout located around the standard cell C60. Figure 6B shows some of the layers of the layout located around the standard cell C60. The standard cell C60 can be AOI22.

標準胞元C60(即AOI22)可具有相對大量的輸入訊號A0、A1、B0、及B1。因此,如圖6A及圖6B中所示,在電源軌條PR61及PR62的與標準胞元C60相鄰的區中可省略形成於M2層中的導電線。因此,電源軌條PR61及PR62可包括分別形成於M1層及M3層中且在X軸方向上延伸的導電線。The standard cell C60 (i.e., AOI 22) can have a relatively large number of input signals A0, A1, B0, and B1. Therefore, as shown in FIGS. 6A and 6B, the conductive lines formed in the M2 layer can be omitted in the regions of the power rails PR61 and PR62 adjacent to the standard cell C60. Therefore, the power rails PR61 and PR62 may include conductive lines respectively formed in the M1 layer and the M3 layer and extending in the X-axis direction.

參照圖6A,標準胞元C60可包括被施加輸入訊號A0、A1、B0、及B1且形成於M2層中的輸入引腳P61、P62、P63、及P64,以及輸出輸出訊號Y且形成於M2層中的輸出引腳P65。如圖6A中所示,輸入引腳P61至P64及輸出引腳P65可在Y軸方向上延伸至靠近標準胞元C60的邊界的位置。引腳P61至P65與標準胞元C60的邊界極為接近是歸因於省略電源軌條PR61及PR62中的M2層的導電線。Referring to FIG. 6A, the standard cell C60 may include input pins P61, P62, P63, and P64 which are applied with input signals A0, A1, B0, and B1 and formed in the M2 layer, and output output signals Y and formed in M2. Output pin P65 in the layer. As shown in FIG. 6A, the input pins P61 to P64 and the output pin P65 may extend in the Y-axis direction to a position close to the boundary of the standard cell C60. The close proximity of the pins P61 to P65 to the boundary of the standard cell C60 is due to the omitting of the conductive lines of the M2 layer in the power rails PR61 and PR62.

參照圖6B,與圖5B相似,在標準胞元C60上可排列有形成於M3層上且在X軸方向上彼此平行地延伸的多條導電線L61、L62、L63、L64、及L65。所述多條導電線L61至L65中的至少一些可用於對標準胞元C60的輸入訊號A0、A1、B0、B1及輸出訊號Y進行路由。換言之,在圖6B中以‘☆’標記的點中的至少一者中可放置有通孔V2,且因此,輸入引腳P61至P64及輸出引腳P65可電性連接至M3層的導電線L61至L65中的至少一者。由於輸入引腳P61至P64及輸出引腳P65因如以上參照圖6A所述自電源軌條PR61及PR62省略M2層的導電線而靠近標準胞元C60的邊界延伸,因此可放置通孔V2的點可擴展。舉例而言,在導電線L61至L65中的每一者中示出有以‘☆’標記的點。另外,參照圖1、圖2A、圖2B、圖6A、及圖6B,輸入引腳P61至P64及輸出引腳P65可跨越電源軌條PR61及PR62在Y軸方向上延伸,且因此輸入訊號A0、A1、B0、B1及輸出訊號Y可被路由至標準胞元C60的外部。因此,標準胞元C60的輸入訊號A0、A1、B0、B1、及輸出訊號Y可能不會出現路由擁擠。Referring to FIG. 6B, similarly to FIG. 5B, a plurality of conductive lines L61, L62, L63, L64, and L65 formed on the M3 layer and extending in parallel with each other in the X-axis direction may be arranged on the standard cell C60. At least some of the plurality of conductive lines L61 to L65 can be used to route the input signals A0, A1, B0, B1 and the output signal Y of the standard cell C60. In other words, the via hole V2 may be placed in at least one of the dots marked with '☆' in FIG. 6B, and thus, the input pins P61 to P64 and the output pin P65 may be electrically connected to the conductive line of the M3 layer. At least one of L61 to L65. Since the input pins P61 to P64 and the output pin P65 extend close to the boundary of the standard cell C60 by omitting the conductive lines of the M2 layer from the power rails PR61 and PR62 as described above with reference to FIG. 6A, the through hole V2 can be placed. Points can be expanded. For example, a dot marked with '☆' is shown in each of the conductive lines L61 to L65. 1 , 2A, 2B, 6A, and 6B, the input pins P61 to P64 and the output pin P65 may extend in the Y-axis direction across the power rails PR61 and PR62, and thus the input signal A0 A1, B0, B1 and output signal Y can be routed outside of standard cell C60. Therefore, the input signals A0, A1, B0, B1, and output signal Y of the standard cell C60 may not be routed.

圖7是示出根據本發明概念示例性實施例的積體電路70的一部分的圖式。如圖7中所示,積體電路70可包括在X軸方向上彼此平行地延伸的多個電源軌條PR71至PR74,及排列於所述多個電源軌條PR71至PR74之間的多個標準胞元C71至C79。參照圖1、圖2A、及圖2B,圖7中的電源軌條PR71至PR74中的每一者可包括形成於M1層及M3層中的每一者中且在X軸方向上彼此平行地延伸的導電線。與圖4相似,為方便起見,圖7僅示出電源軌條PR71至PR74中的M2層。FIG. 7 is a diagram showing a portion of an integrated circuit 70 according to an exemplary embodiment of the inventive concept. As shown in FIG. 7, the integrated circuit 70 may include a plurality of power supply rails PR71 to PR74 extending in parallel with each other in the X-axis direction, and a plurality of power supply lines PR71 to PR74 arranged between the plurality of power supply rails PR71 to PR74. Standard cells C71 to C79. Referring to FIGS. 1 , 2A, and 2B, each of the power rails PR71 to PR74 of FIG. 7 may be formed in each of the M1 layer and the M3 layer and parallel to each other in the X-axis direction. Extended conductive lines. Similar to FIG. 4, for the sake of convenience, FIG. 7 shows only the M2 layer in the power rails PR71 to PR74.

參照圖7,在本發明概念的示例性實施例中,電源軌條PR71至PR74可包括M2層的導電線,M2層的所述導電線沿X軸方向延伸至與所述M2層的在標準胞元中在Y軸方向上延伸的圖案(或導電線)間隔開預定距離的點。舉例而言,如圖7中所示,電源軌條PR71可包括M2層的在Y軸方向上自標準胞元C72延伸的圖案L71,及M2層的在X軸方向上延伸至與圖案L71間隔開距離X71的點的導電線L72。因此,如圖7中所示,在其中不形成用於對標準胞元C71至C79的訊號進行路由的M2層的圖案的區中,形成於電源軌條PR71至PR74的M2層中的導電線可延伸。舉例而言,導電線L72可在X軸方向上延伸達到其中形成有用於訊號路由的圖案L71的點。換言之,由於形成於電源軌條PR71至PR74的M2層中的導電線可在訊號路由之後繼續延伸,因此電源軌條PR71至PR74可加強且電阻電位降可減輕。Referring to FIG. 7, in an exemplary embodiment of the inventive concept, the power rails PR71 to PR74 may include an M2 layer of conductive lines, and the conductive lines of the M2 layer extend in the X-axis direction to the standard with the M2 layer. A pattern (or a conductive line) extending in the Y-axis direction among the cells is spaced apart by a predetermined distance. For example, as shown in FIG. 7, the power rail PR71 may include a pattern L71 of the M2 layer extending from the standard cell C72 in the Y-axis direction, and the M2 layer extending in the X-axis direction to be spaced from the pattern L71. The conductive line L72 of the point of the distance X71 is opened. Therefore, as shown in FIG. 7, in the region in which the pattern of the M2 layer for routing the signals of the standard cells C71 to C79 is not formed, the conductive lines formed in the M2 layer of the power rails PR71 to PR74 are formed. Can be extended. For example, the conductive line L72 may extend in the X-axis direction to a point where the pattern L71 for signal routing is formed. In other words, since the conductive lines formed in the M2 layer of the power supply rails PR71 to PR74 can continue to extend after the signal routing, the power supply rails PR71 to PR74 can be reinforced and the resistance potential drop can be alleviated.

在本發明概念的示例性實施例中,形成於電源軌條PR71至PR74的M2層中的導電線可具有最小面積。舉例而言,如圖7中所示,電源軌條PR71可包括M2層的導電線L72及L73。導電線L73可在X軸方向上具有長度X72。可省略M2層的小於在X軸方向上的長度X72的導電線。換言之,可省略形成於位於圖案L71與L74之間的電源軌條PR71的M2層中的導電線。In an exemplary embodiment of the inventive concept, the conductive lines formed in the M2 layer of the power rails PR71 to PR74 may have a minimum area. For example, as shown in FIG. 7, the power rail PR71 may include the conductive lines L72 and L73 of the M2 layer. The conductive line L73 may have a length X72 in the X-axis direction. Conductive lines of the M2 layer that are smaller than the length X72 in the X-axis direction may be omitted. In other words, the conductive lines formed in the M2 layer of the power rails PR71 located between the patterns L71 and L74 can be omitted.

圖8A、8B、及8C是示出根據本發明概念示例性實施例的電源軌條PR80a、PR80b、及PR80c的圖式。如圖8A至圖8C中所示,電源軌條PR80a、PR80b、及PR80c可包括與半導體裝置(舉例而言,電晶體)相鄰的導電層。舉例而言,在圖8A至圖8C中,在四個層M1至M4的上部配線層D1上形成有導電線。儘管圖8A至圖8C中示出其中上部配線層D1位於四個層上的實例,然而應理解,上部配線層D1可位於更少的導電層或更多的導電層上。舉例而言,上部配線層D1可位於兩層式結構上。8A, 8B, and 8C are diagrams showing power rails PR80a, PR80b, and PR80c according to an exemplary embodiment of the inventive concept. As shown in FIGS. 8A through 8C, the power rails PR80a, PR80b, and PR80c may include a conductive layer adjacent to a semiconductor device (for example, a transistor). For example, in FIGS. 8A to 8C, conductive lines are formed on the upper wiring layer D1 of the four layers M1 to M4. Although an example in which the upper wiring layer D1 is located on the four layers is illustrated in FIGS. 8A to 8C, it should be understood that the upper wiring layer D1 may be located on less conductive layers or more conductive layers. For example, the upper wiring layer D1 may be located on a two-layer structure.

參照圖8A,電源軌條PR80a可包括形成於M1層上且在X軸方向上延伸的導電線L81a,及形成於上部配線層D1上且在X軸方向上延伸的導電線L85a。導電線L81a及L85a可經由多個通孔及導電層的圖案電性內連。如圖8A中所示,作為上部配線層的D1層可具有較M1層至M4層中的每一者的厚度(例如,Z81)大的厚度(例如,在Z軸方向上的長度Z82)及/或可由具有高導電性的材料形成。因此,由於導電線L85a的相對低的電阻值,因此電源軌條PR80a的電阻電位降可減輕。另外,電源軌條PR80a可能夠使M2層至M4層用於訊號路由,且因此訊號路由的自由度亦可提高。Referring to FIG. 8A, the power rail PR80a may include a conductive line L81a formed on the M1 layer and extending in the X-axis direction, and a conductive line L85a formed on the upper wiring layer D1 and extending in the X-axis direction. The conductive lines L81a and L85a can be electrically interconnected via a plurality of vias and a pattern of conductive layers. As shown in FIG. 8A, the D1 layer as the upper wiring layer may have a thickness larger than the thickness (for example, Z81) of each of the M1 layer to the M4 layer (for example, the length Z82 in the Z-axis direction) and / or can be formed from a material having high conductivity. Therefore, the resistance potential drop of the power supply rail PR80a can be alleviated due to the relatively low resistance value of the conductive line L85a. In addition, the power rail PR80a can enable the M2 layer to the M4 layer for signal routing, and thus the degree of freedom of signal routing can also be improved.

參照圖8B,電源軌條PR80b可包括分別形成於M1層及M3層中且在X軸方向上延伸的導電線L81b及L83b,及形成於D1層中且在X軸方向上延伸的導電線L85b。導電線L81b、L83b、及L85b可經由多個通孔及導電層的圖案電性內連。由於導電線L83b形成於M3層中而且導電線L85b具有大的厚度,因此電源軌條PR80b的電阻電位降可減輕。此外,電源軌條PR80b可使用M2層及M4層進行訊號路由,且因此訊號路由的自由度可得到確保。Referring to FIG. 8B, the power rails PR80b may include conductive lines L81b and L83b respectively formed in the M1 layer and the M3 layer and extending in the X-axis direction, and conductive lines L85b formed in the D1 layer and extending in the X-axis direction. . The conductive lines L81b, L83b, and L85b are electrically interconnected via a plurality of vias and a pattern of conductive layers. Since the conductive line L83b is formed in the M3 layer and the conductive line L85b has a large thickness, the resistance potential drop of the power supply rail PR80b can be alleviated. In addition, the power rail PR80b can use the M2 layer and the M4 layer for signal routing, and thus the degree of freedom of signal routing can be ensured.

參照圖8C,電源軌條PR80c可包括形成於D1層中且在X軸方向上延伸的導電線L85c。導電線L85c可經由多個通孔及導電層的圖案向下部半導體裝置供應電力。電阻電位降可由於導電線L85c具有大的厚度而減輕,且電源軌條PR80c可使用M1層、M2層、M3層、及M4層進行訊號路由。因此,訊號路由的自由度可提高。Referring to FIG. 8C, the power rail PR80c may include a conductive line L85c formed in the D1 layer and extending in the X-axis direction. The conductive line L85c can supply power to the lower semiconductor device via the plurality of via holes and the pattern of the conductive layer. The resistance potential drop can be alleviated by the large thickness of the conductive line L85c, and the power rails PR80c can be used for signal routing using the M1 layer, the M2 layer, the M3 layer, and the M4 layer. Therefore, the degree of freedom of signal routing can be improved.

圖9A、圖9B、及圖9C是根據本發明概念示例性實施例的用於將不同層的導電線電性內連的結構的圖式。如圖9A至圖9C中所示,在同一層上彼此平行地設置的多個通孔可用於減小不同層的導電線之間的電阻。此種結構可在本文中稱作通孔柱(via pillar)。舉例而言,圖9A至圖9C中所示示例性結構可提供使輸入訊號、輸出訊號、及/或內部訊號在標準胞元中移動的路徑。圖9A至圖9C中所示示例性結構亦可用於連接在不同層中延伸的電源軌條的導電線。在下文中,將省略對圖9A至圖9C的冗餘說明。9A, 9B, and 9C are diagrams of structures for electrically interconnecting conductive layers of different layers, according to an exemplary embodiment of the inventive concept. As shown in FIGS. 9A to 9C, a plurality of through holes provided in parallel with each other on the same layer can be used to reduce the electric resistance between the conductive lines of the different layers. Such a structure may be referred to herein as a via pillar. For example, the exemplary structure shown in Figures 9A-9C can provide a path for moving input signals, output signals, and/or internal signals in standard cells. The exemplary structure shown in Figures 9A-9C can also be used to connect the conductive lines of power rails that extend in different layers. Hereinafter, the redundant explanation of FIGS. 9A to 9C will be omitted.

參照圖9A,通孔柱VP90可包括分別形成於M1層及M5層中且在X軸方向上延伸的導電線L91及L95。在M1層的導電線L91之間可排列有兩個通孔V16及V17且在M2層上形成有兩條導電線L92a及L92b。在Y軸方向上彼此平行地延伸的所述兩條導電線L92a與L92b可設置於所述兩個通孔V16及V17上以將導電線L91與L95電性內連。在所述兩條導電線L92a及L92b上可設置有四個通孔V26、V27、V28、及V29,且在所述四個通孔V26至V29上可設置有形成於M3層上且在X軸方向上彼此平行地延伸的兩條導電線L93a與L93b。在所述兩條導電線L93a及L93b上可設置有四個通孔V36、V37、V38、及V39,且在所述四個通孔V36至V39上可設置有形成於M4層中且在Y軸方向上彼此平行地延伸的兩條導電線L94a與L94b。在兩條導電線L94a及L94b上可設置有兩個通孔V46及V47。在所述兩個通孔V46及V47上可設置有形成於M5層上的導電線L95。藉由如上所述將所述多個通孔排列於層中,M1層的導電線L91與M5層的導電線L95之間的電阻值可減小,且經由M1層的導電線L91接收電力的半導體裝置可具有減輕的電阻電位降。Referring to FIG. 9A, the via post VP90 may include conductive lines L91 and L95 respectively formed in the M1 layer and the M5 layer and extending in the X-axis direction. Two through holes V16 and V17 may be arranged between the conductive lines L91 of the M1 layer, and two conductive lines L92a and L92b may be formed on the M2 layer. The two conductive lines L92a and L92b extending in parallel with each other in the Y-axis direction may be disposed on the two through holes V16 and V17 to electrically interconnect the conductive lines L91 and L95. Four through holes V26, V27, V28, and V29 may be disposed on the two conductive lines L92a and L92b, and the four through holes V26 to V29 may be disposed on the M3 layer and at the X Two conductive lines L93a and L93b extending in parallel with each other in the axial direction. Four through holes V36, V37, V38, and V39 may be disposed on the two conductive lines L93a and L93b, and may be disposed in the M4 layer and in the four through holes V36 to V39. Two conductive lines L94a and L94b extending in parallel with each other in the axial direction. Two through holes V46 and V47 may be disposed on the two conductive lines L94a and L94b. Conductive lines L95 formed on the M5 layer may be disposed on the two through holes V46 and V47. By arranging the plurality of via holes in the layer as described above, the resistance value between the conductive line L91 of the M1 layer and the conductive line L95 of the M5 layer can be reduced, and the power is received via the conductive line L91 of the M1 layer. The semiconductor device can have a reduced resistance potential drop.

參照圖9B,與圖9A所示通孔柱VP90相似,通孔柱VP90'可包括分別形成於M1層及M5層中且在X軸方向上延伸的導電線L91'及L95'。與形成於M1層中的導電線L91'不同,M5層的導電線L95'可具有相對廣的寬度(例如,在Y軸方向上的長度)。因此,如圖9B中所示,在M4層的導電線L94a'及L94b'上可排列有四個通孔V46'、V47'、V48'、及V49'。導電線L91'可經由觸點及/或通孔(例如,V0)連接至標準胞元中的半導體裝置且包括用於訊號路由的圖案。Referring to FIG. 9B, similar to the via post VP90 shown in FIG. 9A, the via post VP90' may include conductive lines L91' and L95' respectively formed in the M1 layer and the M5 layer and extending in the X-axis direction. Unlike the conductive line L91' formed in the M1 layer, the conductive line L95' of the M5 layer may have a relatively wide width (for example, a length in the Y-axis direction). Therefore, as shown in FIG. 9B, four through holes V46', V47', V48', and V49' may be arranged on the conductive lines L94a' and L94b' of the M4 layer. Conductive line L91' may be connected to a semiconductor device in a standard cell via a contact and/or via (eg, V0) and includes a pattern for signal routing.

參照圖9C,與圖9A及圖9B所示通孔柱VP90及VP90'相似,通孔柱VP90''可包括分別形成於M1層及M5層中且在X軸方向上延伸的導電線L91''及L95''。與在圖9A及圖9B所示通孔柱VP90及VP90'中分別形成於M2層至M4層中且彼此分隔開(例如,以形成兩條線)的導電線不同,在圖9C所示通孔柱VP90''中分別形成於M2層至M4層中的導電線可合併成一個實心圖案L92、L93、或L94。Referring to FIG. 9C, similar to the via posts VP90 and VP90' shown in FIGS. 9A and 9B, the via post VP90'' may include conductive lines L91' respectively formed in the M1 layer and the M5 layer and extending in the X-axis direction. 'And L95''. Different from the conductive lines formed in the M2 layer to the M4 layer respectively in the via posts VP90 and VP90' shown in FIGS. 9A and 9B and separated from each other (for example, to form two lines), as shown in FIG. 9C The conductive lines respectively formed in the M2 layer to the M4 layer in the via post VP90'' may be combined into one solid pattern L92, L93, or L94.

儘管在圖9A至圖9C中通孔柱VP90、VP90'、及VP90''包括分別形成於M1層及M5層中的導電線,然而應理解,圖9A至圖9C中所示結構可包括另一導電線。舉例而言,在M3層中可形成有導電線,且所述導電線在X軸方向上沿M1層及M5層的導電線延伸。圖9A至圖9C中所示通孔柱VP90、VP90'、及VP90''是實例且本發明概念並非僅限於此。舉例而言,在同一層中(例如,在L93與L94之間)可排列有多於四個通孔,如圖2B等中所示在同一層中可排列有多個棒狀通孔。Although the via posts VP90, VP90', and VP90'' include conductive lines formed in the M1 layer and the M5 layer, respectively, in FIGS. 9A through 9C, it should be understood that the structures shown in FIGS. 9A through 9C may include another A conductive wire. For example, conductive lines may be formed in the M3 layer, and the conductive lines extend along the conductive lines of the M1 layer and the M5 layer in the X-axis direction. The via posts VP90, VP90', and VP90'' shown in Figures 9A through 9C are examples and the inventive concept is not limited thereto. For example, more than four through holes may be arranged in the same layer (for example, between L93 and L94), and a plurality of rod-shaped through holes may be arranged in the same layer as shown in FIG. 2B and the like.

圖10A及圖10B是示出根據本發明概念示例性實施例的電源軌條PR100a及PR100b的圖式。如圖10A及圖10B中所示,電源軌條PR100a及PR100b可包括分別形成於M1層及D1層中且在X軸方向上延伸的導電線L110、L111、L150、及L151,且可包括用於將M1層的導電線L110及L111與D1層的導電線L150及L151電性內連的多個通孔。10A and 10B are diagrams illustrating power supply rails PR100a and PR100b according to an exemplary embodiment of the inventive concept. As shown in FIG. 10A and FIG. 10B, the power rails PR100a and PR100b may include conductive lines L110, L111, L150, and L151 respectively formed in the M1 layer and the D1 layer and extending in the X-axis direction, and may include A plurality of through holes electrically interconnecting the conductive lines L110 and L111 of the M1 layer and the conductive lines L150 and L151 of the D1 layer.

參照圖10A,電源軌條PR100a可包括與用於將導電線L110與L150電性內連的多個通孔連接的M2層至M4層的導電線L120、L130、及L140。如圖10A中所示,導電線L120、L130、及L140可在X軸方向上延伸以增強M1層及D1層的導電線L110與L150之間的電性連接。Referring to FIG. 10A, the power rails PR100a may include conductive lines L120, L130, and L140 of M2 to M4 layers connected to a plurality of vias for electrically interconnecting the conductive lines L110 and L150. As shown in FIG. 10A, the conductive lines L120, L130, and L140 may extend in the X-axis direction to enhance the electrical connection between the conductive lines L110 and L150 of the M1 layer and the D1 layer.

參照圖10B,電源軌條PR100b可包括在同一層中在Y軸方向上間隔開的通孔,以將在X軸方向上延伸的導電線L111與L151、及與所述通孔連接且在X軸方向或Y軸方向上延伸的M2層至M4層的導電線電性內連。舉例而言,如圖10B中所示,M2層的導電線L121、L122、及L123可在Y軸方向上延伸,且在M2層的導電線L121、L122、及L123上可排列有在Y軸方向上彼此間隔開的多個通孔。在M4層的導電線L141、L142、及L143可在Y軸方向上延伸的同時,M3層的導電線L131及L132可在X軸方向上延伸。Referring to FIG. 10B, the power rails PR100b may include through holes spaced apart in the Y-axis direction in the same layer to connect the conductive lines L111 and L151 extending in the X-axis direction, and the through holes and at the X The conductive lines of the M2 layer to the M4 layer extending in the axial direction or the Y-axis direction are electrically connected. For example, as shown in FIG. 10B, the conductive lines L121, L122, and L123 of the M2 layer may extend in the Y-axis direction, and may be arranged on the Y-axis on the conductive lines L121, L122, and L123 of the M2 layer. A plurality of through holes spaced apart from each other in the direction. While the conductive lines L141, L142, and L143 of the M4 layer can extend in the Y-axis direction, the conductive lines L131 and L132 of the M3 layer can extend in the X-axis direction.

相較於圖10A所示電源軌條PR100a,圖10B所示電源軌條PR100b可在提供用於訊號路由的空間的同時具有在Y軸方向上延伸以將M1層及D1層的導電線L111與L151電性連接的結構。換言之,由於導電線L120及L140形成於M2層及M4層中,因此圖10A中所示區R11a、R12a、R13a、及R14a在用作用於訊號路由的圖案方面可能受到限制,而由於M2層及M4層的導電線L121至L123及L141至L143在Y軸方向上延伸,因此圖10B中所示區R11b、R12b、R13b、及R14b可用作用於訊號路由的圖案。舉例而言,在圖10B中當在Y軸方向上延伸的導電線L121、L122、及L123以預定間隔排列於M2層中時,區R11b及R12b可形成於M2層中且用於Y軸方向上的訊號路由。Compared with the power rail PR100a shown in FIG. 10A, the power rail PR100b shown in FIG. 10B can have a space extending in the Y-axis to provide the conductive lines L111 of the M1 layer and the D1 layer while providing space for signal routing. L151 electrically connected structure. In other words, since the conductive lines L120 and L140 are formed in the M2 layer and the M4 layer, the regions R11a, R12a, R13a, and R14a shown in FIG. 10A may be limited in use as a pattern for signal routing, and due to the M2 layer and The conductive lines L121 to L123 and L141 to L143 of the M4 layer extend in the Y-axis direction, and thus the regions R11b, R12b, R13b, and R14b shown in Fig. 10B can be used as patterns for signal routing. For example, when the conductive lines L121, L122, and L123 extending in the Y-axis direction are arranged in the M2 layer at predetermined intervals in FIG. 10B, the regions R11b and R12b may be formed in the M2 layer and used for the Y-axis direction. Signal routing on.

圖10A及圖10B中所示電源軌條PR100a及PR100b是實例且本發明概念並非僅限於此。舉例而言,圖10A及圖10B所示電源軌條PR100a及PR100b包括在X軸方向上彼此間隔開的三個通孔,或在同一層中在Y軸方向上彼此間隔開的三對通孔。然而,根據本發明概念示例性實施例的電源軌條可包括較圖10A及圖10B中所示通孔及/或導電線的數目少或大的數目的通孔及/或導電線。The power rails PR100a and PR100b shown in FIGS. 10A and 10B are examples and the inventive concept is not limited thereto. For example, the power rails PR100a and PR100b shown in FIGS. 10A and 10B include three through holes spaced apart from each other in the X-axis direction, or three pairs of through holes spaced apart from each other in the Y-axis direction in the same layer. . However, the power rail according to an exemplary embodiment of the inventive concept may include a number of vias and/or conductive lines that are smaller or larger than the number of vias and/or conductive lines shown in FIGS. 10A and 10B.

圖11是根據本發明概念示例性實施例的製作包括多個標準胞元的積體電路的方法的流程圖。11 is a flowchart of a method of fabricating an integrated circuit including a plurality of standard cells, according to an exemplary embodiment of the inventive concept.

標準胞元庫D50可包含關於所述多個標準胞元的資訊,例如功能資訊、特性資訊、佈局資訊、及類似資訊。如圖11中所示,標準胞元庫D50可包含第一群組資訊D51及第二群組資訊D52。第一群組資訊D51可包括關於如以上參照圖5A及圖5B所述具有與形成於相鄰電源軌條中的M2層中的導電線交疊的邊界的標準胞元的資訊。第二群組資訊D52可包括關於如以上參照圖6A及圖6B所述不具有與形成於相鄰電源軌條中的M2層中的導電線交疊的邊界的標準胞元的資訊。The standard cell library D50 may contain information about the plurality of standard cells, such as function information, feature information, layout information, and the like. As shown in FIG. 11, the standard cell library D50 may include a first group information D51 and a second group information D52. The first group information D51 may include information about a standard cell having a boundary overlapping with a conductive line formed in the M2 layer formed in the adjacent power rail as described above with reference to FIGS. 5A and 5B. The second group information D52 may include information about standard cells that do not have boundaries that overlap the conductive lines formed in the M2 layer in the adjacent power rails as described above with reference to FIGS. 6A and 6B.

參照圖11,在操作S100中,可實行邏輯合成(logic synthesis)以自暫存器轉移層次(register transfer level,RTL)資料D10產生網表(netlist)資料D20。舉例而言,半導體設計工具(例如,邏輯合成工具)可基於以硬體描述語言(hardware description language,HDL)(例如特高速積體電路(very high speed integrated circuit,VHSIC)硬體描述語言(VHSIC HDL,VHDL)及Verilog)寫入的暫存器轉移層次資料D10來實行邏輯合成。半導體設計工具可在邏輯合成期間參考標準胞元庫D50,藉此產生包括位元流或網表的網表資料D20。如上所述,標準胞元庫D50中可包括關於其中電阻電位降藉由加強相鄰電源軌條而減輕的標準胞元的資訊(例如,D51)及關於具有提高的訊號路由自由度的標準胞元的資訊(例如,D52)。因此,利用邏輯合成進程中的此種資訊,具有該些特性的標準胞元可被包括於積體電路中。Referring to FIG. 11, in operation S100, logic synthesis may be performed to generate a netlist data D20 from a register transfer level (RTL) data D10. For example, a semiconductor design tool (eg, a logic synthesis tool) can be based on a hardware description language (HDL) (eg, a very high speed integrated circuit (VHSIC) hardware description language (VHSIC). HDL, VHDL) and Verilog) write the scratchpad transfer hierarchy data D10 to perform logical synthesis. The semiconductor design tool can reference the standard cell library D50 during logic synthesis, thereby generating netlist data D20 that includes a bitstream or netlist. As described above, the standard cell library D50 may include information about standard cells in which the resistance potential drop is mitigated by enhancing adjacent power rails (for example, D51) and standard cells with improved signal routing degrees of freedom. Meta information (for example, D52). Thus, using such information in the logic synthesis process, standard cells with these characteristics can be included in the integrated circuit.

在操作S200中,可實行用於自網表資料D20產生佈局資料D30的放置及路由(placement and routing,P&R)操作。如圖11中所示,放置及路由操作S200可包括多個操作S210、S220、及S230。In operation S200, a placement and routing (P&R) operation for generating layout data D30 from the netlist data D20 may be performed. As shown in FIG. 11, the placement and routing operation S200 can include a plurality of operations S210, S220, and S230.

在操作S210中,可實行放置標準胞元的操作。舉例而言,半導體設計工具(例如,放置及路由工具)可參考來自網表資料D20的標準胞元庫D50來放置多個標準胞元。由於標準胞元可具有預定高度,因此半導體設計工具可將標準胞元放置於具有預定長度的相交柵格上。電源軌條可在與柵格交疊的一個方向上延伸且可以規則的間隔排列。In operation S210, an operation of placing a standard cell may be performed. For example, a semiconductor design tool (eg, a placement and routing tool) can refer to a standard cell library D50 from netlist data D20 to place a plurality of standard cells. Since the standard cells can have a predetermined height, the semiconductor design tool can place the standard cells on an intersecting grid having a predetermined length. The power rails may extend in one direction that overlaps the grid and may be arranged at regular intervals.

在操作S220中,可實行創建內連線的操作。內連線可將標準胞元的輸出引腳與輸入引腳電性連接且可包括例如至少一個通孔及至少一個導電圖案。藉由產生內連線,標準胞元可被路由且M2層可用於電源軌條的一些區中的路由。此外,如以上參照圖7所述,在訊號路由完成之後,電源軌條中所包括的M2層的導電線可延伸,且因此所述電源軌條中的電阻電位降可進一步減輕。In operation S220, an operation of creating an interconnection may be performed. The interconnect may electrically connect the output pin of the standard cell to the input pin and may include, for example, at least one via and at least one conductive pattern. By generating interconnects, standard cells can be routed and the M2 layer can be used for routing in some areas of the power rail. Further, as described above with reference to FIG. 7, after the signal routing is completed, the conductive lines of the M2 layer included in the power rail can be extended, and thus the resistance potential drop in the power rail can be further alleviated.

在操作S230中,可實行產生佈局資料D30的操作。佈局資料D30可具有例如圖形資料庫系統II(graphic database system II,GDSII)等格式且可包括標準胞元及內連線的幾何資訊。In operation S230, an operation of generating the layout material D30 may be performed. The layout data D30 may have a format such as a graphic database system II (GDSII) and may include geometric information of standard cells and interconnects.

在操作S300中,可實行製造遮罩的操作。舉例而言,可根據佈局資料D30界定形成於多個層中的圖案,且可製造用於形成所述多個層中的每一者的圖案的至少一個遮罩(或光遮罩(photomask))。In operation S300, an operation of manufacturing a mask may be performed. For example, a pattern formed in a plurality of layers may be defined according to layout material D30, and at least one mask (or photomask) for forming a pattern of each of the plurality of layers may be fabricated ).

在操作S400中,可實行製作積體電路的操作。舉例而言,可藉由使用在操作S300中製造的所述至少一個遮罩將所述多個層圖案化來製作積體電路。如圖11中所示,操作S400可包括操作S410及S420。In operation S400, an operation of fabricating an integrated circuit can be performed. For example, an integrated circuit can be fabricated by patterning the plurality of layers using the at least one mask fabricated in operation S300. As shown in FIG. 11, operation S400 may include operations S410 and S420.

在操作S410中,可實行前段(front-end-of-line,FEOL)製程。前段可指代在積體電路製作製程期間在基底上形成例如電晶體、電容器、電阻器、及類似元件等個別元件的製程。舉例而言,前段可包括對晶圓進行平坦化及清潔,形成溝渠,形成阱,形成閘極線,形成源極及汲極,以及類似操作。In operation S410, a front-end-of-line (FEOL) process may be performed. The front section may refer to a process of forming individual components such as transistors, capacitors, resistors, and the like on a substrate during an integrated circuit fabrication process. For example, the front section may include planarizing and cleaning the wafer, forming trenches, forming wells, forming gate lines, forming source and drain electrodes, and the like.

在操作S420中,可實行後段(BEOL)製程。後段可指代在積體電路製作製程期間將例如電晶體、電容器、電阻器等個別元件內連的製程。舉例而言,後段可包括將閘極、源極及汲極區矽化,添加介電質,進行平坦化,形成孔,添加金屬層,形成通孔,形成保護層,以及類似操作。可接著將積體電路封裝於半導體封裝中且用作各種應用中的組件。藉由後段製程(S420),可形成根據本發明概念示例性實施例的用於訊號路由的電源軌條及圖案。In operation S420, a back end (BEOL) process may be performed. The latter stage may refer to a process of interconnecting individual components such as transistors, capacitors, resistors, etc. during the integrated circuit fabrication process. For example, the back section may include deuterating the gate, source, and drain regions, adding a dielectric, planarizing, forming a hole, adding a metal layer, forming a via, forming a protective layer, and the like. The integrated circuit can then be packaged in a semiconductor package and used as a component in various applications. By the back end process (S420), power rails and patterns for signal routing according to an exemplary embodiment of the inventive concept may be formed.

圖12是根據本發明概念示例性實施例的系統晶片(SoC)120的方塊圖。系統晶片120可為半導體裝置且可包括積體電路。系統晶片120是藉由將例如實行各種功能的智慧財產(intellectual property,IP)等複雜功能性區塊整合成單一晶片來實作。根據本發明概念的示例性實施例,系統晶片120的功能性區塊中的每一者中可包括標準胞元及電源軌條,因此系統晶片120可由於減輕的電阻電位降及被高效路由的圖案而具有提高的效能。FIG. 12 is a block diagram of a system wafer (SoC) 120, in accordance with an exemplary embodiment of the inventive concept. System wafer 120 can be a semiconductor device and can include an integrated circuit. The system chip 120 is implemented by integrating complex functional blocks such as intellectual property (IP) that perform various functions into a single wafer. In accordance with an exemplary embodiment of the inventive concept, standard cells and power rails may be included in each of the functional blocks of system wafer 120, such that system wafer 120 may be efficiently routed due to reduced resistance potential drop The pattern has improved performance.

參照圖12,系統晶片120可包括數據機122、顯示器控制器123、記憶體124、外部記憶體控制器125、中央處理單元(central processing unit,CPU)126、處理單元(transaction unit)127、電力管理積體電路(power management integrated circuit,PMIC)128、及圖形處理單元(graphics processing unit,GPU)129。系統晶片120的功能性區塊可經由系統匯流排121彼此進行通訊。Referring to FIG. 12, the system chip 120 may include a data machine 122, a display controller 123, a memory 124, an external memory controller 125, a central processing unit (CPU) 126, a processing unit 127, and power. A power management integrated circuit (PMIC) 128 and a graphics processing unit (GPU) 129 are provided. The functional blocks of system wafer 120 can communicate with one another via system bus bars 121.

可控制系統晶片120的所有操作的中央處理單元126可控制例如數據機122、顯示器控制器123、記憶體124、外部記憶體控制器125、處理單元127、電力管理積體電路128、及圖形處理單元129等其他功能性區塊的操作。數據機122可對自系統晶片120外部接收的訊號進行解調或者可對在系統晶片120中產生的訊號進行調變並將所述訊號傳送至系統晶片120外部。外部記憶體控制器125可控制將資料傳送至與系統晶片120連接的外部記憶體裝置及自所述外部記憶體裝置接收資料的操作。舉例而言,在外部記憶體控制器125的控制下,儲存於外部記憶體裝置中的程式及/或資料可被提供至中央處理單元126或圖形處理單元129。圖形處理單元129可執行圖形處理中所涉及的程式指令。圖形處理單元129可經由外部記憶體控制器125接收圖形資料且可經由外部記憶體控制器125將所處理的圖形資料傳送至系統晶片120外部。處理單元127可監控每一功能性區塊的資料處理。電力管理積體電路128可根據處理單元127的控制來控制被供應至每一功能性區塊的電力。顯示器控制器123可控制位於系統晶片120外部的顯示器(或顯示裝置)且將在系統晶片120中產生的資料傳送至所述顯示器。The central processing unit 126, which can control all operations of the system wafer 120, can control, for example, the data machine 122, the display controller 123, the memory 124, the external memory controller 125, the processing unit 127, the power management integrated circuit 128, and the graphics processing The operation of other functional blocks such as unit 129. The data engine 122 can demodulate signals received from outside the system chip 120 or can modulate signals generated in the system wafer 120 and transmit the signals to the outside of the system wafer 120. The external memory controller 125 can control the transfer of data to an external memory device connected to the system chip 120 and the operation of receiving data from the external memory device. For example, the programs and/or materials stored in the external memory device can be provided to the central processing unit 126 or the graphics processing unit 129 under the control of the external memory controller 125. The graphics processing unit 129 can execute the program instructions involved in the graphics processing. Graphics processing unit 129 can receive graphics data via external memory controller 125 and can communicate the processed graphics data to external to system wafer 120 via external memory controller 125. Processing unit 127 can monitor the data processing of each functional block. The power management integrated circuit 128 can control the power supplied to each functional block according to the control of the processing unit 127. Display controller 123 can control a display (or display device) located external to system wafer 120 and transfer the data generated in system wafer 120 to the display.

記憶體124可為例如電子式可清除程式化唯讀記憶體(electrically erasable programmable read-only memory,EEPROM)、快閃記憶體、相變隨機存取記憶體(phase-change random access memory,PRAM)、電阻隨機存取記憶體(resistance RAM,RRAM)、奈米浮動閘極記憶體(nano floating gate memory,NFGM)、聚合物隨機存取記憶體(polymer RAM,PoRAM)、磁性隨機存取記憶體(magnetic RAM,MRAM)、或鐵電式隨機存取記憶體(ferroelectric RAM,FRAM)等非揮發性記憶體,或者可為例如動態隨機存取記憶體(dynamic RAM,DRAM)、靜態隨機存取記憶體(static RAM,SRAM)、行動動態隨機存取記憶體(mobile DRAM)、雙倍資料速率(double data rate,DDR)同步動態隨機存取記憶體(synchronous DRAM,SDRAM)、低功率雙倍資料速率(low power DDR,LPDDR)同步動態隨機存取記憶體、圖形雙倍資料速率(graphics DDR,GDDR)同步動態隨機存取記憶體、蘭巴斯動態隨機存取記憶體(Rambus DRAM,RDRAM)等揮發性記憶體。The memory 124 can be, for example, an electrically erasable programmable read-only memory (EEPROM), a flash memory, or a phase-change random access memory (PRAM). Resistive RAM (RRAM), nano floating gate memory (NFGM), polymer random access memory (PoRAM), magnetic random access memory (magnetic RAM, MRAM), or non-volatile memory such as ferroelectric RAM (FRAM), or may be, for example, dynamic random access memory (DRAM), static random access Memory (static RAM, SRAM), mobile DRAM, double data rate (DDR) synchronous dynamic random access memory (SDRAM), low power double Data rate (low power DDR, LPDDR) synchronous dynamic random access memory, graphics double data rate (graphics DDR, GDDR) synchronous dynamics Machine access memory, Rambus dynamic random access memory (Rambus DRAM, RDRAM) and other volatile memory.

圖13是根據本發明概念示例性實施例的包括用於儲存程式的記憶體的計算系統130的方塊圖。計算系統130可實行根據本發明概念示例性實施例的製作積體電路的方法(例如,圖11中所示方法)中所包括的操作中的至少一些。FIG. 13 is a block diagram of a computing system 130 including memory for storing programs, in accordance with an exemplary embodiment of the inventive concept. Computing system 130 may perform at least some of the operations included in a method of fabricating an integrated circuit (eg, the method illustrated in FIG. 11) in accordance with an exemplary embodiment of the inventive concept.

計算系統130可為例如桌上型電腦、工作站、或伺服器等靜態計算系統,或者可為例如膝上型電腦等可攜式計算系統。如圖13中所示,計算系統130可包括處理器131、輸入/輸出(input/output,I/O)裝置132、網路介面133、隨機存取記憶體134、唯讀記憶體135、及儲存器136。處理器131、輸入/輸出裝置132、網路介面133、隨機存取記憶體134、唯讀記憶體135、及儲存器136可連接至匯流排137且可經由匯流排137彼此進行通訊。Computing system 130 can be a static computing system such as a desktop computer, workstation, or server, or can be a portable computing system such as a laptop. As shown in FIG. 13, computing system 130 can include a processor 131, an input/output (I/O) device 132, a network interface 133, a random access memory 134, a read-only memory 135, and Storage 136. The processor 131, the input/output device 132, the network interface 133, the random access memory 134, the read-only memory 135, and the storage 136 can be connected to the bus bar 137 and can communicate with each other via the bus bar 137.

處理器131可為處理單元且可包括如微處理器、應用處理器(application processor,AP)、數位訊號處理器(digital signal processor,DSP)、或圖形處理單元等至少一個核心,所述至少一個核心可執行指令集(例如,英特爾32位元架構(Intel Architecture-32,IA-32)、64位元擴展英特爾32位元架構(64-bit extension IA-32)、x86-64、威力個人電腦(PowerPC)、可縮放處理器架構(scalable processor architecture,Sparc)、無互鎖管線級的微處理器(microprocessor without interlocked pipeline stage,MIPS)、先進精簡指令集電腦(reuced instruction set computer,RISC)機器(advanced RISC machine,ARM)、或英特爾64位元架構(IA-64))。舉例而言,處理器131可經由匯流排137存取例如隨機存取記憶體134或唯讀記憶體135等記憶體且可執行儲存於隨機存取記憶體134或唯讀記憶體135中的指令。The processor 131 can be a processing unit and can include at least one core, such as a microprocessor, an application processor (AP), a digital signal processor (DSP), or a graphics processing unit, the at least one Core executable instruction set (for example, Intel 32-bit architecture (Intel Architecture-32, IA-32), 64-bit extended Intel 32-bit architecture (64-bit extension IA-32), x86-64, Power PC (PowerPC), scalable processor architecture (Sparc), microprocessor without interlocked pipeline stage (MIPS), advanced reducted instruction set computer (RISC) machine (advanced RISC machine, ARM), or Intel 64-bit architecture (IA-64)). For example, the processor 131 can access a memory such as the random access memory 134 or the read-only memory 135 via the bus 137 and can execute instructions stored in the random access memory 134 or the read-only memory 135. .

隨機存取記憶體134可根據本發明概念的示例性實施例儲存程式200或程式200的至少一部分。根據本發明概念的示例性實施例,程式200可能夠使處理器131實行製作積體電路的方法中所包括的至少一些操作。換言之,程式200可包括可由處理器131執行的多個指令。程式200中所包括的指令可能夠使處理器131實行例如圖11中所示操作S100中的邏輯合成及/或操作S200中的放置及路由。The random access memory 134 can store at least a portion of the program 200 or program 200 in accordance with an exemplary embodiment of the inventive concept. According to an exemplary embodiment of the inventive concept, the program 200 may be capable of causing the processor 131 to perform at least some of the operations included in the method of fabricating the integrated circuit. In other words, the program 200 can include a plurality of instructions that are executable by the processor 131. The instructions included in program 200 may enable processor 131 to perform placement and routing in, for example, logic synthesis and/or operation S200 in operation S100 shown in FIG.

即便當對計算系統130供應的電力被切斷,儲存器136仍不會丟失儲存於儲存器136中的資料。儲存器136可包括非揮發性記憶體裝置或者例如磁帶、光碟、或磁碟等儲存媒體。儲存器136可能夠自計算系統130被移除。根據本發明概念的示例性實施例,儲存器136可儲存程式200。在由處理器131執行之前,程式200或程式200的至少一部分可被自儲存器136加載至隨機存取記憶體134。作為另一選擇,儲存器136可儲存以程式語言寫入的檔案,且由編譯器自所述檔案產生的程式200或程式200的至少一部分可被加載至隨機存取記憶體134。儲存器136亦可儲存資料庫(database,DB)251。資料庫251可包含用於設計積體電路的資訊(例如,圖11中所示標準胞元庫D50)。Even when the power supplied to the computing system 130 is turned off, the storage 136 does not lose the data stored in the storage 136. The storage 136 may include a non-volatile memory device or a storage medium such as a magnetic tape, a compact disc, or a magnetic disk. The storage 136 may be removable from the computing system 130. According to an exemplary embodiment of the inventive concept, the storage 136 can store the program 200. At least a portion of the program 200 or program 200 can be loaded from the storage 136 to the random access memory 134 prior to execution by the processor 131. Alternatively, the storage 136 can store files written in a programming language, and at least a portion of the program 200 or program 200 generated by the compiler from the files can be loaded into the random access memory 134. The storage 136 can also store a database (database) 251. The database 251 may contain information for designing integrated circuits (for example, the standard cell library D50 shown in FIG. 11).

儲存器136亦可儲存欲由處理器131處理的資料或已被處理器131處理的資料。換言之,處理器131可根據程式200藉由處理儲存於儲存器136中的資料來產生資料,或者可根據程式200將所產生資料儲存於儲存器136中。舉例而言,儲存器136可儲存暫存器轉移層次資料D10、網表資料D20、及/或佈局資料D30。The storage 136 can also store data to be processed by the processor 131 or data that has been processed by the processor 131. In other words, the processor 131 may generate data according to the program 200 by processing the data stored in the storage 136, or may store the generated data in the storage 136 according to the program 200. For example, the storage 136 can store the scratchpad transfer hierarchy data D10, the netlist data D20, and/or the layout data D30.

輸入/輸出裝置132可包括例如鍵盤或指向裝置(pointing device)等輸入裝置以及例如顯示裝置或列印機等輸出裝置。舉例而言,使用者可藉由輸入/輸出裝置132來觸發處理器131執行程式200,輸入圖11中所示暫存器轉移層次資料D10及/或網表資料D20,以及檢查圖11中所示佈局資料D30。The input/output device 132 may include an input device such as a keyboard or a pointing device, and an output device such as a display device or a printer. For example, the user can trigger the processor 131 to execute the program 200 by using the input/output device 132, input the scratchpad transfer hierarchy data D10 and/or the netlist data D20 shown in FIG. 11, and check the image in FIG. Display layout data D30.

網路介面133可對位於計算系統130外部的網路提供存取。舉例而言,所述網路可包括多個計算系統及通訊鏈路(communication link)。通訊鏈路可包括有線鏈路、光學鏈路、無線鏈路、或其他類型的鏈路。Network interface 133 provides access to a network external to computing system 130. For example, the network can include multiple computing systems and a communication link. The communication link can include a wired link, an optical link, a wireless link, or other type of link.

儘管已參考本發明概念的示例性實施例具體示出及闡述了本發明概念,然而此項技術中具有通常知識者應理解,在不背離由以下申請專利範圍界定的本發明概念的精神及範圍的條件下,可作出各種形式及細節上的改變。Although the present invention has been particularly shown and described with reference to the exemplary embodiments of the present invention, it is understood by those of ordinary skill in the art that the spirit and scope of the inventive concept defined by the following claims Under various conditions, various forms and details can be changed.

10、40、70‧‧‧積體電路10, 40, 70‧‧‧ integrated circuits

120‧‧‧系統晶片120‧‧‧System Chip

121‧‧‧系統匯流排121‧‧‧System Bus

122‧‧‧數據機122‧‧‧Data machine

123‧‧‧顯示器控制器123‧‧‧Display Controller

124‧‧‧記憶體124‧‧‧ memory

125‧‧‧外部記憶體控制器125‧‧‧External memory controller

126‧‧‧中央處理單元126‧‧‧Central Processing Unit

127‧‧‧處理單元127‧‧‧Processing unit

128‧‧‧電力管理積體電路128‧‧‧Power Management Integrated Circuit

129‧‧‧圖形處理單元129‧‧‧Graphic Processing Unit

130‧‧‧計算系統130‧‧‧Computation System

131‧‧‧處理器131‧‧‧ processor

132‧‧‧輸入/輸出裝置132‧‧‧Input/output devices

133‧‧‧網路介面133‧‧‧Internet interface

134‧‧‧隨機存取記憶體134‧‧‧ random access memory

135‧‧‧唯讀記憶體135‧‧‧Read-only memory

136‧‧‧儲存器136‧‧‧Storage

137‧‧‧匯流排137‧‧ ‧ busbar

200‧‧‧程式200‧‧‧ program

251‧‧‧資料庫251‧‧‧Database

A、A0、A1、B0、B1‧‧‧輸入訊號A, A0, A1, B0, B1‧‧‧ input signals

AC1、AC2‧‧‧主動區AC1, AC2‧‧‧ active area

C11‧‧‧標準胞元/第一標準胞元C11‧‧‧Standard cell/first standard cell

C12、C41、C42、C43、C44、C45、C46、C47、C48、C49、C50、C60、C71、C72、C73、C74、C75、C76、C77、C78、C79‧‧‧標準胞元C12, C41, C42, C43, C44, C45, C46, C47, C48, C49, C50, C60, C71, C72, C73, C74, C75, C76, C77, C78, C79‧‧‧ standard cells

D1‧‧‧上部配線層D1‧‧‧Upper wiring layer

D10‧‧‧暫存器轉移層次資料D10‧‧‧Scratch transfer level data

D20‧‧‧網表資料D20‧‧‧ netlist information

D30‧‧‧佈局資料D30‧‧‧Layout information

D50‧‧‧標準胞元庫D50‧‧‧Standard Cell Library

D51‧‧‧資訊/第一群組資訊D51‧‧‧Information/First Group Information

D52‧‧‧資訊/第二群組資訊D52‧‧‧Information/Second Group Information

GL1‧‧‧閘極線GL1‧‧‧ gate line

L01a、L01b、L01c、L02a、L02c、L03c、L11、L12、L21、L22、L23、L24、L25、L31、L32、L51、L52、L53、L54、L55、L61、L62、L63、L64、L65、L72、L73、L81a、L81b、L83b、L85a、L85b、L85c、L91、L91'、L91''、L92a、L92b、L93a、L93b、L94a、L94a'、L94b、L94b'、L95、L95'、L95''、L110、L111、L120、L121、L122、L123、L130、L131、L132、L140、L141、L142、L143、L150、L151‧‧‧導電線L01a, L01b, L01c, L02a, L02c, L03c, L11, L12, L21, L22, L23, L24, L25, L31, L32, L51, L52, L53, L54, L55, L61, L62, L63, L64, L65, L72, L73, L81a, L81b, L83b, L85a, L85b, L85c, L91, L91', L91'', L92a, L92b, L93a, L93b, L94a, L94a', L94b, L94b', L95, L95', L95' ', L110, L111, L120, L121, L122, L123, L130, L131, L132, L140, L141, L142, L143, L150, L151‧‧‧ conductive wire

L71、L74‧‧‧圖案L71, L74‧‧‧ pattern

L92、L93、L94‧‧‧實心圖案L92, L93, L94‧‧‧ solid pattern

M1、M2、M3、M4、M5‧‧‧層M1, M2, M3, M4, M5‧‧ layers

P51‧‧‧輸入引腳P51‧‧‧ input pin

P52‧‧‧輸出引腳P52‧‧‧ Output pin

P61、P62、P63、P64‧‧‧引腳/輸入引腳P61, P62, P63, P64‧‧‧ pin/input pin

P65‧‧‧引腳/輸出引腳P65‧‧‧ pin/output pin

PR11、PR41‧‧‧電源軌條/第一電源軌條PR11, PR41‧‧‧Power rail/first power rail

PR12、PR42‧‧‧電源軌條/第二電源軌條PR12, PR42‧‧‧Power rail / second power rail

PR30a、PR30b、PR30c、PR51、PR52、PR61、PR62、PR71、PR72、PR73、PR74、PR80a、PR80b、PR80c、PR100a、PR100b‧‧‧電源軌條PR30a, PR30b, PR30c, PR51, PR52, PR61, PR62, PR71, PR72, PR73, PR74, PR80a, PR80b, PR80c, PR100a, PR100b‧‧‧ Power rails

PR43‧‧‧電源軌條/第三電源軌條PR43‧‧‧Power rail/third power rail

PR44‧‧‧電源軌條/第四電源軌條PR44‧‧‧Power rail/fourth power rail

R11a、R11b、R12a、R12b、R13a、R13b、R14a、R14b、R21、R22‧‧‧區R11a, R11b, R12a, R12b, R13a, R13b, R14a, R14b, R21, R22‧‧‧

S100、S200、S210、S220、S230、S300、S400、S410‧‧‧操作S100, S200, S210, S220, S230, S300, S400, S410‧‧‧ operations

S420‧‧‧操作/後段製程S420‧‧‧Operation/rear process

V0、V11、V11'、V12、V12'、V13、V13'、V16、V17、V21、V21'、V22、V22'、V23、V23'、V26、V27、V28、V29、V36、V37、V38、V39、V46、V46'、V47、V47'、V48'、V49'‧‧‧通孔V0, V11, V11', V12, V12', V13, V13', V16, V17, V21, V21', V22, V22', V23', V23', V26, V27, V28, V29, V36, V37, V38, V39, V46, V46', V47, V47', V48', V49'‧‧‧ through holes

V1、V3、V4‧‧‧通孔層V1, V3, V4‧‧‧ through-hole layers

V2‧‧‧通孔/通孔層V2‧‧‧through/through layer

VP90、VP90'、VP90''‧‧‧通孔柱VP90, VP90', VP90''‧‧‧through hole column

X、Y、Z‧‧‧方向X, Y, Z‧‧ Direction

X1-X1'‧‧‧線X1-X1'‧‧‧ line

X20a、X20b、X72‧‧‧長度X20a, X20b, X72‧‧‧ length

X51、Y51‧‧‧距離/預定距離X51, Y51‧‧‧ distance/predetermined distance

X71‧‧‧距離X71‧‧‧ distance

Y‧‧‧輸出訊號Y‧‧‧ output signal

Y10‧‧‧長度/高度Y10‧‧‧ Length / Height

Z81‧‧‧厚度Z81‧‧‧ thickness

Z82‧‧‧長度/厚度Z82‧‧‧ Length / thickness

圖1是根據本發明概念示例性實施例的積體電路的一部分的電路圖。1 is a circuit diagram of a portion of an integrated circuit in accordance with an exemplary embodiment of the inventive concept.

圖2A及圖2B是根據本發明概念示例性實施例,所述積體電路的沿圖1所示的線X1-X1'與Z軸方向平行地切割的剖視圖。2A and 2B are cross-sectional views of the integrated circuit cut along the line X1-X1' shown in FIG. 1 in parallel with the Z-axis direction, according to an exemplary embodiment of the inventive concept.

圖3A、圖3B、及圖3C是根據比較實例的電源軌條的圖式。3A, 3B, and 3C are diagrams of power rails according to a comparative example.

圖4是根據本發明概念示例性實施例的積體電路的一部分的圖式。4 is a diagram of a portion of an integrated circuit in accordance with an exemplary embodiment of the inventive concept.

圖5A及圖5B是示出根據本發明概念示例性實施例的標準胞元的圖式。5A and 5B are diagrams illustrating a standard cell according to an exemplary embodiment of the inventive concept.

圖6A及圖6B是示出根據本發明概念示例性實施例的標準胞元的圖式。6A and 6B are diagrams illustrating a standard cell according to an exemplary embodiment of the inventive concept.

圖7是示出根據本發明概念示例性實施例的積體電路的一部分的圖式。FIG. 7 is a diagram showing a portion of an integrated circuit according to an exemplary embodiment of the inventive concept.

圖8A、圖8B、及圖8C是示出根據本發明概念示例性實施例的電源軌條的圖式。8A, 8B, and 8C are diagrams illustrating a power rail according to an exemplary embodiment of the inventive concept.

圖9A、圖9B、及圖9C是根據本發明概念示例性實施例的用於將不同層的導電線電性內連的結構的圖式。9A, 9B, and 9C are diagrams of structures for electrically interconnecting conductive layers of different layers, according to an exemplary embodiment of the inventive concept.

圖10A及圖10B是示出根據本發明概念示例性實施例的電源軌條的圖式。10A and 10B are diagrams illustrating a power rail according to an exemplary embodiment of the inventive concept.

圖11是根據本發明概念示例性實施例的製作包括多個標準胞元的積體電路的方法的流程圖。11 is a flowchart of a method of fabricating an integrated circuit including a plurality of standard cells, according to an exemplary embodiment of the inventive concept.

圖12是根據本發明概念示例性實施例的系統晶片(system-on-chip,SoC)的方塊圖。FIG. 12 is a block diagram of a system-on-chip (SoC) according to an exemplary embodiment of the inventive concept.

圖13是根據本發明概念示例性實施例的包括用於儲存程式的記憶體的計算系統的方塊圖。FIG. 13 is a block diagram of a computing system including memory for storing programs, according to an exemplary embodiment of the inventive concept.

Claims (24)

一種積體電路,包括: 電源軌條,包括在垂直方向上彼此間隔開的第一導電線與第二導電線,其中所述第一導電線與所述第二導電線在第一水平方向上彼此平行地延伸且與彼此電性連接以向第一標準胞元供應電力,其中所述第一導電線及所述第二導電線設置於所述第一標準胞元的邊界處;以及 第三導電線,位於所述第一導電線與所述第二導電線之間且在與所述第一水平方向正交的第二水平方向上延伸,以傳輸所述第一標準胞元的輸入訊號或輸出訊號。An integrated circuit comprising: a power rail comprising: a first conductive line and a second conductive line spaced apart from each other in a vertical direction, wherein the first conductive line and the second conductive line are in a first horizontal direction Extending parallel to each other and electrically connected to each other to supply power to the first standard cell, wherein the first conductive line and the second conductive line are disposed at a boundary of the first standard cell; and a third a conductive line extending between the first conductive line and the second conductive line and extending in a second horizontal direction orthogonal to the first horizontal direction to transmit an input signal of the first standard cell Or output signal. 如申請專利範圍第1項所述的積體電路,其中所述電源軌條更包括電性連接至所述第一導電線及所述第二導電線的第四導電線,其中所述第四導電線在所述第一水平方向上延伸且與所述第三導電線形成於同一層中。The integrated circuit of claim 1, wherein the power rail further comprises a fourth conductive line electrically connected to the first conductive line and the second conductive line, wherein the fourth A conductive line extends in the first horizontal direction and is formed in the same layer as the third conductive line. 如申請專利範圍第2項所述的積體電路,其中所述電源軌條更包括: 第一通孔,電性連接所述第一導電線與所述第四導電線;以及 第二通孔,電性連接所述第二導電線與所述第四導電線。The integrated circuit of claim 2, wherein the power rail further comprises: a first through hole electrically connecting the first conductive line and the fourth conductive line; and a second through hole And electrically connecting the second conductive line and the fourth conductive line. 如申請專利範圍第3項所述的積體電路,其中所述第一通孔或所述第二通孔為棒狀。The integrated circuit of claim 3, wherein the first through hole or the second through hole is in a rod shape. 如申請專利範圍第2項所述的積體電路,其中所述第四導電線在所述第一水平方向上與所述第三導電線間隔開預定距離。The integrated circuit of claim 2, wherein the fourth conductive line is spaced apart from the third conductive line by a predetermined distance in the first horizontal direction. 如申請專利範圍第2項所述的積體電路,其中所述第四導電線在所述第二水平方向上的長度等於或大於所述第三導電線在所述第一水平方向上的長度。The integrated circuit of claim 2, wherein a length of the fourth conductive line in the second horizontal direction is equal to or greater than a length of the third conductive line in the first horizontal direction . 如申請專利範圍第2項所述的積體電路,其中所述第四導電線在所述第一水平方向上完全交疊所述第一標準胞元的所述邊界。The integrated circuit of claim 2, wherein the fourth conductive line completely overlaps the boundary of the first standard cell in the first horizontal direction. 如申請專利範圍第7項所述的積體電路,其中所述第一標準胞元具有較不與所述第四導電線交疊的第二標準胞元短的傳播延遲。The integrated circuit of claim 7, wherein the first standard cell has a propagation delay that is shorter than a second standard cell that overlaps the fourth conductive line. 如申請專利範圍第1項所述的積體電路,更包括: 第一訊號線與第二訊號線,在所述垂直方向上彼此間隔開,且位於不同的導電層中; 第四導電線與第五導電線,在所述第一訊號線與所述第二訊號線之間在所述第一水平方向或所述第二水平方向上彼此間隔開,且在所述第二水平方向或所述第一水平方向上彼此平行地延伸; 第一通孔,排列於所述第四導電線上;以及 第二通孔,排列於所述第五導電線上, 其中所述第一訊號線與所述第二訊號線經由所述第四導電線及所述第五導電線、所述第一通孔及所述第二通孔電性連接。The integrated circuit of claim 1, further comprising: a first signal line and a second signal line spaced apart from each other in the vertical direction and located in different conductive layers; the fourth conductive line and a fifth conductive line spaced apart from each other in the first horizontal direction or the second horizontal direction between the first signal line and the second signal line, and in the second horizontal direction or The first horizontal direction extends parallel to each other; the first through hole is arranged on the fourth conductive line; and the second through hole is arranged on the fifth conductive line, wherein the first signal line and the The second signal line is electrically connected to the fourth conductive line and the fifth conductive line, the first through hole and the second through hole. 如申請專利範圍第1項所述的積體電路,其中所述電源軌條更包括電性連接至所述第一導電線及所述第二導電線的第四導電線及第五導電線,所述第四導電線及所述第五導電線在所述第二水平方向上延伸且與所述第三導電線形成於同一層中, 其中所述第三導電線排列於所述第四導電線與所述第五導電線之間。The integrated circuit of claim 1, wherein the power rail further comprises a fourth conductive line and a fifth conductive line electrically connected to the first conductive line and the second conductive line, The fourth conductive line and the fifth conductive line extend in the second horizontal direction and are formed in the same layer as the third conductive line, wherein the third conductive line is arranged in the fourth conductive line Between the line and the fifth conductive line. 如申請專利範圍第1項所述的積體電路,其中所述第一標準胞元包括: 至少一個主動區,在所述第一水平方向上延伸;以及 至少一條閘極線,在所述第二水平方向上延伸。The integrated circuit of claim 1, wherein the first standard cell comprises: at least one active region extending in the first horizontal direction; and at least one gate line, in the The two extend in the horizontal direction. 如申請專利範圍第1項所述的積體電路,其中對所述第一導電線及所述第二導電線施加正的供電電壓或負的供電電壓。The integrated circuit of claim 1, wherein a positive supply voltage or a negative supply voltage is applied to the first conductive line and the second conductive line. 一種積體電路,包括: 第一標準胞元及第二標準胞元,排列於第一水平方向上; 電源軌條,包括在垂直方向上彼此間隔開的第一導電線與第二導電線,其中所述第一導電線與所述第二導電線在所述第一水平方向上平行地延伸且與彼此電性連接以向所述第一標準胞元及所述第二標準胞元供應電力,其中所述第一導電線及所述第二導電線設置於所述第一標準胞元及所述第二標準胞元中的每一者的邊界處;以及 第三導電線,位於所述第一導電線與所述第二導電線之間且在與所述第一水平方向正交的第二水平方向上延伸,以傳輸所述第一標準胞元的輸入訊號或輸出訊號, 其中所述電源軌條更包括在所述第二標準胞元的所述邊界上在所述第一水平方向上延伸的第四導電線,其中所述第四導電線電性連接至所述第一導電線及所述第二導電線且與所述第三導電線形成於同一層中。An integrated circuit comprising: a first standard cell and a second standard cell arranged in a first horizontal direction; a power rail comprising: a first conductive line and a second conductive line spaced apart from each other in a vertical direction, Wherein the first conductive line and the second conductive line extend in parallel in the first horizontal direction and are electrically connected to each other to supply power to the first standard cell and the second standard cell Wherein the first conductive line and the second conductive line are disposed at a boundary of each of the first standard cell and the second standard cell; and a third conductive line is located at the boundary Extending between a first conductive line and the second conductive line and in a second horizontal direction orthogonal to the first horizontal direction to transmit an input signal or an output signal of the first standard cell, where The power rail further includes a fourth conductive line extending in the first horizontal direction on the boundary of the second standard cell, wherein the fourth conductive line is electrically connected to the first conductive a line and the second conductive line and the third conductive The lines are formed in the same layer. 如申請專利範圍第13項所述的積體電路,其中所述第四導電線在所述第一水平方向上與所述第三導電線間隔開預定距離。The integrated circuit of claim 13, wherein the fourth conductive line is spaced apart from the third conductive line by a predetermined distance in the first horizontal direction. 如申請專利範圍第13項所述的積體電路,其中所述電源軌條包括: 第一通孔,電性連接所述第一導電線與所述第四導電線;以及 第二通孔,電性連接所述第二導電線與所述第四導電線。The integrated circuit of claim 13, wherein the power rail comprises: a first through hole electrically connecting the first conductive line and the fourth conductive line; and a second through hole, Electrically connecting the second conductive line and the fourth conductive line. 如申請專利範圍第15項所述的積體電路,其中所述第一通孔或所述第二通孔為棒狀。The integrated circuit according to claim 15, wherein the first through hole or the second through hole is in a rod shape. 如申請專利範圍第13項所述的積體電路,其中所述第四導電線在所述第二水平方向上的長度等於或大於所述第三導電線在所述第一水平方向上的長度。The integrated circuit of claim 13, wherein a length of the fourth conductive line in the second horizontal direction is equal to or greater than a length of the third conductive line in the first horizontal direction . 如申請專利範圍第13項所述的積體電路,其中所述第一標準胞元及所述第二標準胞元中的每一者包括: 至少一個主動區,在所述第一水平方向上延伸;以及 至少一條閘極線,在所述第二水平方向上延伸。The integrated circuit of claim 13, wherein each of the first standard cell and the second standard cell comprises: at least one active region, in the first horizontal direction Extending; and at least one gate line extending in the second horizontal direction. 如申請專利範圍第13項所述的積體電路,其中對所述第一導電線、所述第二導電線及所述第四導電線施加正的供電電壓或負的供電電壓。The integrated circuit of claim 13, wherein a positive supply voltage or a negative supply voltage is applied to the first conductive line, the second conductive line, and the fourth conductive line. 一種積體電路,包括: 電源軌條,包括位於多個標準胞元的邊界上的多條導電線,其中所述多條導電線形成於多個導電層中且在第一水平方向上彼此平行地延伸以向所述多個標準胞元供應電力;以及 訊號線,在與所述第一水平方向正交的第二水平方向上穿過所述電源軌條,其中所述訊號線形成於所述多個導電層中的一者中,以傳輸所述多個標準胞元中的至少一者的輸入訊號或輸出訊號, 其中所述電源軌條包括第一導電線,所述第一導電線形成於其中形成有所述訊號線的所述導電層中,其中所述第一導電線在所述第一水平方向上延伸且與所述訊號線絕緣。An integrated circuit comprising: a power rail comprising a plurality of conductive lines on a boundary of a plurality of standard cells, wherein the plurality of conductive lines are formed in a plurality of conductive layers and are parallel to each other in a first horizontal direction Extending to supply power to the plurality of standard cells; and a signal line passing through the power rail in a second horizontal direction orthogonal to the first horizontal direction, wherein the signal line is formed in the In one of the plurality of conductive layers, to transmit an input signal or an output signal of at least one of the plurality of standard cells, wherein the power rail includes a first conductive line, the first conductive line Formed in the conductive layer in which the signal line is formed, wherein the first conductive line extends in the first horizontal direction and is insulated from the signal line. 如申請專利範圍第20項所述的積體電路,其中所述電源軌條更包括第二導電線及第三導電線,所述第二導電線與所述第三導電線分別形成於所述多個導電層中的兩個導電層中且在所述第一水平方向上彼此平行地延伸, 其中所述訊號線形成於位於其中形成有所述第二導電線及所述第三導電線的所述兩個導電層之間的導電層中。The integrated circuit of claim 20, wherein the power rail further comprises a second conductive line and a third conductive line, and the second conductive line and the third conductive line are respectively formed on the And extending in the first horizontal direction of the two conductive layers of the plurality of conductive layers, wherein the signal line is formed in the second conductive line and the third conductive line formed therein In the conductive layer between the two conductive layers. 如申請專利範圍第20項所述的積體電路,其中所述電源軌條更包括: 通孔,電性連接所述多條導電線, 其中所述通孔中的至少一者為在所述第一水平方向上延伸的棒狀。The integrated circuit of claim 20, wherein the power rail further comprises: a through hole electrically connecting the plurality of conductive lines, wherein at least one of the through holes is A rod shape extending in the first horizontal direction. 如申請專利範圍第20項所述的積體電路,其中所述多個標準胞元中的每一者包括: 至少一個主動區,在所述第一水平方向上延伸;以及 至少一條閘極線,在所述第二水平方向上延伸。The integrated circuit of claim 20, wherein each of the plurality of standard cells comprises: at least one active region extending in the first horizontal direction; and at least one gate line Extending in the second horizontal direction. 如申請專利範圍第21項所述的積體電路,其中所述第二導電線及所述第三導電線被施加正的供電電壓或負的供電電壓。The integrated circuit of claim 21, wherein the second conductive line and the third conductive line are applied with a positive supply voltage or a negative supply voltage.
TW107112412A 2017-04-11 2018-04-11 Integrated circuit TWI799410B (en)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
??10-2017-0046929 2017-04-11
KR20170046929 2017-04-11
KR10-2017-0046929 2017-04-11
??10-2017-0113950 2017-09-06
KR1020170113950A KR102475281B1 (en) 2017-04-11 2017-09-06 Standard cell and integrated circuit including the same
KR10-2017-0113950 2017-09-06

Publications (2)

Publication Number Publication Date
TW201842599A true TW201842599A (en) 2018-12-01
TWI799410B TWI799410B (en) 2023-04-21

Family

ID=64101927

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107112412A TWI799410B (en) 2017-04-11 2018-04-11 Integrated circuit

Country Status (2)

Country Link
KR (1) KR102475281B1 (en)
TW (1) TWI799410B (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111244064A (en) * 2020-01-19 2020-06-05 比特大陆科技有限公司 Semiconductor chip, semiconductor device, and data processing apparatus

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102157355B1 (en) 2019-04-23 2020-09-18 삼성전자 주식회사 Integrated circuit including standard cells, method and computing system for fabricating the same

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5933725A (en) * 1998-05-27 1999-08-03 Vanguard International Semiconductor Corporation Word line resistance reduction method and design for high density memory with relaxed metal pitch
JP4837870B2 (en) 2002-11-05 2011-12-14 株式会社リコー Layout design method for semiconductor integrated circuit
US20080023792A1 (en) * 2006-07-28 2008-01-31 Mediatek Inc. Filler capacitor with a multiple cell height
KR20120127252A (en) * 2011-05-13 2012-11-21 에이알엠 리미티드 Integrated circuit, method of generating a layout of an integrated circuit using standard cells, and a standard cell library providing such standard cells
JP5820412B2 (en) * 2013-03-08 2015-11-24 株式会社東芝 Semiconductor integrated circuit
US9653393B2 (en) * 2013-12-12 2017-05-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method and layout of an integrated circuit
JP6342165B2 (en) 2014-01-24 2018-06-13 ルネサスエレクトロニクス株式会社 Semiconductor device and IO cell
KR101697343B1 (en) * 2014-08-22 2017-01-18 삼성전자주식회사 Method of designing layout of integrated circuit and method of manufacturing the integrated circuit

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111244064A (en) * 2020-01-19 2020-06-05 比特大陆科技有限公司 Semiconductor chip, semiconductor device, and data processing apparatus

Also Published As

Publication number Publication date
TWI799410B (en) 2023-04-21
KR20180114812A (en) 2018-10-19
KR102475281B1 (en) 2022-12-08

Similar Documents

Publication Publication Date Title
CN108695315B (en) Standard cell and integrated circuit comprising same
US11121155B2 (en) Integrated circuit including multiple height cell and method of fabricating the integrated circuit
US11101267B2 (en) Integrated circuit including multiple-height cell and method of manufacturing the integrated circuit
CN110828449A (en) Integrated circuit comprising standard cells and method for manufacturing an integrated circuit
US20210183768A1 (en) Integrated circuits including via array and methods of manufacturing the same
US11755809B2 (en) Integrated circuit including asymmetric power line and method of designing the same
US10340263B2 (en) Integrated circuit for reducing ohmic drop in power rails
US11063033B2 (en) Integrated circuits including standard cells and method of manufacturing the integrated circuits
KR102157355B1 (en) Integrated circuit including standard cells, method and computing system for fabricating the same
US11094686B2 (en) Integrated circuit including multi-height standard cell and method of designing the same
TW201842599A (en) Integrated circuit
TWI803527B (en) Integrated circuits including via array and methods of manufacturing the same
US20220189945A1 (en) Integrated circuit including connection line
KR102370619B1 (en) Integrated circuit including interconnection for mitigating electromigration and method for fabricating the same
US20220253283A1 (en) Adder cell and integrated circuit including the same
TW202247027A (en) Integrated circuit providing increased pin access points and method of designing the same
CN115020401A (en) Integrated circuit and design method of integrated circuit
CN113889465A (en) Integrated circuit including cells having different heights and method of designing the same
US20220262785A1 (en) Integrated circuit including signal line and power line and method of designing the same
US20240094987A1 (en) Compressor circuit and semiconductor integrated circuit including the same
CN109904151B (en) Integrated circuit comprising standard cells and method for manufacturing such an integrated circuit
KR102440365B1 (en) Integrated circuit including standard cell and method for manufacturing the same
US11742838B2 (en) Flip flop and design method for integrated circuit including the same
US20240128257A1 (en) Integrated circuit including standard cells and method of designing the same