KR20180114812A - Standard cell and integrated circuit including the same - Google Patents

Standard cell and integrated circuit including the same Download PDF

Info

Publication number
KR20180114812A
KR20180114812A KR1020170113950A KR20170113950A KR20180114812A KR 20180114812 A KR20180114812 A KR 20180114812A KR 1020170113950 A KR1020170113950 A KR 1020170113950A KR 20170113950 A KR20170113950 A KR 20170113950A KR 20180114812 A KR20180114812 A KR 20180114812A
Authority
KR
South Korea
Prior art keywords
conductive
horizontal direction
layer
extending
standard cells
Prior art date
Application number
KR1020170113950A
Other languages
Korean (ko)
Other versions
KR102475281B1 (en
Inventor
이재붕
도정호
송태중
이승영
정종훈
유지수
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to US15/946,075 priority Critical patent/US10811357B2/en
Priority to TW107112412A priority patent/TWI799410B/en
Priority to CN201810319727.4A priority patent/CN108695315B/en
Publication of KR20180114812A publication Critical patent/KR20180114812A/en
Priority to US17/037,569 priority patent/US20210013149A1/en
Application granted granted Critical
Publication of KR102475281B1 publication Critical patent/KR102475281B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0611Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

According to an exemplary embodiment of the present disclosure, an integrated circuit including a plurality of standard cells to provide high degree of freedom of design may comprise: a power rail formed by using a plurality of conductive layers at the boundaries of the standard cells for providing power to the standard cells and extending in a first horizontal direction; and at least one signal line passing the power rail in a second horizontal direction orthogonal to the first horizontal direction to transfer an input signal or an output signal of the standard cells and formed at one of the conductive layers. The power rail may include a conductive line formed at the conductive layer in which at least one signal line is formed and extending in the first horizontal direction insulated with at least one signal line.

Description

표준 셀 및 이를 포함하는 집적 회로{STANDARD CELL AND INTEGRATED CIRCUIT INCLUDING THE SAME}[0001] STANDARD CELL AND INTEGRATED CIRCUIT INCLUDING THE SAME [0002]

본 개시의 기술적 사상은 집적 회로에 관한 것으로서, 자세하게는 표준 셀을 포함하는 집적 회로 및 이를 제조하는 방법에 관한 것이다.Technical aspects of the present disclosure relate to integrated circuits, and more particularly, to integrated circuits including standard cells and methods of manufacturing the same.

반도체 공정이 미세화됨에 따라 집적 회로에 포함되는 패턴은 감소된 폭 및/또는 두께를 가질 수 있고, 이에 따라 패턴에서 발생하는 IR 드롭(drop)의 영향이 증가할 수 있다. IR 드롭에 기인하여 신호의 천이가 지연될 수 있고, 결과적으로 집적 회로의 성능이 저하될 수 있다. IR 드롭을 완화하기 위하여 패턴의 폭을 증가시키거나 중복된 패턴들을 사용하는 방식들이 고려될 수 있으나, 이러한 방식들은 집적 회로의 공간 효율성을 감소시킬 수 있고, 집적 회로에서 제한된 구조만을 사용하게 할 수 있다.As the semiconductor process is miniaturized, the pattern included in the integrated circuit may have a reduced width and / or thickness, which may increase the effect of IR drop on the pattern. The transition of the signal due to the IR drop may be delayed, and as a result, the performance of the integrated circuit may deteriorate. Increasing the width of the pattern to mitigate the IR drop or using overlapping patterns may be considered, but these approaches can reduce the space efficiency of the integrated circuit and allow only limited use of the structure in the integrated circuit have.

본 개시의 기술적 사상은 표준 셀을 포함하는 집적 회로에 관한 것으로서, IR 드롭을 해소하고 높은 설계 자유도를 제공하는 표준 셀, 이를 포함하는 집적 회로 및 집적 회로를 제조하는 방법을 제공한다.The technical idea of the present disclosure relates to an integrated circuit including a standard cell, which provides a standard cell that eliminates the IR drop and provides a high degree of design freedom, an integrated circuit comprising the same, and a method of manufacturing the integrated circuit.

상기와 같은 목적을 달성하기 위하여, 본 개시의 기술적 사상의 일측면에 따른 복수의 표준 셀들을 포함하는 집적 회로는, 복수의 표준 셀들에 전력을 공급하기 위하여 복수의 표준 셀들의 경계에서 수직방향으로 상호 이격되어 평행하게 제1 수평 방향으로 연장되고 전기적으로 연결된 제1 및 제2 도전 라인을 포함하는 파워 레일, 및 표준 셀의 입력 신호 또는 출력 신호를 전달하기 위하여 제1 및 제2 도전 라인 사이를 통과하여 제1 수평 방향과 직교하는 제2 수평 방향으로 연장되는 적어도 하나의 제3 도전 라인을 포함할 수 있다.In order to achieve the above object, an integrated circuit including a plurality of standard cells according to one aspect of the technical idea of the present disclosure includes a plurality of standard cells, A power rail including first and second conductive lines extending in parallel and extending in a first horizontal direction and electrically connected to each other and a second power line extending between the first and second conductive lines to transmit an input signal or an output signal of the standard cell And at least one third conductive line extending in a second horizontal direction perpendicular to the first horizontal direction.

본 개시의 기술적 사상의 일측면에 따른 복수의 표준 셀들을 포함하는 집적 회로는, 제1 수평 방향으로 정렬되어 배치되고 제1 수평 방향과 직교하는 제2 수평 방향으로 동일한 길이를 가지는 제1 및 제2 표준 셀, 제1 및 제2 표준 셀에 전력을 공급하기 위하여 제1 및 제2 표준 셀의 경계에서 수직방향으로 상호 이격되어 평행하게 제1 수평 방향으로 연장되고 전기적으로 연결된 제1 및 제2 도전 라인을 포함하는 파워 레일, 및 제1 표준 셀의 입력 신호 또는 출력 신호를 전달하기 위하여 제1 표준 셀로부터 제1 및 제2 도전 라인 사이를 통과하여 제2 수평 방향으로 연장되는 적어도 하나의 제3 도전 라인을 포함할 수 있고, 파워 레일은, 제2 표준 셀의 경계에서 제1 수평 방향으로 연장되고 제1 및 제2 도전 라인과 전기적으로 연결되고 제3 도전 라인과 동일한 도전층에 형성된 제4 도전 라인을 포함할 수 있다.An integrated circuit including a plurality of standard cells according to an aspect of the technical idea of the present disclosure includes first and second integrated circuits arranged in a first horizontal direction and having the same length in a second horizontal direction orthogonal to the first horizontal direction, 2 standard cell, first and second standard cells extending in a first horizontal direction and spaced apart from each other in a vertical direction at the boundaries of the first and second standard cells in order to supply power to the first and second standard cells, A power rail comprising a conductive line and at least one electrical conductor extending between the first and second standard cells for passing an input signal or an output signal of the first standard cell and extending in a second horizontal direction, 3 conductive line, the power rail extending in the first horizontal direction at the boundary of the second standard cell and electrically connected to the first and second conductive lines and being identical to the third conductive line It may include a fourth conductive line formed on the conductive layer.

본 개시의 기술적 사상의 일측면에 따른 복수의 표준 셀들을 포함하는 집적 회로는, 복수의 표준 셀들에 전력을 공급하기 위하여 복수의 표준 셀들의 경계에서 복수의 도전층들을 사용하여 형성되고 제1 수평 방향으로 연장되는 파워 레일, 및 표준 셀의 입력 신호 또는 출력 신호를 전달하기 위하여 제1 수평 방향과 직교하는 제2 수평 방향으로 파워 레일을 통과하고 복수의 도전층들 중 하나의 도전층에 형성된 적어도 하나의 신호 라인을 포함할 수 있고, 파워 레일은, 적어도 하나의 신호 라인이 형성된 도전층에 형성되고 적어도 하나의 신호 라인과 절연된 제1 수평 방향으로 연장되는 도전 라인을 포함할 수 있다.An integrated circuit including a plurality of standard cells according to an aspect of the technical idea of the present disclosure is formed using a plurality of conductive layers at a boundary of a plurality of standard cells to supply power to a plurality of standard cells, A power rail extending in the first horizontal direction and a power rail extending in the second horizontal direction orthogonal to the first horizontal direction for conveying an input signal or an output signal of the standard cell, And the power rail may include a first horizontally extending conductive line formed in the conductive layer on which the at least one signal line is formed and insulated from the at least one signal line.

본 개시의 예시적 실시예에 따라, 집적 회로는 완화된 IR 드롭을 가지는 동시에 효율적으로 라우팅된 패턴들을 가질 수 있다.According to an exemplary embodiment of the present disclosure, an integrated circuit can have efficiently routed patterns while having a relaxed IR drop.

또한, 본 개시의 예시적 실시예에 따라, 완화된 IR 드롭 및 효율적으로 라우팅된 패턴들에 기인하여 미세화된 반도체 공정에 의한 문제들을 해소할 수 있다.In addition, according to an exemplary embodiment of the present disclosure, problems due to micronized semiconductor processes due to relaxed IR drop and efficiently routed patterns can be solved.

또한, 완화된 IR 드롭 및 효율적으로 라우팅된 패턴들에 기인하여 집적 회로는 향상된 성능을 가질 수 있다.In addition, the integrated circuit can have improved performance due to the relaxed IR drop and efficiently routed patterns.

본 개시의 예시적 실시예들에서 얻을 수 있는 효과는 이상에서 언급한 효과들로 제한되지 아니하며, 언급되지 아니한 다른 효과들은 이하의 본 개시의 예시적 실시예들에 대한 기재로부터 본 개시의 예시적 실시예들이 속하는 기술분야에서 통상의 지식을 가진 자에게 명확하게 도출되고 이해될 수 있다. 즉, 본 개시의 예시적 실시예들을 실시함에 따른 의도하지 아니한 효과들 역시 본 개시의 예시적 실시예들로부터 당해 기술분야의 통상의 지식을 가진 자에 의해 도출될 수 있다.The effects obtainable in the exemplary embodiments of the present disclosure are not limited to the effects mentioned above, and other effects not mentioned can be obtained from the following description of the exemplary embodiments of the present disclosure, And can be clearly understood and understood by those skilled in the art to which the embodiments belong. That is, unintended effects of implementing the exemplary embodiments of the present disclosure may also be derived from those of ordinary skill in the art from the exemplary embodiments of the present disclosure.

본 명세서에 첨부된 도면들은 도해의 편의를 위하여 스케일에 맞지 아니할 수 있고, 구성요소들을 과장하거나 축소하여 도시할 수 있다.
도 1은 본 개시의 예시적 실시예에 따른 집적 회로의 일부를 나타내는 도면이다.
도 2a 및 도 2b는 본 개시의 예시적 실시예들에 따라 도 1의 X1-X1' 선을 따라서 Z축 방향에 평행하게 자른 집적 회로의 단면의 예시들을 나타내는 도면들이다.
도 3a 내지 도 3c는 비교예시들에 따른 파워 레일의 예시들을 나타내는 도면들이다.
도 4는 본 개시의 예시적 실시예에 따른 집적 회로의 일부를 나타내는 도면이다.
도 5a 및 도 5b는 본 개시의 예시적 실시예에 따른 표준 셀을 나타내는 도면들이다.
도 6a 및 도 6b는 본 개시의 예시적 실시예에 따른 표준 셀을 나타내는 도면들이다.
도 7은 본 개시의 예시적 실시예에 따른 집적 회로의 일부를 나타내는 도면이다.
도 8a 내지 도 8c는 본 개시의 예시적 실시예들에 따른 파워 레일들을 나타내는 도면들이다.
도 9a 내지 도 9c는 본 개시의 예시적 실시예에 따라 상이한 층들의 도전 라인들을 전기적으로 상호 연결하는 구조의 예시들을 나타내는 도면들이다.
도 10a 및 도 10b는 본 개시의 예시적 실시예에 따른 파워 레일들을 나타내는 도면들이다.
도 11은 본 개시의 예시적 실시예에 따라 복수의 표준 셀들을 포함하는 집적 회로를 제조하는 방법을 나타내는 순서도이다.
도 12는 본 개시의 예시적 실시예에 따른 시스템-온-칩SoC)을 나타내는 블록도이다.
도 13은 본 개시의 예시적 실시예에 따른 프로그램을 저장하는 메모리를 포함하는 컴퓨팅 시스템을 나타내는 블록도이다.
The drawings attached hereto are not to scale for convenience of illustration and may be exaggerated or reduced in size.
1 is a diagram illustrating a portion of an integrated circuit according to an exemplary embodiment of the present disclosure;
Figures 2a and 2b are illustrations of examples of cross sections of an integrated circuit cut parallel to the Z-axis direction along line X1-X1 'of Figure 1 in accordance with exemplary embodiments of the present disclosure.
Figures 3A-3C show examples of power rails according to comparative examples.
4 is a diagram illustrating a portion of an integrated circuit in accordance with an exemplary embodiment of the present disclosure;
Figures 5A and 5B are views showing a standard cell according to an exemplary embodiment of the present disclosure;
Figures 6A and 6B are views showing a standard cell according to an exemplary embodiment of the present disclosure;
7 is a diagram illustrating a portion of an integrated circuit in accordance with an exemplary embodiment of the present disclosure;
8A-8C are diagrams illustrating power rails in accordance with exemplary embodiments of the present disclosure.
Figures 9A-9C are illustrations of examples of structures for electrically interconnecting conductive lines of different layers according to an exemplary embodiment of the present disclosure.
10A and 10B are views showing power rails according to an exemplary embodiment of the present disclosure;
11 is a flow diagram illustrating a method of fabricating an integrated circuit including a plurality of standard cells in accordance with an exemplary embodiment of the present disclosure;
Figure 12 is a block diagram illustrating a system-on-chip SoC in accordance with an exemplary embodiment of the present disclosure.
13 is a block diagram illustrating a computing system including a memory for storing a program in accordance with an exemplary embodiment of the present disclosure.

도 1은 본 개시의 예시적 실시예에 따른 집적 회로(10)의 일부를 나타내는 도면이고, 도 2a 및 도 2b는 본 개시의 예시적 실시예들에 따라 도 1의 X1-X1' 선을 따라서 Z축 방향에 평행하게 자른 집적 회로(10)의 단면의 예시들을 나타내는 도면들이다. 도해의 편의를 위하여 도 1, 도 2a 및 도 2b는 집적 회로(10)에 포함된 층(layer)들 중 일부 층들만을 도시한다. 본 명세서에서 도면들은 FEOL(font end of line) 공정에 의해서 형성되는 층들을 제외하고, BEOL(back end of line) 공정에 의해서 형성되는 층들 중 일부를 도시할 수 있다. 또한, 본 명세서에서 X축 및 Y축으로 이루어진 평면은 수평면으로 지칭될 수 있고, 다른 구성요소보다 상대적으로 +Z방향으로 배치된 구성요소는 다른 구성요소 위에 있는 것으로 지칭될 수 있으며, 다른 구성요소보다 상대적으로 -Z방향으로 배치된 구성요소는 다른 구성요소 아래에 있는 것으로 지칭될 수 있다.1 is a view of a portion of an integrated circuit 10 in accordance with an exemplary embodiment of the present disclosure, and FIGS. 2A and 2B are cross-sectional views along line X1-X1 'of FIG. 1 in accordance with exemplary embodiments of the present disclosure Are views showing examples of cross sections of the integrated circuit 10 cut in parallel to the Z-axis direction. For ease of illustration, Figures 1, 2A, and 2B show only some of the layers included in the integrated circuit 10. The drawings herein may show some of the layers formed by a back end of line (BEOL) process, except for layers formed by a font end of line (FEOL) process. Further, in this specification, a plane made up of the X-axis and the Y-axis can be referred to as a horizontal plane, and a component arranged in a + Z direction relative to other components can be referred to as being on another component, A component arranged in a relatively-Z direction may be referred to as being under another component.

도 1, 도 2a 및 도 2b를 참조하면, 굵은 점선으로 도시된 바와 같이, 집적 회로(10)는 표준 셀들(C11, C12)을 포함할 수 있다. 표준 셀은 집적 회로(10)에 포함되는 레이아웃의 단위로서, 집적 회로(10)는 다수의 다양한 표준 셀들을 포함할 수 있다. 표준 셀들은 미리 정해진 규격을 준수하는 구조를 가질 수 있다. 예를 들면, 도 1에 도시된 바와 같이, 표준 셀들(C11, C12)은 일정한 높이, 즉 Y축 방향의 길이(Y10)를 가질 수 있고, Y축 방향으로 상호 이격되어 평행하게 X축 방향으로 연장되는 한 쌍의 파워 레일들(PR11, PR12)과 중첩되는 경계를 가질 수 있다. 비록 도 1에서 표준 셀들(C11, C12)은 M1 층 내지 M3 층의 패턴들을 포함하는 것으로 도시되었으나, 표준 셀들(C11, C12)은 M1 층의 패턴들 또는 M1 층 내지 M2층의 패턴들까지 포함할 수도 있다. 예를 들면, 표준 셀 라이브러리에 의해서 정의되는 표준 셀들(C11, C12)의 구조는 기판으로부터 M1 층 또는 M2 층까지 정의될 수 있고, M2 층의 일부 패턴들 및 M3 층의 패턴은 표준 셀들(C11, C12)이 설계되는 과정에서 표준 셀들(C11, C12)이 배치(placement)된 후 라우팅 단계에서 결정된 것들일 수 있다.Referring to Figures 1, 2A, and 2B, the integrated circuit 10 may include standard cells C11 and C12, as shown by the thick dotted lines. A standard cell is a unit of a layout included in the integrated circuit 10, and the integrated circuit 10 may include a large number of various standard cells. The standard cells may have a structure conforming to a predetermined standard. For example, as shown in FIG. 1, the standard cells C11 and C12 may have a constant height, that is, a length Y10 in the Y-axis direction, and may extend in parallel in the X- And may have a boundary overlapping with a pair of extended power rails PR11 and PR12. Although the standard cells C11 and C12 in FIG. 1 are shown as including the patterns of the M1 to M3 layers, the standard cells C11 and C12 include patterns of the M1 layer or patterns of the M1 layer to the M2 layer You may. For example, the structure of the standard cells C11 and C12 defined by the standard cell library may be defined from the substrate to the M1 layer or M2 layer, and some patterns of the M2 layer and the pattern of the M3 layer may be defined as standard cells C11 , C12) may be those determined in the routing step after the placement of the standard cells C11 and C12 in the course of designing.

표준 셀들(C11, C12)은 신호의 이동을 위한 패턴들을 포함할 수 있다. 예를 들면, 제1 표준 셀(C11)은 제1 표준 셀(C11) 내에서 생성된 내부 신호가 이동하는 패턴을 포함할 수도 있고, 제1 표준 셀(C11)의 입력 신호 및 출력 신호가 각각 이동하는 패턴, 즉 입력 핀 및 출력 핀을 포함할 수도 있다. 도 1의 집적 회로(10)에서, 제1 표준 셀(C11)의 입력 핀 및 출력 핀은 M2 층에 형성된 패턴들일 수 있다. 제1 표준 셀(C11)의 입력 핀 및 출력 핀은 제1 표준 셀(C11)의 외부, 예컨대 다른 표준 셀의 출력 핀 및 입력 핀과 전기적으로 각각 연결될 수 있다. 제1 표준 셀(C11)의 입력 핀 및/또는 출력 핀을 제1 표준 셀(C11)의 외부와 전기적으로 연결하기 위하여, 제1 표준 셀(C11)의 경계를 통과하는 패턴들이 요구될 수 있다. 예를 들면, 도 1에 도시된 바와 같이, M2 층에 형성된 제1 표준 셀(C11)의 입력 핀 및/또는 출력 핀과 비아들로 각각 연결된 M3 층의 패턴들이 X축 방향으로 제1 표준 셀(C11)의 경계를 통과할 수 있다. 또한, 도 1에 도시된 바와 같이, M2 층에 형성된 제1 표준 셀(C11)의 입력 핀 및/또는 출력 핀이 연장됨으로써 M2 층의 패턴들이 Y축 방향으로 제1 표준 셀(C11)을 통과할 수도 있다. 후술되는 바와 같이, 표준 셀들(C11, C12)의 입력 핀 및 출력 핀을 연결하는 작업(즉, 패턴들을 생성하는 작업 또는 신호 라우팅)은 IR 드롭을 완화하기 위한 구조를 가지는 파워 레일들(PR11, PR12)에 의해서 영향을 받을 수 있다.Standard cells C11 and C12 may include patterns for movement of signals. For example, the first standard cell C11 may include a pattern in which an internal signal generated in the first standard cell C11 moves, and the input and output signals of the first standard cell C11 may be Moving patterns, i.e., input pins and output pins. In the integrated circuit 10 of FIG. 1, the input and output pins of the first standard cell C11 may be patterns formed in the M2 layer. The input pin and the output pin of the first standard cell C11 may be electrically connected to the outside of the first standard cell C11, for example, the output pin and the input pin of another standard cell, respectively. In order to electrically connect the input pin and / or the output pin of the first standard cell C11 to the outside of the first standard cell C11, patterns passing through the boundary of the first standard cell C11 may be required . For example, as shown in FIG. 1, patterns of the M3 layer connected to the input pin and / or the output pin of the first standard cell C11 formed in the M2 layer and the output pins and vias, respectively, (C11). 1, the input pins and / or the output pins of the first standard cell C11 formed on the M2 layer are extended to allow the patterns of the M2 layer to pass through the first standard cell C11 in the Y axis direction You may. As described later, the operation of connecting the input pins and the output pins of the standard cells C11 and C12 (that is, the task of generating patterns or signal routing) includes power rails PR11, PR12). ≪ / RTI >

표준 셀들(C11, C12)에 전력을 공급하기 위한, 파워 레일들(PR11, PR12)은 표준 셀의 높이(Y10)와 동일한 간격으로 집적 회로(10)에서 배치될 수 있고, 표준 셀의 높이(Y10)와 직교하는 방향, 즉 X축 방향으로 연장될 수 있다. 일부 실시예들에서, 제1 파워 레일(PR11)에는 양의 공급 전압(예컨대, VDD)이 인가될 수 있고 제2 파워 레일(PR12)에는 음의 공급 전압(예컨대, VSS)이 인가될 수 있다. 다른 한편으로, 일부 실시예들에서, 제1 파워 레일(PR11)에는 음의 공급 전압(예컨대, VSS)이 인가될 수 있고 제2 파워 레일(PR12)에는 양의 공급 전압(예컨대, VDD)이 인가될 수 있다. 이하 본 개시의 예시적 실시예들에서 제1 파워 레일(PR11)에 양의 공급 전압(VDD)이 인가되고 제2 파워 레일(PR12)에 음의 공급 전압(VSS)이 인가되는 것으로 설명되나 본 개시의 기술적 사상이 이에 제한되지 아니하는 점은 이해될 것이다. 표준 셀들(C11, C12) 내에 형성된 소자들, 예컨대 트랜지스터들은 제1 파워 레일(PR11)로부터 전류를 공급받을 수 있고, 제2 파워 레일(PR12)로 전류를 인출할 수 있다.The power rails PR11 and PR12 for supplying power to the standard cells C11 and C12 can be arranged in the integrated circuit 10 at an interval equal to the height Y10 of the standard cell, Y10), that is, in the X-axis direction. In some embodiments, a positive supply voltage (e.g., VDD) may be applied to the first power rail PR11 and a negative supply voltage (e.g., VSS) may be applied to the second power rail PR12 . On the other hand, in some embodiments, a negative supply voltage (e.g., VSS) may be applied to the first power rail PR11 and a positive supply voltage (e.g., VDD) may be applied to the second power rail PR12 . In the following exemplary embodiments of the present disclosure, it is described that the positive supply voltage VDD is applied to the first power rail PR11 and the negative supply voltage VSS is applied to the second power rail PR12, It is to be understood that the technical idea of the disclosure is not limited thereto. Elements such as transistors formed in the standard cells C11 and C12 can receive current from the first power rail PR11 and draw current to the second power rail PR12.

반도체 공정이 미세화됨에 따라, 집적 회로에 포함되는 패턴들의 폭 및/또는 두께(즉, Z방향 길이)가 감소할 수 있고, 표준 셀들의 크기도 감소할 수 있다. 이에 따라, 패턴에서 발생하는 IR 드롭의 영향이 중대할 수 있고, 특히 집적 회로의 표준 셀들에 공급되는 파워 레일들에서 발생하는 IR 드롭은 신호들의 천이를 지연시켜 집적 회로의 결과적인 성능을 저하시키는 주요한 원인이 될 수 있다. 이와 같은 IR 드롭을 완화시키기 위한 방법들 중 하나로서, 파워 레일들(PR11, PR12)은 중복된 패턴들을 포함할 수 있다. 예를 들면, 도 1에 도시된 바와 같이, 제1 파워 레일(PR11)은 X축 방향으로 상호 평행하게 연장되는 도전 라인들(L11, L31), 및 도전 라인들(L11, L31)을 전기적으로 연결하기 위한 비아들을 포함할 수 있고, 제2 파워 레일(PR12) 역시 X축 방향으로 상호 평행하게 연장되는 도전 라인들(L12, L32), 및 도전 라인들(L12, L32)을 전기적으로 연결하기 위한 비아들을 포함할 수 있다. 도 1에 도시된 바와 같이, 도전 라인들(L11, L12)은 M1 층에 형성될 수 있고, 도전 라인들(L31, L32)은 M3 층에 형성될 수 있다. As the semiconductor process is miniaturized, the width and / or thickness (i.e., the Z-direction length) of the patterns included in the integrated circuit can be reduced, and the size of the standard cells can also be reduced. Thus, the effect of the IR drop occurring in the pattern can be significant, and in particular the IR drop occurring in the power rails supplied to the standard cells of the integrated circuit will delay the transition of the signals, thereby degrading the resulting performance of the integrated circuit It can be a major cause. As one of the methods for mitigating such an IR drop, the power rails PR11 and PR12 may include overlapping patterns. For example, as shown in FIG. 1, the first power rail PR11 includes electrically conductive lines L11 and L31 extending parallel to each other in the X-axis direction, and electrically conductive lines L11 and L31 electrically And the second power rail PR12 may include vias for connecting the conductive lines L12 and L32 and the conductive lines L12 and L32 that extend parallel to each other in the X axis direction Lt; / RTI > As shown in FIG. 1, the conductive lines L11 and L12 may be formed in the M1 layer, and the conductive lines L31 and L32 may be formed in the M3 layer.

도 1에 도시된 바와 같이, 파워 레일들(PR11, PR12)은 X축 방향으로 연장되는 M2 층의 패턴들, 즉 도전 라인들(L21, L22)을 부분적으로 포함할 수 있다. 이에 따라, 파워 레일들(PR11, PR12)에서 M2 층의 도전 라인들(L21, L22)이 형성된 구간들은 IR 드롭의 완화 효과가 강화될 수 있다. 또한, 파워 레일들(PR11, PR12)에서 M2 층의 패턴들이 형성되지 아니한 공간은 표준 셀들(C11, C12)의 신호 라우팅을 위해서 사용될 수 있다. 예를 들면, 도 1에 도시된 바와 같이, 제1 표준 셀(C11)의 입력 핀 및/또는 출력 핀이 Y축 방향으로 연장됨으로써 제1 파워 레일(PR11) 및/또는 제2 파워 레일(PR12)을 통과하는 도전 라인들(L23, L24, L25)이 형성될 수 있고, 일부 실시예들에서, 파워 레일들(PR11, PR12)에 포함된 M2 층의 도전 라인들(L21, L22)의 폭(즉, Y축 방향의 길이)은 신호 라우팅을 위한 도전 라인들(L23, L24, L25)의 폭(즉, X축 방향의 길이)이상일 수 있다. 이에 따라, 집적 회로(10)는 IR 드롭의 완화뿐만 아니라 신호 라우팅의 자유도를 달성할 수 있다. 파워 레일들(PR11, PR12)의 구조에 대한 자세한 내용은 제2 파워 레일(PR12)의 단면의 예시들을 나타내는 도 2a 및 도 2b를 참조하여 후술될 것이며, 제1 파워 레일(PR11) 역시 제2 파워 레일(PR12)의 예시들과 동일하거나 유사한 구조를 가질 수 있는 점은 이해될 것이다.As shown in FIG. 1, the power rails PR11 and PR12 may partially include the patterns of the M2 layer extending in the X-axis direction, that is, the conductive lines L21 and L22. Accordingly, in the sections where the conductive lines L21 and L22 of the M2 layer are formed in the power rails PR11 and PR12, the mitigating effect of the IR drop can be enhanced. In addition, a space where the patterns of the M2 layer are not formed in the power rails PR11 and PR12 can be used for signal routing of the standard cells C11 and C12. 1, the input pin and / or the output pin of the first standard cell C11 extend in the Y-axis direction to connect the first power rail PR11 and / or the second power rail PR12 L22 of the M2 layer included in the power rails PR11 and PR12 may be formed in the power lines PR11 and PR12 and the conductive lines L23 and L24 may be formed in the power lines PR11 and PR12, (I.e., the length in the Y-axis direction) may be equal to or greater than the width (i.e., the length in the X-axis direction) of the conductive lines L23, L24, and L25 for signal routing. Accordingly, the integrated circuit 10 can achieve not only the relaxation of the IR drop, but also the freedom of signal routing. Details of the structure of the power rails PR11 and PR12 will be described later with reference to Figs. 2A and 2B showing examples of cross sections of the second power rail PR12, and the first power rail PR11 is also a second It will be understood that it may have the same or similar structure as the examples of the power rail PR12.

도 2a를 참조하면, 제2 파워 레일(PR12)은, X축 방향으로 상호 평행하게 연장되고 M1 층 및 M3 층에 각각 형성된 도전 라인들(L12, L32)을 포함할 수 있고, X축 방향으로 구분되는 일 영역(R22)에서 X축 방향으로 연장되는 M2 층에 형성된 도전 라인(L22)을 포함할 수 있다. 또한, 제2 파워 레일(PR12)은 영역(R22)에서 도전 라인들(L12, L22, L32)을 전기적으로 상호 연결하기 위한 복수의 비아들(V11, V12, V13, V21, V22, V23)을 포함할 수 있다. 제2 파워 레일(PR12)에서 M2 층의 패턴이 형성되지 아니한 영역(R21)은 제1 표준 셀(C11)의 입력 신호 및/또는 출력 신호가 이동하는 도전 라인들(L23, L24, L25)을 위한 공간으로 제공될 수 있고, 도전 라인들(L23, L24, L25)은 제2 파워 레일(PR12)을 Y축 방향으로 통과할 수 있다. 이에 따라, 제2 파워 레일(PR12)의 영역(R21)은 신호 라우팅을 위해서 사용될 수 있는 한편, 제2 파워 레일(PR12)의 영역(R22)은 IR 드롭 완화를 위해서 사용될 수 있다. 예를 들면, 도 4등을 참조하여 후술되는 바와 같이, 제2 파워 레일(PR12)의 영역(R21)은 상대적으로 많은 개수의 입력 핀들 및 출력 핀을 가지는 표준 셀(예컨대, C11)을 위해서 제공될 수 있는 한편, 제2 파워 레일(PR12)의 영역(R22)은 출력 신호의 전기적 특성이 상대적으로 중요한 표준 셀(예컨대, C12)을 위해서 제공될 수 있다.Referring to FIG. 2A, the second power rail PR12 may include conductive lines L12 and L32 extending parallel to each other in the X-axis direction and formed in the M1 layer and the M3 layer, respectively, And a conductive line L22 formed in the M2 layer extending in the X-axis direction in one of the divided regions R22. The second power rail PR12 includes a plurality of vias V11, V12, V13, V21, V22 and V23 for electrically interconnecting the conductive lines L12, L22 and L32 in the region R22 . A region R21 where the pattern of the M2 layer is not formed in the second power rail PR12 is electrically connected to the conductive lines L23, L24 and L25 through which the input signal and / or the output signal of the first standard cell C11 move And the conductive lines L23, L24 and L25 can pass through the second power rail PR12 in the Y axis direction. Thus, the region R21 of the second power rail PR12 can be used for signal routing while the region R22 of the second power rail PR12 can be used for IR drop mitigation. The area R21 of the second power rail PR12 is provided for a standard cell having a relatively large number of input pins and output pins While the region R22 of the second power rail PR12 may be provided for a standard cell (e.g., C12) in which the electrical characteristics of the output signal are relatively important.

도 2b를 참조하면, 일부 실시예들에서 제2 파워 레일(PR12)의 영역(R22)에 포함되는 비아들은 바(bar) 형상을 가질 수 있다. 예를 들면, 도 2b에 도시된 바와 같이, 도전 라인들(L12, L22, L32)을 전기적으로 상호 연결하기 위한 비아들(V11', V12', V13', V21', V22', V23')은 X축 방향으로 연장된 형상의 바 형상을 가질 수 있고, 바 타입 비아들로서 지칭될 수 있다. 즉, 도 2a에서 비아들(V11, V21)이 가지는 X축 방향의 길이(X20a)보다 도 2b에서 비아들(V11', V21')이 가지는 X축 방향의 길이(X20b)가 더 클 수 있다. 바 형상의 비아들(V11', V21')에 기인하여, 도 2b의 도전 라인들(L12, L22, L32)사이 저항치들은 감소할 수 있고 IR 드롭은 더 완화될 수 있다. 비록, 도 2b는 제2 파워 레일(PR12)의 모든 비아들(V11', V12', V13', V21', V22', V23')이 바 형상을 가지는 예시를 도시하였으나, 하나이상의 비아가 바 형상을 가질 수도 있는 점은 이해될 것이다. 또한, 바 형상의 명칭에 제한되지 아니하고, 제2 파워 레일(PR12)에 포함된 비아는, 비아 저항치를 감소시키기 위하여 비아를 채우는 플러그의 양을 증대시키는 임의의 형상, 예컨대 XY축 평면상 타원형의 단면을 가질 수도 있다.Referring to FIG. 2B, the vias included in the region R22 of the second power rail PR12 in some embodiments may have a bar shape. For example, vias V11 ', V12', V13 ', V21', V22 ', and V23' for electrically interconnecting the conductive lines L12, L22, and L32, Shaped bar shape extending in the X-axis direction, and can be referred to as bar-type vias. That is, the length X20b in the X axis direction of the vias V11 'and V21' in FIG. 2B may be larger than the length X20a in the X axis direction of the vias V11 and V21 in FIG. 2A . Due to the bar shaped vias V11 'and V21', the resistance values between the conductive lines L12, L22 and L32 of FIG. 2B can be reduced and the IR drop can be further mitigated. Although FIG. 2B shows an example in which all the vias V11 ', V12', V13 ', V21', V22 ', V23' of the second power rail PR12 have a bar shape, It will be understood that it may have a shape. Further, the vias included in the second power rail PR12, without being limited to the name of the bar shape, may have any shape that increases the amount of the plug filling the via to reduce the via resistance, for example, Section.

도 3a 내지 도 3c는 비교예시들에 따른 파워 레일의 예시들을 나타내는 도면들이다. 도 1, 도 2a 및 도 2b를 참조하여 전술된 바와 같이, 본 개시의 예시적 실시예들에 따른 파워 레일은, M1 층 및 M3 층에 각각 형성되고 상호 평행하게 연장되는 도전 라인들을 포함할 수 있고, M2 층에 형성된 도전 라인을 부분적으로 포함할 수 있다.Figures 3A-3C show examples of power rails according to comparative examples. As described above with reference to Figures 1, 2A, and 2B, the power rails in accordance with the exemplary embodiments of the present disclosure may include conductive lines formed in the M1 and M3 layers, respectively, And may partially include a conductive line formed in the M2 layer.

도 3a를 참조하면, 비교예에 따른 파워 레일(PR30a)은, M1 층 및 M3 층에 각각 형성되고 X축 방향으로 상호 평행하게 연장되는 도전 라인들(L01a, L02a)을 포함할 수 있고, 도전 라인들(L01a, L02a)을 전기적으로 상호 연결하기 위한 비아들을 포함할 수 있다. 도전 라인(L02a)에 기인하여, 파워 레일(PR30a)과 인접한 표준 셀의 M2 층에 형성된 입력 핀 및/또는 출력 핀을 표준 셀의 외부와 전기적으로 연결하기 위하여, M3 층 또는 그 상위 도전층을 사용하는 것이 요구될 수 있고, 이에 따라 신호 라우팅 혼잡이 발생할 수 있다. 일부 경우들에서, 집적 회로를 제조하는 반도체 공정에 기인하여, 표준 셀 내에서 M2 층에 형성되는 패턴은 게이트 라인과 평행한 방향(예컨대, 도 1의 Y축 방향)으로 형성되도록 제한될 수 있고, 이러한 제한은 신호 라우팅 혼잡을 가중시킬 수 있다. 또한, 일부 경우들에서, 반도체 공정에 기인하여, M2 층에 형성된 패턴은 M3 층에 형성된 패턴에 비해 폭(즉, 도 3a에서 Y축 방향의 길이) 및/또는 두께(즉, 도 3a에서 Z축 방향의 길이)가 작을 수 있다. 이와 같이, M1 층의 도전 라인(L01a) 및 M2 층의 도전 라인(L02a)을 포함하는 파워 레일(PR30a)은 신호 라우팅 혼잡을 유발할 수 있을 뿐만 아니라 IR 드롭의 완화 효과도 미약할 수 있다.Referring to FIG. 3A, the power rail PR30a according to the comparative example may include conductive lines L01a and L02a that are respectively formed in the M1 layer and the M3 layer and extend in parallel with each other in the X axis direction, And vias for electrically interconnecting the lines L01a and L02a. Due to the conductive line L02a, in order to electrically connect the input pin and / or the output pin formed in the M2 layer of the standard cell adjacent to the power rail PR30a to the outside of the standard cell, the M3 layer or the upper conductive layer May be required, and signal routing congestion may occur accordingly. In some cases, the pattern formed in the M2 layer in the standard cell due to the semiconductor process for fabricating the integrated circuit can be limited to be formed in a direction parallel to the gate line (e.g., the Y-axis direction in Fig. 1) , This restriction can add to signal routing congestion. Further, in some cases, the pattern formed on the M2 layer due to the semiconductor process may have a width (i.e., a length in the Y-axis direction in Fig. 3A) and / or a thickness The length in the axial direction) may be small. As described above, the power rail PR30a including the conductive line L01a of the M1 layer and the conductive line L02a of the M2 layer may not only cause signal routing congestion, but also mitigate the effect of reducing the IR drop.

도 3b를 참조하면, 비교예에 따른 파워 레일(PR30b)은 M1 층에 형성되고 X축 방향으로 연장되는 도전 라인(L01b)을 포함할 수 있다. 표준 셀의 신호가 이동하는 M2 층에 형성된 도전 라인들은 Y축 방향으로 파워 레일(PR30b)을 가로질러 연장될 수 있다. 이에 따라, 도 3b의 예시에서 신호 라우팅 자유도는 확보될 수 있는 한편, 도전 라인(L01b)만을 통해서 표준 셀들에 전력이 공급되어 파워 레일(PR30b)에서 발생한 IR 드롭의 영향이 증대될 수 있다.Referring to FIG. 3B, the power rail PR30b according to the comparative example may include a conductive line L01b formed in the M1 layer and extending in the X-axis direction. The conductive lines formed in the M2 layer in which the signal of the standard cell moves can extend across the power rail PR30b in the Y axis direction. Thus, in the example of FIG. 3B, the degree of freedom of signal routing can be ensured while the influence of the IR drop generated in the power rail PR30b can be increased by supplying power to standard cells only through the conductive line L01b.

도 3c를 참조하면, 비교예에 따른 파워 레일(PR30c)은 M1 층, M2 층 및 M3 층에 각각 형성되고 X축 방향으로 상호 평행하게 연장되는 도전 라인들(L01c, L02c, L03c)을 포함할 수 있고, 도전 라인들(L01c, L02c, L03c)을 전기적으로 상호 연결하기 위한 비아들을 포함할 수 있다. 도 3a 및 도 3c의 파워 레일(PR30c)은 도 3a 및 도 3b의 파워 레일들(PR30a, PR30b)보다 완화된 IR 드롭을 가질 수 있으나, M2 층뿐만 아니라 M3 층을 신호 라우팅으로 사용하는 것이 제한됨으로써 신호 라우팅 혼잡을 가중시킬 수 있다.Referring to FIG. 3C, the power rail PR30c according to the comparative example includes conductive lines L01c, L02c, and L03c that are formed respectively in the M1 layer, the M2 layer, and the M3 layer and extend in parallel with each other in the X axis direction And may include vias for electrically interconnecting the conductive lines L01c, L02c, L03c. The power rail PR30c of FIGS. 3A and 3C can have a relaxed IR drop than the power rails PR30a and PR30b of FIGS. 3A and 3B, but the use of the M3 layer as well as the M2 layer for signal routing is limited Signal routing congestion can be increased.

도 1, 도 2a 및 도 2b를 참조하여 전술된 바와 같이, 본 개시의 예시적 실시예들에 다른 파워 레일은 M1 층 및 M3 층에 각각 형성되고 상호 평행하게 연장되는 도전 라인들을 포함할 수 있고, M2 층에 형성된 도전 라인을 부분적으로 포함할 수 있다. 이하에서 도면들을 참조하여 후술되는 바와 같이, 파워 레일은 신호 라우팅이 중요한 표준 셀에 인접한 영역에서 M2 층에 형성된 도전 라인을 제거할 수 있고, IR 드롭이 중요한 표준 셀에 인접한 영역에서 M2 층에 형성된 도전 라인을 포함할 수 있다. 이에 따라, 신호 라우팅 자유도가 보장되는 동시에 파워 레일에서의 IR 드롭은 완화될 수 있다.As described above with reference to Figures 1, 2A, and 2B, other power rails in the exemplary embodiments of the present disclosure may include conductive lines formed in the M1 and M3 layers, respectively, and extending parallel to each other , And a conductive line formed in the M2 layer. As will be described below with reference to the drawings, the power rail can remove the conductive lines formed in the M2 layer in the region adjacent to the standard cell where signal routing is important, and the IR drop can be formed in the M2 layer And may include a conductive line. Thus, the IR drop in the power rail can be mitigated while the degree of signal routing freedom is ensured.

도 4는 본 개시의 예시적 실시예에 따른 집적 회로(40)의 일부를 나타내는 도면이다. 4 is a diagram illustrating a portion of an integrated circuit 40 in accordance with an exemplary embodiment of the present disclosure.

도 4를 참조하면, 집적 회로(40)는 X축 방향으로 상호 평행하게 연장되는 복수의 파워 레일들(PR41 내지 PR44)을 포함할 수 있고, 복수의 파워 레일들(PR41 내지 PR44) 사이에 배치된 복수의 표준 셀들(C41 내지 C49)을 포함할 수 있다. 복수의 표준 셀들(C41 내지 C49) 각각은, X축 방향으로 연장되는 적어도 하나의 활성 영역 및 Y축 방향으로 연장되는 적어도 하나의 게이트 라인을 포함할 수 있다. 예를 들면, 도 4에 도시된 바와 같이, 표준 셀(C41)은 X축 방향으로 연장되는 활성 영역들(AC1, AC2)을 포함할 수 있고, Y축 방향으로 연장되는 게이트 라인(GL1)을 포함하는 복수의 게이트 라인들을 포함할 수 있다. 일부 실시예들에서, 활성 영역들(AC1, AC2)은 Si 또는 Ge와 같은 반도체, 또는 SiGe, SiC, GaAs, InAs이나 InP와 같은 화합물 반도체를 포함할 수도 있고, 도전 영역, 예컨대 불순물이 도핑된 웰(well), 불순물이 도핑된 구조물을 포함할 수도 있다. 게이트 라인들은 일함수 금속 함유 레이어 및 갭필 금속막을 포함할 수 있다. 예를 들면, 일함수 금속 함유 레이어는, Ti, W, Ru, Nb, Mo, Hf, Ni, Co, Pt, Yb, Tb, Dy, Er 및 Pd 중 적어도 하나의 금속을 포함할 수 있고, 갭필 금속막은 W 막 또는 Al 막으로 이루어질 수 있다. 일부 실시예들에서, 게이트 라인들은 TiAlC/TiN/W의 적층 구조, TiN/TaN/TiAlC/TiN/W의 적층 구조, 또는 TiN/TaN/TiN/TiAlC/TiN/W의 적층 구조를 포함할 수 있다.4, the integrated circuit 40 may include a plurality of power rails PR41 to PR44 extending parallel to each other in the X-axis direction, and disposed between the plurality of power rails PR41 to PR44 A plurality of standard cells C41 to C49 may be included. Each of the plurality of standard cells C41 to C49 may include at least one active region extending in the X-axis direction and at least one gate line extending in the Y-axis direction. For example, as shown in FIG. 4, the standard cell C41 may include active regions AC1 and AC2 extending in the X-axis direction, and a gate line GL1 extending in the Y- And may include a plurality of gate lines. In some embodiments, active areas AC1 and AC2 may comprise a semiconductor such as Si or Ge, or a compound semiconductor such as SiGe, SiC, GaAs, InAs, or InP, and may include a conductive region, A well, and an impurity-doped structure. The gate lines may comprise a work-function metal-containing layer and a gap-fill metal film. For example, the work function metal-containing layer may include at least one metal of Ti, W, Ru, Nb, Mo, Hf, Ni, Co, Pt, Yb, Tb, Dy, Er, and Pd, The metal film may be composed of a W film or an Al film. In some embodiments, the gate lines may comprise a laminated structure of TiAlC / TiN / W, a laminated structure of TiN / TaN / TiAlC / TiN / W, or a laminated structure of TiN / TaN / TiN / TiAlC / have.

도 4의 파워 레일들(PR41 내지 PR44) 각각은, 도 1, 도 2a 및 도 2b를 참조하여 전술된 바와 같이, M1 층 및 M3 층에 각각 형성되고 X축 방향으로 상호 평행하게 연장되는 도전 라인들을 포함할 수 있다. 또한, 도 4에서 파워 레일들(PR41 내지 PR44) 상에 M2 층의 패턴이 그려진 부분에서 파워 레일들(PR41 내지 PR44)은 M2 층에 형성된 도전 라인을 포함할 수 있다.Each of the power rails PR41 to PR44 shown in Fig. 4 includes a plurality of power lines PR41 to PR44, which are respectively formed in the M1 layer and the M3 layer and extend in parallel with each other in the X axis direction, as described above with reference to Figs. 1, 2A, Lt; / RTI > In FIG. 4, the power rails PR41 to PR44 may include a conductive line formed in the M2 layer in the portion where the pattern of the M2 layer is drawn on the power rails PR41 to PR44.

도 4를 참조하면, 일부 실시예들에서 파워 레일들(PR41 내지 PR44)은 표준 셀의 경계를 기준으로 M2 층에 형성된 도전 라인을 포함할 수 있다. 예를 들면, 도 4에 도시된 바와 같이, 제1 및 제2 파워 레일(PR41, PR42)은 표준 셀(C43)의 경계와 중첩되는 M2 층의 도전 라인들을 각각 포함할 수 있다. 유사하게, 제2 및 제3 파워 레일(PR42, PR43)은 표준 셀들(C44, C45)의 경계들과 중첩되는 M2 층의 도전 라인들을 각각 포함할 수 있고, 제3 및 제4 파워 레일(PR43, PR44)은 표준 셀(C49)의 경계와 중첩되는 M2 층의 도전 라인들을 각각 포함할 수 있다. 또한, 표준 셀들(C44, C45)과 같이, X축 방향으로 상호 인접한 표준 셀들에서 M2 층의 도전 라인들이 연속될 수도 있다. 파워 레일들(PR41 내지 PR44)에서 M2 층의 도전 라인이 배치되지 아니한 영역들은 표준 셀들(C41 내지 C49)의 신호 라우팅을 위한 M2 층의 패턴들을 위하여 사용될 수 있다.Referring to FIG. 4, in some embodiments, the power rails PR41 to PR44 may include a conductive line formed in the M2 layer with respect to the boundary of the standard cell. For example, as shown in FIG. 4, the first and second power rails PR41 and PR42 may each include conductive lines of the M2 layer overlapping the boundary of the standard cell C43. Similarly, the second and third power rails PR42 and PR43 may each include conductive lines of the M2 layer overlapping the boundaries of the standard cells C44 and C45, and the third and fourth power rails PR43 , PR44 may include the conductive lines of the M2 layer overlapping the boundary of the standard cell C49, respectively. Further, the conductive lines of the M2 layer may be continuous in the standard cells adjacent to each other in the X-axis direction, such as the standard cells C44 and C45. The regions where the conductive lines of the M2 layer are not arranged in the power rails PR41 to PR44 may be used for the patterns of the M2 layer for signal routing of the standard cells C41 to C49.

도 5a, 도 5b, 도 6a 및 도 6b에서 예시되는 바와 같이, 일부 실시예들에서 표준 셀들은 그 특성에 따라 파워 레일들(PR41 내지 PR44)의 M2 층에 형성된 도전 라인과 중첩된 경계를 가지는 제1 그룹과 그렇지 아니한 제2 그룹으로 분류될 수 있다. 예를 들면, 도 4에서 표준 셀들(C43, C44, C45, C49)은 인접한 파워 레일에서 M2 층에 형성된 도전 라인과 중첩된 경계를 가지는 제1 그룹에 속할 수 있는 한편, 표준 셀들(C41, C42, C46, C47, C48)은 인접한 파워 레일에서 M2 층에 형성된 도전 라인과 중첩된 경계를 가지는 것이 강제되지 아니하는 제2 그룹에 속할 수 있다.As illustrated in FIGS. 5A, 5B, 6A and 6B, in some embodiments, the standard cells may have a boundary overlapped with a conductive line formed in the M2 layer of the power rails PR41 to PR44, It can be classified into the first group and the second group which is not. For example, in FIG. 4, the standard cells C43, C44, C45, and C49 may belong to a first group having a boundary overlapped with a conductive line formed in the M2 layer in the adjacent power rail, while the standard cells C41, , C46, C47, and C48 may belong to a second group that is not forced to have a boundary overlapped with a conductive line formed in the M2 layer in adjacent power rails.

제1 그룹은 상대적으로 양호한 성능, 예컨대 출력 신호의 빠른 상승/하강 시간 또는 짧은 전파 지연(propagation delay) 등이 요구됨에 기인하여 제1 그룹의 표준 셀들에 포함된 트랜지스터들에 공급되거나 트랜지스터들로부터 인출되는 큰 크기의 전류를 가질 수 있다. 예를 들면, 표준 셀들(C43, C44, C45, C49)은, 일부 실시예들에서 신호 버퍼, 클락 버퍼, 인버터 등을 포함할 수 있고, 일부 실시예들에서 집적 회로(40)의 타이밍 크리티컬 패스에 포함되는 표준 셀들을 포함할 수도 있다.The first group may be supplied to the transistors included in the first group of standard cells due to the relatively good performance, e.g., the fast rise / fall time of the output signal or a short propagation delay, Lt; RTI ID = 0.0 > current. ≪ / RTI > For example, standard cells C43, C44, C45, and C49 may include signal buffers, clock buffers, inverters, etc. in some embodiments, and in some embodiments, the timing critical path of integrated circuit 40 As shown in FIG.

제2 그룹은 신호 라우팅을 유발하는 구조, 예컨대 상대적으로 많은 수의 입력 핀들 및 출력 핀들을 가질 수 있다. 예를 들면, 표준 셀들(C43, C44, C45, C49)은, 일부 실시예들에서 표준 셀의 면적에 비해서 많은 수의 입력 핀들을 가지는 AOI22 등을 포함할 수 있고, 일부 실시예들에서 집적 회로(40)의 타이밍 크리티컬 패스에 포함되지 아니하는 표준 셀들을 포함할 수도 있다.The second group may have a structure that causes signal routing, such as a relatively large number of input and output pins. For example, standard cells C43, C44, C45, C49 may include AOI22, etc., which in some embodiments have a larger number of input pins relative to the area of the standard cell, and in some embodiments, May not include standard cells that are not included in the timing critical path of the base station 40.

도 5a 및 도 5b는 본 개시의 예시적 실시예에 따른 표준 셀(C50)을 나타내는 도면들이다. 구체적으로, 도 5a 및 도 5b는 인버터인 표준 셀(C50)과 주변의 레이아웃을 나타내며, 레이아웃의 일부 층들을 각각 도시한다.5A and 5B are diagrams illustrating a standard cell C50 according to an exemplary embodiment of the present disclosure. Specifically, FIGS. 5A and 5B show the standard cell C50 as the inverter and the layout around it, and show some layers of the layout, respectively.

표준 셀(C50)은 인버터로서 파워 레일들(PR51, PR52)에서 발생하는 IR 드롭에 민감할 수 있다. 예를 들면, 인버터의 출력 신호는 빠른 상승/하강 시간이 요구될 수 있고, 이에 따라 도 5a 및 도 5b에 도시된 바와 같이, 표준 셀(C50)에 인접한, 파워 레일들(PR51, PR52)의 영역들은 M2 층에 형성된 도전 라인으로 보강될 수 있다. 이에 따라, 파워 레일들(PR51, PR52)은 M1 층, M2 층 및 M3 층에 각각 형성되고 X축 방향으로 연장되는 도전 라인들을 포함할 수 있고, 도전 라인들을 전기적으로 상호 연결하기 위한 비아들을 포함할 수 있다.The standard cell C50 can be sensitive to the IR drop generated in the power rails PR51 and PR52 as inverters. For example, the output signal of the inverter may be required to have a fast rise / fall time so that the output power of the power rails PR51 and PR52 adjacent to the standard cell C50, as shown in Figures 5A and 5B, The regions can be reinforced with conductive lines formed in the M2 layer. Accordingly, the power rails PR51 and PR52 may include conductive lines respectively formed in the M1 layer, the M2 layer, and the M3 layer and extending in the X-axis direction, and include vias for electrically interconnecting the conductive lines can do.

도 5a를 참조하면, 표준 셀(C50)은 입력 신호(A)가 인가되고 M2 층에 형성된 입력 핀(P51) 및 출력 신호(Y)가 출력되고 M2 층에 형성된 출력 핀(P52)을 포함할 수 있다. 도 5a에 도시된 바와 같이, 입력 핀(P51) 및 출력 핀(P52)은, 반도체 공정 또는 디자인 룰에 기인하여, 파워 레일(PR51)에 포함된 M2 층의 도전 라인과 일정한 거리(Y51)만큼 이격될 수 있고, 상호간에 일정한 거리(X51)만큼 이격될 수 있다. 일부 실시예들에서, Y축 방향의 거리(Y51)는 X축 방향의 거리(X51)보다 클 수 있다.5A, the standard cell C50 includes an input pin P51 and an output pin P52 formed in the M2 layer to which the input signal A is applied and the output signal Y is output. . 5A, the input pin P51 and the output pin P52 are connected to the conductive line of the M2 layer included in the power rail PR51 by a predetermined distance Y51 due to the semiconductor process or the design rule And can be spaced apart from each other by a constant distance X51. In some embodiments, the distance Y51 in the Y-axis direction may be greater than the distance X51 in the X-axis direction.

도 5b를 참조하면, 표준 셀(C50) 상에서 M3 층에 형성되고 X축 방향으로 상호 평행하게 연장되는 복수의 도전 라인들(L51 내지 L55)이 배치될 수 있다. 복수의 도전 라인들(L51 내지 L55) 중 적어도 일부는 표준 셀(C50)의 입력 신호(A) 및 출력 신호(Y)를 라우팅하는데 사용될 수 있다. 즉, 도 5b에서 '☆'로 표시된 지점들 중 적어도 하나에 비아(V2)가 배치됨으로써 입력 핀(P51) 및/또는 출력 핀(P52)은 M3 층의 도전 라인들(L51 내지 L55) 중 적어도 하나와 전기적으로 연결될 수 있다. 도 5a를 참조하여 전술된 바와 같이 파워 레일들(PR51, PR52)에 포함된 M2 층의 도전 라인에 기인하여 입력 핀(P51) 및 출력 핀(P52)의 Y축 방향의 길이가 제한됨에 따라, 표준 셀(C50)에서 비아(V2)가 배치 가능한 지점들은 상대적으로 제한될 수 있는 한편(즉, M3 층의 도전 라인들(L51, L55)에서 '☆'로 표시된 지점들이 생략되는 한편), 파워 레일들(PR51, PR52)이 M2 층의 도전 라인들에 의해서 보강됨으로써 표준 셀(C50)은 완화된 IR 드롭에 기인하여 양호한 성능을 제공할 수 있다.Referring to FIG. 5B, a plurality of conductive lines L51 to L55 may be disposed on the M3 layer on the standard cell C50 and extending in parallel with each other in the X-axis direction. At least some of the plurality of conductive lines L51 to L55 may be used to route the input signal A and the output signal Y of the standard cell C50. 5B, the input pin P51 and / or the output pin P52 are connected to at least one of the conductive lines L51 to L55 of the M3 layer by placing the via V2 in at least one of the points indicated by ' And can be electrically connected to one. As the length of the input pin P51 and the output pin P52 in the Y-axis direction is limited due to the conductive line of the M2 layer included in the power rails PR51 and PR52 as described above with reference to Fig. 5A, The points at which the via V2 can be placed in the standard cell C50 can be relatively limited (i.e., while the points marked with " * " in the conductive lines L51 and L55 of the M3 layer are omitted) By reinforcing the rails PR51 and PR52 by the conductive lines of the M2 layer, the standard cell C50 can provide good performance due to the relaxed IR drop.

도 6a 및 도 6b는 본 개시의 예시적 실시예에 따른 표준 셀(C60)을 나타내는 도면들이다. 구체적으로 도 6a 및 도 6b는 AOI22인 표준 셀(C60)과 주변의 레이아웃을 나타내며, 레이아웃의 일부 층들을 각각 도시한다.Figures 6A and 6B are views showing a standard cell (C60) according to an exemplary embodiment of the present disclosure. Specifically, Figs. 6A and 6B show a standard cell C60 with AOI22 and a layout around it, and show some layers of the layout, respectively.

표준 셀(C60)은 AOI22로서 상대적으로 많은 수의 입력 신호들(A0, A1, B0, B1)을 가질 수 있다. 이에 따라, 도 6a 및 도 6b에 도시된 바와 같이, 표준 셀(C60)에 인접한, 파워 레일들(PR61, PR62)의 영역들에서 M2 층에 형성된 도전 라인들이 생략될 수 있다. 이에 따라, 파워 레일들(PR61, PR62)은 M1 층 및 M3 층에 각각 형성되고 X축 방향으로 연장되는 도전 라인들을 포함할 수 있다.The standard cell C60 may have a relatively large number of input signals A0, A1, B0, B1 as AOI22. Accordingly, the conductive lines formed in the M2 layer in the regions of the power rails PR61 and PR62 adjacent to the standard cell C60 can be omitted, as shown in Figs. 6A and 6B. Accordingly, the power rails PR61 and PR62 may include conductive lines respectively formed in the M1 layer and the M3 layer and extending in the X-axis direction.

도 6a를 참조하면, 표준 셀(C60)은 입력 신호들(A0, A1, B0, B1)이 인가되고 M2 층에 형성된 입력 핀들(P61 내지 P64) 및 출력 신호(Y)가 출력되고 M2 층에 형성된 출력 핀(P65)을 포함할 수 있다. 도 6a에 도시된 바와 같이, 입력 핀들(P61 내지 P64) 및 출력 핀(P65)은, 파워 레일들(PR61, PR62)에서 M2 층의 도전 라인이 생략됨에 기인하여, 표준 셀(C60)의 경계에 근접한 위치까지 Y축 방향으로 연장될 수 있다.Referring to FIG. 6A, the standard cell C60 receives input signals A0, A1, B0 and B1, input pins P61 to P64 formed on the M2 layer and an output signal Y, And formed output pin P65. 6A, the input pins P61 to P64 and the output pin P65 are connected to the boundary of the standard cell C60 due to the omission of the conductive line of the M2 layer in the power rails PR61 and PR62, Axis direction to a position close to the Y-axis direction.

도 6b를 참조하면, 도 5b와 유사하게, 표준 셀(C60) 상에서 M3 층에 형성되고 X축 방향으로 상호 평행하게 연장되는 복수의 도전 라인들(L61 내지 L65)이 배치될 수 있다. 복수의 도전 라인들(L61 내지 L65) 중 적어도 일부는 표준 셀(C60)의 입력 신호들(A0, A1, B0, B1) 및 출력 신호(Y)를 라우팅하는데 사용될 수 있다. 즉, 도 6b에서 '☆'로 표시된 지점들 중 적어도 하나에 비아(V2)가 배치됨으로써 입력 핀들(P61 내지 P64) 및/또는 출력 핀(P65)은 M3 층의 도전 라인들(L61 내지 L65) 중 적어도 하나와 전기적으로 연결될 수 있다. 도 6a를 참조하여 전술된 바와 같이 파워 레일들(PR61, PR62)에서 생략된 M2 층의 도전 라인에 기인하여, 입력 핀들(P61 내지 P64) 및 출력 핀(P65)은 M3 층의 도전 라인들(L61 내지 L65)이 연장되는 X축 방향과 직교하는 Y축 방향으로 표준 셀(C60)의 경계 근처까지 연장되므로 비아(V2)가 배치 가능한 지점들은 상대적으로 확장될 수 있다. 또한, 도 1, 도 2a 및 도 2b를 참조하여 전술된 바와 같이, 입력 핀들(P61 내지 P64) 및 출력 핀(P65)은 파워 레일들(PR61, PR62)을 가로질러 Y축 방향으로 연장됨으로써 입력 신호들(A0, A1, B0, B1) 및 출력 신호(Y)가 표준 셀(C60)의 외부로 라우팅될 수도 있다. 이에 따라, 표준 셀(C60)의 입력 신호들(A0, A1, B0, B1) 및 출력 신호(Y)에 대한 라우팅 혼잡은 유발되지 아니할 수 있다.Referring to FIG. 6B, similarly to FIG. 5B, a plurality of conductive lines L61 to L65 may be disposed in the M3 layer on the standard cell C60 and extending in parallel to each other in the X-axis direction. At least some of the plurality of conductive lines L61 to L65 may be used to route the input signals A0, A1, B0, B1 and the output signal Y of the standard cell C60. 6B, the input pins P61 to P64 and / or the output pin P65 are electrically connected to the conductive lines L61 to L65 of the M3 layer by arranging the vias V2 in at least one of the points indicated by ' As shown in FIG. The input pins P61 to P64 and the output pin P65 are connected to the conductive lines of the M3 layer due to the conductive lines of the M2 layer omitted from the power rails PR61 and PR62 as described above with reference to Fig. L61 to L65 extend to the vicinity of the boundary of the standard cell C60 in the Y-axis direction orthogonal to the X-axis direction in which the vias V2 can be extended relatively. Further, the input pins P61 to P64 and the output pin P65 extend in the Y-axis direction across the power rails PR61 and PR62, as described above with reference to Figs. 1, 2A and 2B, The signals A0, A1, B0, B1 and the output signal Y may be routed to the outside of the standard cell C60. Accordingly, routing congestion for the input signals A0, A1, B0, B1 and the output signal Y of the standard cell C60 may not be induced.

도 7은 본 개시의 예시적 실시예에 따른 집적 회로(70)의 일부를 나타내는 도면이다. 도 7에 도시된 바와 같이, 집적 회로(70)는 X축 방향으로 상호 평행하게 연장되는 복수의 파워 레일들(PR71 내지 PR74)을 포함할 수 있고, 복수의 파워 레일들(PR71 내지 PR74) 사이에 배치된 복수의 표준 셀들(C71 내지 C79)을 포함할 수 있다. 도 1, 도 2a 및 도 2b를 참조하여 전술된 바와 같이, 도 7의 파워 레일들(PR71 내지 PR74) 각각은, M1 층 및 M3 층에 각각 형성되고 X축 방향으로 상호 평행하게 연장되는 도전 라인들을 포함할 수 있다. 도 4와 유사하게, 도해의 편의상 도 7은 파워 레일에서 M2 층만을 도시한다.7 is a diagram illustrating a portion of an integrated circuit 70 in accordance with an exemplary embodiment of the present disclosure. 7, the integrated circuit 70 may include a plurality of power rails PR71 to PR74 extending parallel to each other in the X-axis direction, and a plurality of power rails PR71 to PR74 And a plurality of standard cells C71 to C79 arranged in a plurality of standard cells. As described above with reference to Figs. 1, 2A, and 2B, each of the power rails PR71 to PR74 in Fig. 7 includes a plurality of power lines PR71 to PR74, which are respectively formed in the M1 layer and the M3 layer and extend parallel to each other in the X- Lt; / RTI > Similar to Fig. 4, for convenience of illustration, Fig. 7 shows only the M2 layer in the power rail.

도 7을 참조하면, 일부 실시예들에서 파워 레일들(PR71 내지 PR74)은 표준 셀에서 Y축 방향으로 연장된 M2 층의 패턴(또는 도전 라인)으로부터 미리 정해진 거리로 이격된 지점까지 연장된 M2 층의 도전 라인을 포함할 수 있다. 예를 들면, 도 7에 도시된 바와 같이, 파워 레일(PR71)은 표준 셀(C72)로부터 Y축 방향으로 연장된 M2 층의 패턴(L71)과 X축 방향으로 거리(X71)로 이격된 지점까지 연장된 M2 층의 도전 라인(L72)을 포함할 수 있다. 이에 따라, 도 7에 도시된 바와 같이, 표준 셀들(C71 내지 C79)의 신호들을 라우팅하기 위한 M2 층의 패턴들이 형성된 영역을 제외한 영역들에서 파워 레일들(PR71 내지 PR74)의 M2 층에 형성된 도전 라인들이 연장될 수 있다. 즉, 신호의 라우팅 이후 파워 레일들(PR71 내지 PR74)의 M2 층에 형성된 도전 라인들이 연장됨으로써 파워 레일들(PR71 내지 PR74)이 강화될 수 있고, IR 드롭이 완화될 수 있다.Referring to FIG. 7, in some embodiments, the power rails PR71 to PR74 extend from a pattern (or a conductive line) of the M2 layer extending in the Y axis direction in the standard cell to M2 Layer < / RTI > 7, the power rail PR71 includes a pattern L71 extending in the Y axis direction from the standard cell C72 and a pattern L71 extending in the X axis direction at a distance X71 from the standard cell C72, And a conductive line L72 of the M2 layer extended to the upper side. Thus, as shown in Fig. 7, the challenge to be formed in the M2 layer of the power rails PR71 to PR74 in the regions excluding the region where the patterns of the M2 layer for routing the signals of the standard cells C71 to C79 are formed The lines can be extended. That is, since the conductive lines formed in the M2 layer of the power rails PR71 to PR74 after the signal routing are extended, the power rails PR71 to PR74 can be strengthened, and the IR drop can be mitigated.

일부 실시예들에서, 파워 레일들(PR71 내지 PR74)의 M2 층에 형성된 도전 라인들은 최소 면적을 가질 수 있다. 예를 들면, 도 7에 도시된 바와 같이, 파워 레일(PR71)은, X축 방향의 길이(X72) 이상인 M2 층의 도전 라인(L72, L73)을 포함하는 반면, X축 방향의 길이(X72) 미만의 M2 층의 도전 라인은 생략될 수 있다. 즉, Y축 방향으로 연장되는 M2 층의 패턴들(L71, L74) 사이에서 파워 레일(PR71)의 M2 층에 형성된 도전 라인은 생략될 수 있다. In some embodiments, the conductive lines formed in the M2 layer of the power rails PR71 to PR74 may have a minimum area. For example, as shown in Fig. 7, the power rail PR71 includes the conductive lines L72 and L73 of the M2 layer which are not less than the length X72 in the X axis direction, while the lengths X72 ) ≪ / RTI > may be omitted. That is, the conductive lines formed in the M2 layer of the power rail PR71 between the patterns L71 and L74 of the M2 layer extending in the Y-axis direction can be omitted.

도 8a 내지 도 8c는 본 개시의 예시적 실시예들에 따른 파워 레일들(PR80a, PR80b, PR80c)을 나타내는 도면들이다. 도 8a 내지 도 8c에 도시된 바와 같이, 파워 레일들(PR80a, PR80b, PR80c)은 반도체 소자(예컨대, 트랜지스터)에 인접한 도전층들, 예컨대 M1 층 내지 M4 층의 상위 배선층(D1)에 형성된 도전 라인을 포함할 수 있다. 비록 이하의 도면들에서 M1 층 내지 M4 층 위에 상위 배선층(D1)이 위치하는 예시들이 도시되나, 더 적은 도전층들 혹은 더 많은 도전층들, 예컨대 M1 층 내지 M8 층 위에 상위 배선층(D1)이 위치할 수도 있는 점은 이해될 것이다.Figures 8A-8C show power rails PR80a, PR80b, PR80c in accordance with the exemplary embodiments of the present disclosure. 8A to 8C, the power rails PR80a, PR80b and PR80c are connected to the conductive layers adjacent to the semiconductor elements (for example, transistors), for example, the conductors formed on the upper wiring layer D1 of the M1- Line. ≪ / RTI > Although the examples in which the upper wiring layer D1 is located on the M1 layer to the M4 layer are shown in the following drawings, the lower wiring layer D1 is formed on the lower conductive layers or more conductive layers, for example, the M1 layer to the M8 layer It will be appreciated that it may be located.

도 8a를 참조하면, 파워 레일(PR80a)은 M1 층에 형성되고 X축 방향으로 연장되는 도전 라인(L81a)을 포함할 수 있고, D1 층에 형성되고 X축 방향으로 연장되는 도전 라인(L85a)을 포함할 수 있다. 도전 라인들(L81a, L85a)은 복수의 비아들 및 도전층의 패턴들을 통해서 전기적으로 상호 연결될 수 있다. 도 8a에 도시된 바와 같이, 상위 배선층으로서 D1 층은 M1 층 내지 M4 층보다, 두꺼운 두께(즉, Z축 방향의 길이)를 가질 수도 있고, 그리고/또는 높은 전도도를 가지는 물질로 구성될 수도 있다. 이에 따라 도전 라인(L85a)의 X축 방향의 상대적으로 낮은 저항치에 기인하여, 파워 레일(PR80a)의 IR 드롭은 완화될 수 있다. 또한, 파워 레일(PR80a)은 M2 층 내지 M4 층을 신호 라우팅을 위하여 제공할 수 있고, 이에 따라 신호 라우팅의 자유도 역시 향상될 수 있다.8A, the power rail PR80a may include a conductive line L81a formed in the M1 layer and extending in the X axis direction, a conductive line L85a formed in the D1 layer and extending in the X axis direction, . ≪ / RTI > The conductive lines L81a and L85a may be electrically interconnected through the patterns of the plurality of vias and the conductive layer. As shown in Fig. 8A, the D1 layer as the upper wiring layer may have a thicker thickness (i.e., a length in the Z-axis direction) than the M1 to M4 layers and / or may be made of a material having a high conductivity . Accordingly, the IR drop of the power rail PR80a can be alleviated due to the relatively low resistance value in the X-axis direction of the conductive line L85a. In addition, the power rail PR80a can provide the M2 layer to the M4 layer for signal routing, and the freedom of signal routing can also be improved accordingly.

도 8b를 참조하면, 파워 레일(PR80b)은 M1 층 및 M2 층에 각각 형성되고 X축 방향으로 연장되는 도전 라인들(L81b, L83b)을 포함할 수 있고, D1 층에 형성되고 X축 방향으로 연장되는 도전 라인(L85b)을 포함할 수 있다. 도전 라인들(L81b, L83b, L85b)은 복수의 비아들 및 도전층의 패턴들을 통해서 전기적으로 상호 연결될 수 있다. 두꺼운 두께를 가지는 도전 라인(L85b)뿐만 아니라, M3 층에 형성된 도전 라인(L83b)에 기인하여 파워 레일(PR80b)의 IR 드롭은 완화될 수 있다. 또한, 파워 레일(PR80b)은 M2 층 및 M4 층을 신호 라우팅을 위하여 제공할 수 있고, 이에 따라 신호 라우팅의 자유도가 보장될 수 있다.8B, the power rail PR80b may include conductive lines L81b and L83b respectively formed in the M1 layer and the M2 layer and extending in the X axis direction. The power rail PR80b may be formed in the D1 layer, And an extended conductive line L85b. The conductive lines L81b, L83b, and L85b may be electrically interconnected through the patterns of the plurality of vias and conductive layers. The IR drop of the power rail PR80b can be alleviated due to the conductive line L83b formed in the M3 layer as well as the conductive line L85b having the thick thickness. Further, the power rail PR80b can provide the M2 layer and the M4 layer for signal routing, thereby assuring the freedom of signal routing.

도 8c를 참조하면, 파워 레일(PR80c)은 D1 층에 형성되고 X축 방향으로 연장되는 도전 라인(L85c)을 포함할 수 있다. 도전 라인(L85c)은 복수의 비아들 및 도전층의 패턴들을 통해서 하위의 반도체 소자에 전력을 공급할 수 있다. 두꺼운 두께를 가지는 도전 라인(L85b)에 기인하여 IR 드롭이 완화될 수 있는 한편, 파워 레일(PR80c)은 M1 층 내지 M4 층을 신호 라우팅을 위하여 제공할 수 있으므로 신호 라우팅의 자유도가 향상될 수 있다.Referring to FIG. 8C, the power rail PR80c may include a conductive line L85c formed in the D1 layer and extending in the X-axis direction. The conductive line L85c can supply power to lower semiconductor elements through patterns of a plurality of vias and conductive layers. The IR drop can be mitigated due to the conductive line L85b having a thick thickness while the power rail PR80c can provide the M1 layer to the M4 layer for signal routing so that the degree of freedom in signal routing can be improved .

도 9a 내지 도 9c는 본 개시의 예시적 실시예에 따라 상이한 층들의 도전 라인들을 전기적으로 상호 연결하는 구조의 예시들을 나타내는 도면들이다. 도 9a 내지 도 9c에 도시된 바와 같이, 상이한 층들의 도전 라인들 사이 저항치를 감소시키기 위하여 동일한 층에서 상호 평행하게 배치된 복수의 비아들이 사용될 수 있고, 본 명세서에서 이러한 구조는 비아 필러(via pillar)로 지칭될 수 있다. 예를 들면, 도 9a 내지 도 9c에 도시된 예시적인 구조들은, 표준 셀에서 입력 신호, 출력 신호 및/또는 내부 신호가 이동하는 경로를 제공할 수도 있고, 상이한 층들에서 연장되는 파워 레일들의 도전 라인들을 연결하는데 사용될 수도 있다. 이하에서, 도 9a 내지 도 9c에 대한 설명 중 반복되는 내용은 생략될 것이다.Figures 9A-9C are illustrations of examples of structures for electrically interconnecting conductive lines of different layers according to an exemplary embodiment of the present disclosure. As shown in FIGS. 9A-9C, a plurality of vias disposed in parallel in the same layer may be used to reduce the resistance between the conductive lines of different layers, and this structure is referred to herein as a via pillar ). ≪ / RTI > For example, the exemplary structures depicted in FIGS. 9A-9C may provide paths through which input signals, output signals, and / or internal signals travel in a standard cell, and may include conductive lines of power rails extending in different layers Lt; / RTI > Hereinafter, the repetition of the description of Figs. 9A to 9C will be omitted.

도 9a를 참조하면, 비아 필러(VP90)은 M1 층 및 M5 층에 각각 형성되고 X축 방향으로 연장되는 도전 라인들(L91, L95)을 포함할 수 있다. 도전 라인들(L91, L95)을 전기적으로 상호 연결하기 위하여, M1 층의 도전 라인(L91)상에 2개의 비아들(V16, V17)이 배치될 수 있고, 2개의 비아들(V16, V17) 상에 M2 층에 형성되고 Y축 방향으로 상호 평행하게 연장되는 2개의 도전 라인들(L92a, L92b)이 배치될 수 있다. 2개의 도전 라인들(L92a, L92b) 상에 4개의 비아들(V26 내지 V29)이 배치될 수 있고, 4개의 비아들(V36 내지 V39) 상에 M3 층에 형성되고 X축 방향으로 상호 평행하게 연장되는 2개의 도전 라인들(L93a, L93b)이 배치될 수 있다. 2개의 도전 라인들(L93a, L93b) 상에 4개의 비아들(V36 내지 V39)이 배치될 수 있고, 4개의 비아들(V36 내지 V39) 상에 M4층에 형성되고 Y축 방향으로 상호 평행하게 연장되는 2개의 도전 라인들(L94a, L94b)이 배치될 수 있다. 2개의 도전 라인들(L94a, L94b) 상에 2개의 비아들(V46, V47)이 배치될 수 있고, 2개의 비아들(V46, V47) 상에 M5 층에 형성된 도전 라인(L95)이 배치될 수 있다. 이와 같이, 동일한 층에 복수의 비아들이 배치됨으로써 M1 층의 도전 라인(L91) 및 M5 층의 도전 라인(L95) 사이 저항치는 감소할 수 있고, M1 층의 도전 라인(L91)을 통해서 전력을 공급받는 반도체 소자는 완화된 IR 드롭을 경험할 수 있다.Referring to FIG. 9A, the via filler VP90 may include conductive lines L91 and L95 formed in the M1 layer and the M5 layer, respectively, and extending in the X-axis direction. Two vias V16 and V17 may be arranged on the conductive line L91 of the M1 layer and two vias V16 and V17 may be arranged to electrically interconnect the conductive lines L91 and L95, Two conductive lines L92a and L92b which are formed on the M2 layer and extend in parallel to each other in the Y axis direction can be disposed. Four vias V26 to V29 can be disposed on the two conductive lines L92a and L92b and are formed in the M3 layer on the four vias V36 to V39 and are parallel to each other in the X- Two extending conductive lines L93a and L93b may be disposed. Four vias V36 to V39 may be disposed on the two conductive lines L93a and L93b and may be formed in the M4 layer on the four vias V36 to V39 and parallel to each other in the Y axis direction Two extending conductive lines L94a and L94b may be disposed. Two vias V46 and V47 may be disposed on the two conductive lines L94a and L94b and a conductive line L95 formed on the M5 layer may be disposed on the two vias V46 and V47 . By arranging the plurality of vias in the same layer in this way, the resistance value between the conductive line L91 of the M1 layer and the conductive line L95 of the M5 layer can be reduced, and the electric power is supplied through the conductive line L91 of the M1 layer The receiving semiconductor device may experience a relaxed IR drop.

도 9b를 참조하면, 도 9a의 비아 필러(VP90)과 유사하게, 비아 필러(VP90')은 M1 층 및 M5 층에 각각 형성되고 X축 방향으로 연장되는 도전 라인들(L91', L95')을 포함할 수 있다. 표준 셀에 포함되는 반도체 소자들과 컨택 및/또는 비아(예컨대, V0)를 통해서 연결되고 신호 라우팅을 위한 패턴들을 포함하는 M1 층에서 형성된 도전 라인(L91')과 상이하게, M5 층의 도전 라인(L95')은 상대적으로 넓은 폭, 즉 Y축 방향의 길이를 가질 수 있다. 이에 따라, 도 9b에 도시된 바와 같이, M4 층의 패턴들(L94a', L94b') 상에 4개의 비아들(V46' 내지 V49')이 배치될 수 있다.9A, similar to the via filler VP90 of FIG. 9A, the via filler VP90 'includes conductive lines L91' and L95 'formed respectively in the M1 layer and the M5 layer and extending in the X- . ≪ / RTI > Different from the conductive line L91 'formed in the M1 layer connected via the contacts and / or vias (e.g., V0) to the semiconductor devices included in the standard cell and including patterns for signal routing, (L95 ') may have a relatively wide width, i.e., a length in the Y-axis direction. Thus, as shown in Fig. 9B, four vias V46 'to V49' may be arranged on the patterns L94a 'and L94b' of the M4 layer.

도 9c를 참조하면, 도 9a 및 도 9b의 비아 필러들(VP90, VP90')과 유사하게, 비아 필러(VP90")은 M1 층 및 D1 층에 각각 형성되고 X축 방향으로 연장되는 도전 라인들(L91", L95")을 포함할 수 있다. 도 9a 및 도 9b의 비아 필러들(VP90, VP90')에서 M2 층 내지 M4 층 각각에 형성된 도전 라인들이 상호 분리된 것과 상이하게, 도 9c의 비아 필러(VP90")에서 M2 층 내지 M4 층 각각에 형성된 도전 라인들은 하나의 패턴들(L92, L93, L94)로 병합될 수 있다. 9A and 9B, similar to the via fillers VP90 and VP90 'of FIGS. 9A and 9B, the via filler VP90 "is formed of conductive lines formed in the M1 layer and the D1 layer, respectively, (L91 ", L95 "). The conductive lines formed in each of the M2 layer to the M4 layer in the via fillers VP90 and VP90 'of Figs. 9A and 9B, Conductive lines formed in each of the M2 layer to the M4 layer in the via filler VP90 "may be merged into one pattern L92, L93, and L94.

비록 도 9a 내지 도 9c에서 비아 필러들(VP90, VP90', VP90")은 M1 층 및 M5 층에 각각 형성되고 X축 방향으로 상호 평행하게 연장되는 도전 라인들을 포함하는 것으로 도시되었으나, 추가적인 도전 라인, 예컨대 M3 층에 형성되고 X축 방향으로 M1 층 및 M5 층의 도전 라인들과 함께 연장되는 도전 라인에도 도 9a 내지 도 9c에 도시된 구조들이 적용될 수 있음은 이해될 것이다. 또한, 도 9a 내지 도 9c에 도시된 비아 필러들(VP90, VP90', VP90")은 예시들일 뿐이며, 상이한 예시들, 예컨대 동일한 층에 4개 초과의 비아들이 배치되는 구조, 도 2b에 도시된 바와 같이 바(bar) 유형의 복수의 비아들이 동일한 층에 배치되는 구조 등이 가능한 점은 이해될 것이다.Although the via fillers VP90, VP90 ', VP90 "in Figs. 9A to 9C are shown as including conductive lines formed in the M1 layer and the M5 layer respectively and extending in parallel to each other in the X axis direction, It is to be understood that the structures shown in Figs. 9A to 9C can also be applied to a conductive line formed in the M3 layer and extending along the conductive lines of the M1 layer and the M5 layer in the X-axis direction. The via fillers (VP90, VP90 ', VP90 ") shown in FIG. 9C are only examples, and different examples, such as a structure in which more than four vias are arranged in the same layer, ) ≪ / RTI > type of vias may be disposed on the same layer, or the like.

도 10a 및 도 10b는 본 개시의 예시적 실시예에 따른 파워 레일들(PR100a, PR100b)을 나타내는 도면들이다. 도 10a 및 도 10b에 도시된 바와 같이, 파워 레일들(PR100a, PR100b)은 M1 층 및 D1 층에 각각 형성되고 X축 방향으로 연장되는 도전 라인들(L110, L111, L150, L151)을 포함할 수 있고, M1 층의 도전 라인들(L110, L111)과 D1 층의 도전 라인들(L150, L151)을 전기적으로 상호 연결하기 위한 복수의 비아들을 포함할 수 있다.10A and 10B are views showing power rails PR100a and PR100b according to an exemplary embodiment of the present disclosure. 10A and 10B, the power rails PR100a and PR100b include conductive lines L110, L111, L150 and L151 respectively formed in the M1 layer and the D1 layer and extending in the X axis direction And may include a plurality of vias for electrically interconnecting the conductive lines L110, L111 of the M1 layer and the conductive lines L150, L151 of the D1 layer.

도 10a를 참조하면, 파워 레일(L150)은 X축 방향으로 연장되는 도전 라인들(L110, L150)을 전기적으로 상호 연결하기 위하여 복수의 비아들에 연결된 M2 층 내지 M4 층의 도전 라인들(L120, L130, L140)을 포함할 수 있다. 도 10a에 도시된 바와 같이, M1 층 및 D1 층의 도전 라인들(L110, L150) 사이 전기적 연결을 강화하기 위하여 도전 라인들(L120, L130, L140)은 X축 방향으로 연장될 수 있다.Referring to FIG. 10A, the power rail L150 includes conductive lines L1 to L4 of M2 to M4 layers connected to a plurality of vias for electrically interconnecting the conductive lines L110 and L150 extending in the X- , L130, L140). 10A, the conductive lines L120, L130, and L140 may extend in the X-axis direction to enhance the electrical connection between the conductive lines L110 and L150 of the M1 layer and the D1 layer.

도 10b를 참조하면, 파워 레일(L150)은 X축 방향으로 연장되는 도전 라인들(L111, L151)을 전기적으로 상호 연결하기 위하여 동일한 층에서 Y축 방향으로 이격된 비아들을 포함할 수 있고, 그러한 비아들과 연결되고 Y축 방향으로 연장되는 M2 층 내지 M4 층의 도전 라인들을 포함할 수 있다. 예를 들면, 도 10b에 도시된 바와 같이, M2 층의 도전 라인들(L121, L122, L123)은 Y축 방향으로 연장될 수 있고, 도전 라인들(L121 내지 L123) 상에 Y축 방향으로 상호 이격된 복수의 비아들이 배치될 수 있다. 또한, M3 층의 도전 라인들(L131, L132)은 X축 방향으로 연장될 수 있는 한편, M4 층의 도전 라인들(L141, L142, L143)은 M2 층의 도전 라인들(L121, L122, L123)과 같이 Y축 방향으로 연장될 수 있다.Referring to FIG. 10B, the power rail L150 may include vias spaced in the Y-axis direction in the same layer for electrically interconnecting the conductive lines L111 and L151 extending in the X-axis direction, And M2 through M4 layers connected to the vias and extending in the Y axis direction. For example, as shown in FIG. 10B, the conductive lines L121, L122 and L123 of the M2 layer may extend in the Y-axis direction and may be arranged on the conductive lines L121 to L123 in the Y- A plurality of spaced vias may be disposed. The conductive lines L131 and L132 of the M3 layer may extend in the X axis direction while the conductive lines L141, L142 and L143 of the M4 layer are electrically connected to the conductive lines L121, L122 and L123 of the M2 layer Axis direction as shown in Fig.

도 10b의 파워 레일(PR100b)은, 도 10a의 파워 레일(PR100a)과 비교할 때, M1 층 및 D1 층의 도전 라인들(L111, L151)을 전기적으로 연결하기 위하여 Y축 방향으로 확장된 구조를 가지는 한편, 신호 라우팅을 위한 공간을 제공할 수 있다. 즉, 도 10a에 도시된 영역들(R11a 내지 R14a)은 M2 층 및 M4 층에 형성된 도전 라인들(L120, L140)에 기인하여 신호를 라우팅하기 위한 패턴을 위하여 사용되는 것이 제한적일 수 있는 한편, 도 10b에 도시된 영역들(R11b 내지 R14b)은 M2 층 및 M4 층의 Y축 방향으로 연장된 도전 라인들(L121 내지 L123, L141 내지 L143)에 기인하여 신호를 라우팅하기 위한 패턴을 위하여 사용될 수 있다. 예를 들면, 도 10b에서 M2 층에서 Y축 방향으로 연장되는 도전 라인들(L121, L122, L123)이 일정한 간격 이상으로 배치되는 경우, 영역들(R11b, R12b)은, 신호 라우팅을 위하여 M2 층에 형성되고 Y축 방향으로 연장되는 패턴들을 위해서 사용될 수 있다.The power rail PR100b of FIG. 10B has a structure extended in the Y axis direction to electrically connect the conductive lines L111 and L151 of the M1 layer and the D1 layer, as compared with the power rail PR100a of FIG. 10A While providing space for signal routing. That is, the regions R11a to R14a shown in FIG. 10A may be limited to be used for the pattern for routing signals due to the conductive lines L120 and L140 formed in the M2 layer and the M4 layer, The regions R11b to R14b shown in Figs. 10A and 10B can be used for a pattern for routing signals due to the conductive lines L121 to L123, L141 to L143 extending in the Y-axis direction of the M2 layer and the M4 layer . For example, in the case where the conductive lines L121, L122 and L123 extending in the Y-axis direction in the M2 layer are arranged at equal intervals or more in FIG. 10B, the regions R11b and R12b are connected to the M2 layer Axis direction and extend in the Y-axis direction.

도 10a 및 도 10b에 도시된 파워 레일들(PR100a, PR100b)은 예시들일 뿐다. 예를 들면, 도 10a 및 도 10b의 파워 레일들(PR100a, PR100b)은 동일한 층에서 X축 방향으로 상호 이격된 3개의 비아들 또는 Y축 방향으로 상호 이격된 3쌍의 비아들을 포함하나, 본 개시의 예시적 실시예들에 따른 파워 레일들은 도 10a 및 도 10b에 도시된 것 보다 적거나 많은 수의 비아들 및/또는 도전 라인들을 포함할 수도 있는 점은 이해될 것이다.The power rails PR100a and PR100b shown in Figs. 10A and 10B are only examples. For example, the power rails PR100a and PR100b in Figs. 10A and 10B include three vias spaced apart from each other in the X-axis direction in the same layer or three pairs of vias spaced from each other in the Y-axis direction, It will be appreciated that the power rails in accordance with the exemplary embodiments of the disclosure may include fewer or greater numbers of vias and / or conductive lines than those shown in Figs. 10A and 10B.

도 11은 본 개시의 예시적 실시예에 따라 복수의 표준 셀들을 포함하는 집적 회로를 제조하는 방법을 나타내는 순서도이다.11 is a flow diagram illustrating a method of fabricating an integrated circuit including a plurality of standard cells in accordance with an exemplary embodiment of the present disclosure;

표준 셀 라이브러리(D50)는 복수의 표준 셀들에 관한 정보, 예컨대 기능 정보, 특성 정보, 레이아웃 정보 등을 포함할 수 있고, 도 7에 도시된 바와 같이, 제1 그룹 정보(D51) 및 제2 그룹 정보(D52)를 포함할 수 있다. 제1 그룹 정보(D51)는 도 5a 및 도 5b를 참조하여 전술된 바와 같이, 인접한 파워 레일들에서 M2 층에 형성된 도전 라인과 중첩된 경계를 가지는 표준 셀들에 관한 정보를 포함할 수 있고, 제2 그룹 정보(D52)는 도 6a 및 도 6b를 참조하여 전술된 바와 같이, 인접한 파워 레일들에서 M2 층에 형성된 도전 라인과 중첩된 경계를 가지는 것이 강제되지 아니하는 표준 셀들에 관한 정보를 포함할 수 있다.The standard cell library D50 may include information on a plurality of standard cells, for example, function information, characteristic information, layout information, and the like. As shown in FIG. 7, the first group information D51 and the second group information D51 Information D52. The first group information D51 may include information on standard cells having a boundary overlapped with a conductive line formed in the M2 layer in adjacent power rails, as described above with reference to Figs. 5A and 5B, The second group information D52 includes information on standard cells that are not forced to have a boundary overlapped with a conductive line formed in the M2 layer in adjacent power rails, as described above with reference to Figs. 6A and 6B .

도 11을 참조하면, 단계 S100에서, RTL 데이터(D10)로부터 네트리스트 데이터(D20)를 생성하는 논리 합성 동작이 수행될 수 있다. 예를 들면, 반도체 설계툴(예컨대, 논리 합성 툴)은 VHDL(VHSIC Hardware Description Language) 및 Verilog와 같은 HDL(Hardware Description Language)로서 작성된 RTL 데이터(D10)로부터 표준 셀 라이브러리(D50)를 참조하여 논리 합성을 수행함으로써, 비트스트림(bitstream) 또는 네트리스트를 포함하는 네트리스트 데이터(D20)를 생성할 수 있다. 전술된 바와 같이, 인접한 파워 레일이 강화됨으로써 IR 드롭이 완화된 표준 셀들에 대한 정보(즉, D51) 및 향상된 라우팅 자유도를 제공하는 표준 셀들에 대한 정보(즉, D52)가 표준 셀 라이브러리(D50)에 포함될 수 있고, 논리 합성 과정에서 그러한 정보를 참조하여 표준 셀들이 집적 회로에 포함될 수 있다.Referring to FIG. 11, in step S100, a logic synthesis operation for generating netlist data D20 from RTL data D10 may be performed. For example, a semiconductor design tool (e.g., a logic synthesis tool) refers to a standard cell library D50 from RTL data D10 written as HDL (Hardware Description Language) such as VHDL (VHSIC Hardware Description Language) It is possible to generate netlist data D20 including a bitstream or a netlist. As described above, information about standard cells (i.e., D52) providing information about standard cells (i.e., D51) and enhanced routing freedom is reduced by standard cell library D50 by strengthening adjacent power rails, And standard cells can be included in the integrated circuit by referring to such information in the logic synthesis process.

단계 S200에서, 네트리스트 데이터(D20)로부터 레이아웃 데이터(D30)를 생성하는 배치 및 라우팅(Place & Routing; P&R) 동작이 수행될 수 있다. 도 11에 도시된 바와 같이, 배치 및 라우팅 단계(S200)는 복수의 단계들(S210, S220, S230)을 포함할 수 있다.In step S200, placement and routing (P & R) operations for generating the layout data D30 from the netlist data D20 may be performed. As shown in FIG. 11, the placement and routing step S200 may include a plurality of steps S210, S220, S230.

단계 S210에서, 표준 셀들을 배치하는 동작이 수행될 수 있다. 예를 들면, 반도체 설계 툴(예컨대, P&R 툴)은 네트리스트 데이터(D20)로부터 표준 셀 라이브러리(D50)를 참조하여 복수의 표준 셀들을 배치할 수 있다. 전술된 바와 같이, 표준 셀들은 미리 정해진 높이를 가질 수 있으므로, 반도체 설계 툴은 미리 정해진 길이로 교차하는 그리드 상에서 표준 셀들을 배치할 수 있다. 파워 레일들은 그리드와 중첩되는 일방향으로 연장될 수 있고, 등간격으로 배치될 수 있다.In step S210, an operation of placing standard cells can be performed. For example, a semiconductor design tool (e.g., a P & R tool) may reference a standard cell library D50 from netlist data D20 to locate a plurality of standard cells. As described above, standard cells can have predetermined heights, so that a semiconductor design tool can place standard cells on a grid that intersects with a predetermined length. The power rails may extend in one direction overlapping the grid, and may be arranged at regular intervals.

단계 S220에서, 상호연결들을 생성하는 동작이 수행될 수 있다. 상호연결은 표준 셀의 출력 핀 및 입력 핀을 전기적으로 연결할 수 있고, 예컨대 적어도 하나의 비아 및 적어도 하나의 도전 패턴을 포함할 수 있다. 상호연결들을 생성함으로써 표준 셀들은 라우팅될 수 있고, 파워 레일들 중 일부 영역에서 M2 층이 라우팅하는데 사용될 수 있다. 또한, 도 7을 참조하여 전술된 바와 같이, 신호의 라우팅이 완료된 후 파워 레일에 포함된 M2 층의 도전 라인들이 연장됨으로써 파워 레일에서의 IR 드롭이 더욱 완화될 수 있다.In step S220, operations to create interconnections may be performed. The interconnections may electrically connect the output pins and input pins of the standard cell and may include, for example, at least one via and at least one conductive pattern. By creating interconnections, standard cells can be routed and the M2 layer can be used to route in some areas of the power rails. Further, as described above with reference to Fig. 7, after the routing of the signal is completed, the conductive lines of the M2 layer included in the power rail are extended, so that the IR drop in the power rail can be further mitigated.

단계 S230에서, 레이아웃 데이터(D30)를 생성하는 동작이 수행될 수 있다. 레이아웃 데이터(D30)는, 예컨대 GDSII와 같은 포맷을 가질 수 있고, 표준 셀들 및 상호연결들의 기하학적 정보를 포함할 수 있다. In step S230, an operation of generating the layout data D30 may be performed. The layout data D30 may have a format such as GDSII, for example, and may include geometric information of standard cells and interconnections.

단계 S300에서, 마스크를 제작(manufacturing)하는 동작이 수행될 수 있다. 예를 들면, 레이아웃 데이터(D30)에 따라 복수의 층들에 형성된 패턴들이 정의될 수 있고, 복수의 층들 각각의 패턴들을 형성하기 위한 적어도 하나의 마스크(또는, 포토마스크)가 제작될 수 있다.In step S300, an operation of manufacturing a mask may be performed. For example, patterns formed in a plurality of layers may be defined according to the layout data D30, and at least one mask (or photomask) for forming patterns of each of the plurality of layers may be fabricated.

단계 S400에서, 집적 회로를 제조(fabricating)하는 동작이 수행될 수 있다. 예를 들면, 단계 S300에서 제작된 적어도 하나의 마스크를 사용하여 복수의 층들이 패터닝됨으로써 집적 회로가 제조될 수 있다. 도 11에 도시된 바와 같이, 단계 S400은 단계들(S410, S420)을 포함할 수 있다.In step S400, an operation of fabricating the integrated circuit may be performed. For example, an integrated circuit can be fabricated by patterning a plurality of layers using at least one mask fabricated in step S300. As shown in FIG. 11, step S400 may include steps S410 and S420.

단계 S410에서, FEOL(front-end-of-line) 공정이 수행될 수 있다. FEOL은 집적 회로 제조 과정에서 개별 소자들, 예컨대 트랜지스터, 캐패시터, 저항 등을 기판에 형성하는 과정을 지칭할 수 있다. 예를 들면, FEOL은 웨이퍼를 평탄화(planarization)하고 세정(cleaning)하는 단계, 트랜치(trench)를 형성하는 단계, 웰(well)을 형성하는 단계, 게이트(gate) 라인을 형성하는 단계, 소스 및 드레인을 형성하는 단계 등을 포함할 수 있다.In step S410, a front-end-of-line (FEOL) process may be performed. FEOL can refer to a process of forming individual elements such as transistors, capacitors, resistors, and the like on a substrate in an integrated circuit manufacturing process. For example, the FEOL may include planarizing and cleaning the wafer, forming a trench, forming a well, forming a gate line, forming a source and a drain, Forming a drain, and the like.

단계 S420에서, BEOL(back-end-of-line) 공정이 수행될 수 있다. BEOL은 집적 회로 제조 과정에서 개별 소자들, 예컨대 트랜지스터, 캐패시터, 저항 등을 상호연결하는 과정을 지칭할 수 있다. 예를 들면, BEOL은 게이트, 소스 및 드레인 영역을 실리사이드화(silicidation)하는 단계, 유전체를 부가하는 단계, 평탄화 단계, 홀을 형성하는 단계, 금속층을 부가하는 단계, 비아를 형성하는 단계, 패시베이션(passivation)층을 형성하는 단계 등을 포함할 수 있다. 그 다음에, 집적 회로는 반도체 패키지에 패키징될 수 있고, 다양한 어플리케이션의 부품으로서 사용될 수 있다. BEOL 공정(S420)에 의해서, 본 개시의 예시적 실시예에 따른 파워 레일들 및 신호를 라우팅하기 위한 패턴들이 형성될 수 있다. In step S420, a back-end-of-line (BEOL) process may be performed. BEOL can refer to the process of interconnecting discrete components, such as transistors, capacitors, resistors, etc., in an integrated circuit fabrication process. For example, the BEOL can be formed by silicidating the gate, source and drain regions, adding a dielectric, planarizing, forming holes, adding metal layers, forming vias, passivation layer may be formed. The integrated circuit may then be packaged in a semiconductor package and used as a component in various applications. By the BEOL process (S420), power rails and patterns for routing signals according to the exemplary embodiment of the present disclosure can be formed.

도 12는 본 개시의 예시적 실시예에 따른 시스템-온-칩(system on chip; SoC)(120)을 나타내는 블록도이다. SoC(120)는 반도체 장치로서, 본 개시의 예시적 실시예에 따른 집적 회로를 포함할 수 있다. SoC(120)는 다양한 기능을 수행하는 IP(intellectual property)와 같은 복잡한 기능 블록들을 하나의 칩에 구현한 것으로서, 본 개시의 예시적 실시예에 따른 표준 셀 및 파워 레일은 SoC(120)의 각 기능 블록들에 포함될 수 있고, 이에 따라 완화된 IR 드롭 및 효율적으로 라우팅된 패턴들에 기인하여 향상된 성능을 가지는 SoC(120)가 달성될 수 있다.12 is a block diagram illustrating a system-on-chip (SoC) 120 in accordance with an exemplary embodiment of the present disclosure. The SoC 120 may be a semiconductor device, including an integrated circuit according to the exemplary embodiment of the present disclosure. The SoC 120 implements complex functional blocks, such as intellectual property (IP), that perform various functions on a single chip, and standard cells and power rails in accordance with the exemplary embodiment Functional blocks, so that the SoC 120 with improved performance due to the relaxed IR drop and efficiently routed patterns can be achieved.

도 12를 참조하면, SoC(120)는 모뎀(122), 디스플레이 컨트롤러(123), 메모리(124), 외부 메모리 컨트롤러(125), CPU(central processing unit)(126), 트랜잭션 유닛(127), PMIC(128) 및 GPU(graphic processing unit)(129)을 포함할 수 있고, SoC(120)의 각 기능 블록들은 시스템 버스(121)를 통해서 서로 통신할 수 있다.12, the SoC 120 includes a modem 122, a display controller 123, a memory 124, an external memory controller 125, a central processing unit (CPU) 126, a transaction unit 127, A PMIC 128 and a graphics processing unit (GPU) 129, and each functional block of the SoC 120 can communicate with one another via the system bus 121. [

SoC(120)의 동작을 전반적으로 제어할 수 있는 CPU(126)는 다른 기능 블록들(122, 123, 124, 125, 127, 128, 129)의 동작을 제어할 수 있다. 모뎀(122)은 SoC(120) 외부로부터 수신되는 신호를 복조(demodulation)하거나, SoC(120) 내부에서 생성된 신호를 변조(modulation)하여 외부로 송신할 수 있다. 외부 메모리 컨트롤러(125)는 SoC(120)에 연결된 외부 메모리 장치로부터 데이터를 송수신하는 동작을 제어할 수 있다. 예를 들면, 외부 메모리 장치에 저장된 프로그램 및/또는 데이터는 외부 메모리 컨트롤러(125)의 제어 하에서 CPU(126) 또는 GPU(129)에 제공될 수 있다. GPU(129)는 그래픽 처리와 관련된 프로그램 명령(instruction)들을 실행할 수 있다. GPU(129)는 외부 메모리 컨트롤러(125)를 통해서 그래픽 데이터를 수신할 수도 있고, GPU(129)에 의해서 처리된 그래픽 데이터를 외부 메모리 컨트롤러(125)를 통해서 SoC(120) 외부로 전송할 수도 있다. 트랜잭션 유닛(127)은 각 기능 블록들의 데이터 트랜잭션을 모니터링할 수 있고, PMIC(128)는 트랜잭션 유닛(127)의 제어에 따라 각 기능 블록으로 공급되는 전력을 제어할 수 있다. 디스플레이 컨트롤러(123)는 SoC(120) 외부의 디스플레이(또는 디스플레이 장치)를 제어함으로써 SoC(120) 내부에서 생성된 데이터를 디스플레이에 전송할 수 있다.The CPU 126 which can control the operation of the SoC 120 can control the operation of the other functional blocks 122, 123, 124, 125, 127, 128, The modem 122 may demodulate a signal received from the outside of the SoC 120 or may modulate a signal generated in the SoC 120 and transmit the modulated signal to the outside. The external memory controller 125 may control the operation of sending and receiving data from the external memory device connected to the SoC 120. [ For example, programs and / or data stored in the external memory device may be provided to the CPU 126 or the GPU 129 under the control of the external memory controller 125. GPU 129 may execute program instructions related to graphics processing. The GPU 129 may receive graphic data through the external memory controller 125 and may transmit graphics data processed by the GPU 129 to the outside of the SoC 120 through the external memory controller 125. The transaction unit 127 may monitor the data transaction of each functional block and the PMIC 128 may control the power supplied to each functional block under the control of the transaction unit 127. [ The display controller 123 can transmit data generated inside the SoC 120 to the display by controlling a display (or a display device) outside the SoC 120. [

메모리(124)는, 비휘발성 메모리로서 EEPROM (non-volatile memory such as a Electrically Erasable Programmable Read-Only Memory), 플래시 메모리(flash memory), PRAM(Phase Change Random Access Memory), RRAM(Resistance Random Access Memory), NFGM (Nano Floating Gate Memory), PoRAM(Polymer Random Access Memory), MRAM (Magnetic Random Access Memory), FRAM(Ferroelectric Random Access Memory) 등을 포함할 수도 있고, 휘발성 메모리로서 DRAM(Dynamic Random Access Memory), SRAM(Static Random Access Memory), 모바일 DRAM, DDR SDRAM(Double Data Rate Synchronous Dynamic Random Access Memory), LPDDR (Low Power DDR) SDRAM, GDDR (Graphic DDR) SDRAM, RDRAM(Rambus Dynamic Random Access Memory) 등을 포함할 수도 있다.The memory 124 may be a nonvolatile memory such as a non-volatile memory such as an electrically erasable programmable read-only memory (EEPROM), a flash memory, a PRAM (Phase Change Random Access Memory), a RRAM ), Nano Floating Gate Memory (NFGM), Polymer Random Access Memory (PoRAM), Magnetic Random Access Memory (MRAM), and Ferroelectric Random Access Memory (FRAM) , A static random access memory (SRAM), a mobile DRAM, a double data rate synchronous dynamic random access memory (DDR SDRAM), a low power DDR SDRAM, a graphic DDR SDRAM, and a Rambus Dynamic Random Access Memory (RDRAM) .

도 13은 본 개시의 예시적 실시예에 따른 프로그램을 저장하는 메모리를 포함하는 컴퓨팅 시스템(130)을 나타내는 블록도이다. 본 개시의 예시적 실시예에 따라 집적 회로를 제조하는 방법(예를 들면, 도 11에 도시된 방법)에 포함되는 단계들 중 적어도 일부는 컴퓨팅 시스템(130)에서 수행될 수 있다.FIG. 13 is a block diagram illustrating a computing system 130 that includes a memory for storing a program in accordance with an exemplary embodiment of the present disclosure. At least some of the steps involved in a method of manufacturing an integrated circuit (e.g., the method illustrated in FIG. 11) according to an exemplary embodiment of the present disclosure may be performed in the computing system 130.

컴퓨팅 시스템(130)은 데스크탑 컴퓨터, 워크스테이션, 서버 등과 같이 고정형 컴퓨팅 시스템일 수도 있고, 랩탑 컴퓨터 등과 같이 휴대형 컴퓨팅 시스템일 수도 있다. 도 13에 도시된 바와 같이, 컴퓨팅 시스템(130)은 프로세서(131), 입출력 장치들(132), 네트워크 인터페이스(133), RAM(random access memory)(134), ROM(read only memory)(135) 및 저장 장치(136)를 포함할 수 있다. 프로세서(131), 입출력 장치들(132), 네트워크 인터페이스(133), RAM(134), ROM(135) 및 저장 장치(136)는 버스(137)에 연결될 수 있고, 버스(137)를 통해서 서로 통신할 수 있다.Computing system 130 may be a fixed computing system, such as a desktop computer, a workstation, a server, or the like, or a portable computing system, such as a laptop computer. 13, the computing system 130 includes a processor 131, input / output devices 132, a network interface 133, a random access memory (RAM) 134, a read only memory (ROM) 135 And a storage device 136. The processor 131, the input / output devices 132, the network interface 133, the RAM 134, the ROM 135 and the storage 136 may be connected to the bus 137, Communication can be performed.

프로세서(131)는 프로세싱 유닛으로 지칭될 수 있고, 예컨대 마이크로프로세서(micro-processor), AP(application processor), DSP(digital signal processor), GPU(graphic processing unit)과 같이 임의의 명령어 세트(예컨대, IA-32(Intel Architecture-32), 64 비트 확장 IA-32, x86-64, PowerPC, Sparc, MIPS, ARM, IA-64 등)를 실행할 수 있는 적어도 하나의 코어를 포함할 수 있다. 예를 들면, 프로세서(131)는 버스(137)를 통해서 메모리, 즉 RAM(134) 또는 ROM(135)에 액세스할 수 있고, RAM(134) 또는 ROM(135)에 저장된 명령어들을 실행할 수 있다. The processor 131 may be referred to as a processing unit and may include any instruction set such as a microprocessor, an application processor (DSP), a digital signal processor (DSP), a graphics processing unit (GPU) IA-32 (Intel Architecture-32), 64-bit extensions IA-32, x86-64, PowerPC, Sparc, MIPS, ARM, IA-64, etc.). For example, processor 131 may access memory, i. E. RAM 134 or ROM 135, via bus 137 and execute instructions stored in RAM 134 or ROM 135. [

RAM(134)은 본 개시의 예시적 실시예에 따른 집적 회로를 제조하기 위한 프로그램(200) 또는 그것의 적어도 일부를 저장할 수 있고, 프로그램(200)은 프로세서(131)로 하여금 집적 회로를 제조하는 방법에 포함되는 단계들 중 적어도 일부를 수행하도록 할 수 있다. 즉, 프로그램(200)은 프로세서(131)에 의해서 실행 가능한 복수의 명령어들을 포함할 수 있고, 프로그램(200)에 포함된 복수의 명령어들은 프로세서(131)로 하여금, 예컨대 도 11의 단계 S100의 논리 합성 동작 및/또는 단계 S200의 P&R(place and routing) 동작을 수행하도록 할 수 있다.The RAM 134 may store a program 200 or at least a portion thereof for manufacturing an integrated circuit according to an exemplary embodiment of the present disclosure and the program 200 may cause the processor 131 to generate an integrated circuit To perform at least some of the steps included in the method. In other words, the program 200 may include a plurality of instructions executable by the processor 131, and the plurality of instructions contained in the program 200 may cause the processor 131 to perform, for example, Synthesis operation and / or place and route (P & R) operation of step S200.

저장 장치(136)는 컴퓨팅 시스템(130)에 공급되는 전력이 차단되더라도 저장된 데이터를 소실하지 아니할 수 있다. 예를 들면, 저장 장치(136)는 비휘발성 메모리 장치를 포함할 수도 있고, 자기 테이프, 광학 디스크, 자기 디스크와 같은 저장 매체를 포함할 수도 있다. 또한, 저장 장치(136)는 컴퓨팅 시스템(130)으로부터 탈착 가능할 수도 있다. 저장 장치(136)는 본 개시의 예시적 실시예에 따른 프로그램(200)을 저장할 수도 있으며, 프로그램(200)이 프로세서(131)에 의해서 실행되기 이전에 저장 장치(136)로부터 프로그램(200) 또는 그것의 적어도 일부가 RAM(134)으로 로딩될 수 있다. 다르게는, 저장 장치(136)는 프로그램 언어로 작성된 파일을 저장할 수 있고, 파일로부터 컴파일러 등에 의해서 생성된 프로그램(200) 또는 그것의 적어도 일부가 RAM(134)으로 로딩될 수 있다. 또한, 도 13에 도시된 바와 같이, 저장 장치(136)는 데이터베이스(251)를 저장할 수 있고, 데이터베이스(251)는 집적 회로를 설계하는데 필요한 정보, 예컨대 도 7의 표준 셀 라이브러리(D50)를 포함할 수 있다.The storage device 136 may not lose stored data even if power supplied to the computing system 130 is interrupted. For example, the storage device 136 may comprise a non-volatile memory device and may include a storage medium such as a magnetic tape, optical disk, or magnetic disk. In addition, the storage device 136 may be removable from the computing system 130. The storage device 136 may store the program 200 in accordance with the illustrative embodiment of the present disclosure and may store the program 200 from the storage device 136 prior to being executed by the processor 131 At least a portion of it may be loaded into the RAM 134. Alternatively, the storage device 136 may store a file written in a programming language, and a program 200 or at least a portion thereof generated by a compiler or the like from a file may be loaded into the RAM 134. [ 13, the storage device 136 may store the database 251 and the database 251 may include information necessary to design the integrated circuit, such as the standard cell library D50 of FIG. 7 can do.

저장 장치(136)는 프로세서(131)에 의해서 처리될 데이터 또는 프로세서(131)에 의해서 처리된 데이터를 저장할 수도 있다. 즉, 프로세서(131)는 프로그램(200)에 따라, 저장 장치(136)에 저장된 데이터를 처리함으로써 데이터를 생성할 수 있고, 생성된 데이터를 저장 장치(136)에 저장할 수도 있다. 예를 들면, 저장 장치(136)는 RTL 데이터(D10), 네트리스트 데이터(D20) 및/또는 레이아웃 데이터(D30)를 저장할 수도 있다.The storage device 136 may store data to be processed by the processor 131 or data processed by the processor 131. [ That is, the processor 131 may generate data by processing the data stored in the storage device 136 according to the program 200, and may store the generated data in the storage device 136. [ For example, the storage device 136 may store RTL data D10, netlist data D20, and / or layout data D30.

입출력 장치들(132)은 키보드, 포인팅 장치 등과 같은 입력 장치를 포함할 수 있고, 디스플레이 장치, 프린터 등과 같은 출력 장치를 포함할 수 있다. 예를 들면, 사용자는 입출력 장치들(132)을 통해서, 프로세서(131)에 의해 프로그램(200)의 실행을 트리거할 수도 있고, 도 11의 RTL 데이터(D10) 및/또는 네트리스트 데이터(D20)를 입력할 수도 있으며, 도 11의 레이아웃 데이터(D30)를 확인할 수도 있다.The input / output devices 132 may include input devices such as a keyboard, pointing device, and the like, and may include output devices such as a display device, a printer, and the like. For example, the user may trigger the execution of the program 200 by the processor 131 via the input / output devices 132, or may execute the RTL data D10 and / or the netlist data D20 of FIG. And the layout data D30 in Fig. 11 can be confirmed.

네트워크 인터페이스(133)는 컴퓨팅 시스템(130) 외부의 네트워크에 대한 액세스를 제공할 수 있다. 예를 들면, 네트워크는 다수의 컴퓨팅 시스템들 및 통신 링크들을 포함할 수 있고, 통신 링크들은 유선 링크들, 광학 링크들, 무선 링크들 또는 임의의 다른 형태의 링크들을 포함할 수 있다.The network interface 133 may provide access to a network external to the computing system 130. For example, a network may include multiple computing systems and communication links, and the communication links may include wired links, optical links, wireless links, or any other type of links.

이상에서와 같이 도면과 명세서에서 예시적인 실시예들이 개시되었다. 본 명세서에서 특정한 용어를 사용하여 실시예들을 설명되었으나, 이는 단지 본 개시의 기술적 사상을 설명하기 위한 목적에서 사용된 것이지 의미 한정이나 특허청구범위에 기재된 본 개시의 범위를 제한하기 위하여 사용된 것은 아니다. 그러므로 본 기술분야의 통상의 지식을 가진 자라면 이로부터 다양한 변형 및 균등한 타 실시예가 가능하다는 점을 이해할 것이다. 따라서, 본 개시의 진정한 기술적 보호범위는 첨부된 특허청구범위의 기술적 사상에 의해 정해져야 할 것이다.As described above, exemplary embodiments have been disclosed in the drawings and specification. Although the embodiments have been described herein with reference to specific terms, it should be understood that they have been used only for the purpose of describing the technical idea of the present disclosure and not for limiting the scope of the present disclosure as defined in the claims . Therefore, those skilled in the art will appreciate that various modifications and equivalent embodiments are possible without departing from the scope of the present invention. Accordingly, the true scope of protection of the present disclosure should be determined by the technical idea of the appended claims.

Claims (20)

복수의 표준 셀들을 포함하는 집적 회로로서,
상기 복수의 표준 셀들에 전력을 공급하기 위하여, 상기 복수의 표준 셀들의 경계 상에서 수직방향으로 상호 이격되어 평행하게 제1 수평 방향으로 연장되고 전기적으로 연결된 제1 및 제2 도전 라인을 포함하는 파워 레일; 및
표준 셀의 입력 신호 또는 출력 신호를 전달하기 위하여, 상기 제1 및 제2 도전 라인 사이를 통과하여 상기 제1 수평 방향과 직교하는 제2 수평 방향으로 연장되는 적어도 하나의 제3 도전 라인을 포함하는 집적 회로.
An integrated circuit comprising a plurality of standard cells,
A power rail including first and second electrically conductive lines extending in a first horizontal direction and spaced apart from each other in a vertical direction on the boundary of the plurality of standard cells to supply power to the plurality of standard cells, ; And
And at least one third conductive line extending between the first and second conductive lines and extending in a second horizontal direction orthogonal to the first horizontal direction for conveying an input signal or an output signal of a standard cell integrated circuit.
청구항 1에 있어서,
상기 파워 레일은, 상기 제1 및 제2 도전 라인과 전기적으로 연결되고 상기 제1 수평 방향으로 연장되고 상기 적어도 하나의 제3 도전 라인과 동일한 도전층에 형성된 적어도 하나의 제4 도전 라인을 더 포함하는 것을 특징으로 하는 집적 회로.
The method according to claim 1,
The power rail further includes at least one fourth conductive line electrically connected to the first and second conductive lines and extending in the first horizontal direction and formed in the same conductive layer as the at least one third conductive line .
청구항 2에 있어서,
상기 파워 레일은,
상기 제1 도전 라인 및 상기 적어도 하나의 제4 도전 라인을 전기적으로 연결하는 적어도 하나의 제1 비아; 및
상기 제2 도전 라인 및 상기 적어도 하나의 제4 도전 라인을 전기적으로 연결하는 적어도 하나의 제2 비아를 더 포함하는 것을 특징으로 하는 집적 회로.
The method of claim 2,
The power rail includes:
At least one first via electrically connecting the first conductive line and the at least one fourth conductive line; And
Further comprising at least one second via electrically connecting said second conductive line and said at least one fourth conductive line.
청구항 3에 있어서,
상기 적어도 하나의 제1 비아 및 상기 적어도 하나의 제2 비아 중 적어도 하나는, 상기 제1 수평 방향으로 연장된 바(bar) 형상을 가지는 것을 특징으로 하는 집적 회로.
The method of claim 3,
Wherein at least one of the at least one first via and the at least one second via has a bar shape extending in the first horizontal direction.
청구항 2에 있어서,
상기 적어도 하나의 제4 도전 라인은, 복수의 제3 도전 라인들 사이에서 상기 복수의 제3 도전 라인들로부터 미리 정해진 거리만큼 이격된 지점까지 상기 제1 수평 방향으로 연장되는 것을 특징으로 하는 집적 회로.
The method of claim 2,
Wherein the at least one fourth conductive line extends in the first horizontal direction from the plurality of third conductive lines to a point spaced a predetermined distance from the plurality of third conductive lines. .
청구항 5에 있어서,
상기 적어도 하나의 제4 도전 라인은, 미리 정해진 길이 이상의 상기 제1 수평 방향의 길이를 가지는 것을 특징으로 하는 집적 회로.
The method of claim 5,
Wherein the at least one fourth conductive line has a length in the first horizontal direction that is longer than a predetermined length.
청구항 2에 있어서,
상기 제4 도전 라인의 폭은, 상기 적어도 하나의 제3 도전 라인의 폭 이상인 것을 특징으로 하는 집적 회로.
The method of claim 2,
Wherein the width of the fourth conductive line is equal to or greater than the width of the at least one third conductive line.
청구항 2에 있어서,
상기 적어도 하나의 제4 도전 라인은, 상기 복수의 표준 셀들 중 동일한 FEOL 구조를 가지는 제1 표준 셀들의 상기 제1 수평 방향의 경계들과 완전히 중첩되는 것을 특징으로 하는 집적 회로.
The method of claim 2,
Wherein the at least one fourth conductive line completely overlaps the first horizontal boundaries of first standard cells having the same FEOL structure among the plurality of standard cells.
청구항 8에 있어서,
상기 제1 표준 셀들은 동일한 기능을 제공하는 다른 표준 셀보다 짧은 전파(propagation) 지연을 가지는 것을 특징으로 하는 집적 회로.
The method of claim 8,
Wherein the first standard cells have a propagation delay shorter than other standard cells providing the same functionality.
청구항 1에 있어서,
상이한 도전층에서 수직방향으로 상호 이격되어 형성되는 제1 및 제2 신호 라인;
상기 제1 및 제2 신호 라인 사이에서 상기 제1 또는 제2 수평 방향으로 상호 이격되어 평행하게 상기 제2 또는 제1 수평 방향으로 연장되는 제4 및 제5 도전 라인;
상기 제4 도전 라인 상에 배치된 적어도 하나의 제1 상위 비아; 및
상기 제5 도전 라인 상에 배치된 적어도 하나의 제2 상위 비아를 더 포함하고,
상기 제1 및 제2 신호 라인은, 상기 제4 및 제5 도전 라인, 상기 적어도 하나의 제1 상위 비아 및 상기 적어도 하나의 제2 상위 비아를 통해서 전기적으로 연결되는 것을 특징으로 하는 집적 회로.
The method according to claim 1,
First and second signal lines formed to be spaced apart from each other in the vertical direction in different conductive layers;
Fourth and fifth conductive lines extending between the first and second signal lines in the second or first horizontal direction so as to be spaced apart from each other in the first or second horizontal direction;
At least one first upper via disposed on the fourth conductive line; And
Further comprising at least one second upper via disposed on the fifth conductive line,
Wherein the first and second signal lines are electrically connected through the fourth and fifth conductive lines, the at least one first upper via, and the at least one second upper via.
청구항 1에 있어서,
상이한 도전층에서 수직방향으로 상호 이격되어 형성되는 제1 및 제2 신호 라인;
상기 제1 및 제2 신호 라인 사이에서 상기 제2 수평 방향으로 상호 이격되어 평행하게 상기 제1 수평 방향으로 연장되는 제4 및 제5 도전 라인;
상기 제4 도전 라인 하에 배치된 적어도 하나의 제1 하위 비아; 및
상기 제5 도전 라인 하에 배치된 적어도 하나의 제2 하위 비아를 더 포함하고,
상기 제1 및 제2 도전 라인은, 상기 제4 및 제5 도전 라인, 상기 적어도 하나의 제1 하위 비아 및 상기 적어도 하나의 제2 하위 비아를 통해서 전기적으로 연결되는 것을 특징으로 하는 집적 회로.
The method according to claim 1,
First and second signal lines formed to be spaced apart from each other in the vertical direction in different conductive layers;
Fourth and fifth conductive lines extending in the first horizontal direction and spaced apart from each other in the second horizontal direction between the first and second signal lines;
At least one first sub-via disposed under the fourth conductive line; And
Further comprising at least one second lower via disposed under the fifth conductive line,
Wherein the first and second conductive lines are electrically connected through the fourth and fifth conductive lines, the at least one first sub-via, and the at least one second sub-via.
청구항 1에 있어서,
상기 파워 레일은, 상기 제1 및 제2 도전 라인과 전기적으로 연결되고 상기 제2 수평 방향으로 연장되고 상기 적어도 하나의 제3 도전 라인과 동일한 도전층에 형성된, 적어도 2개의 제4 도전 라인들을 더 포함하고,
상기 적어도 하나의 제3 도전 라인은 상기 적어도 2개의 제4 도전 라인 사이에 배치된 것을 포함하는 것을 특징으로 하는 집적 회로.
The method according to claim 1,
Wherein the power rail comprises at least two fourth conductive lines electrically connected to the first and second conductive lines and extending in the second horizontal direction and formed in the same conductive layer as the at least one third conductive line Including,
Wherein the at least one third conductive line comprises a conductive material disposed between the at least two fourth conductive lines.
청구항 1에 있어서,
상기 복수의 표준 셀들 각각은,
상기 제1 수평 방향으로 연장되는 적어도 하나의 활성 영역; 및
상기 제2 수평 방향으로 연장되는 적어도 하나의 게이트 라인을 포함하는 것을 특징으로 하는 집적 회로.
The method according to claim 1,
Wherein each of the plurality of standard cells comprises:
At least one active region extending in the first horizontal direction; And
And at least one gate line extending in the second horizontal direction.
청구항 1에 있어서,
상기 제1 및 제2 도전 라인은, 상기 집적 회로의 양의 공급 전압 또는 음의 공급 전압이 인가되는 것을 특징으로 하는 집적 회로.
The method according to claim 1,
Wherein the first and second conductive lines are applied with a positive supply voltage or a negative supply voltage of the integrated circuit.
제1 수평 방향으로 정렬되어 배치되고, 상기 제1 수평 방향과 직교하는 제2 수평 방향으로 동일한 길이를 가지는 제1 및 제2 표준 셀;
상기 제1 및 제2 표준 셀에 전력을 공급하기 위하여, 상기 제1 및 제2 표준 셀의 경계 상에서 수직방향으로 상호 이격되어 평행하게 상기 제1 수평 방향으로 연장되고 전기적으로 연결된 제1 및 제2 도전 라인을 포함하는 파워 레일; 및
상기 제1 표준 셀의 입력 신호 또는 출력 신호를 전달하기 위하여, 상기 제1 표준 셀로부터 상기 제1 및 제2 도전 라인 사이를 통과하여 상기 제2 수평 방향으로 연장되는 적어도 하나의 제3 도전 라인을 포함하고,
상기 파워 레일은, 상기 제2 표준 셀의 경계 상에서 상기 제1 수평 방향으로 연장되고 상기 제1 및 제2 도전 라인과 전기적으로 연결되고 상기 제3 도전 라인과 동일한 도전층에 형성된 제4 도전 라인을 더 포함하는 것을 특징으로 하는 집적 회로.
First and second standard cells arranged in a first horizontal direction and having the same length in a second horizontal direction orthogonal to the first horizontal direction;
The first and second standard cells being electrically connected to each other in a first horizontal direction and spaced apart from each other in a vertical direction on a boundary of the first and second standard cells in order to supply power to the first and second standard cells, A power rail including a conductive line; And
At least one third conductive line extending between the first and second standard cells and extending in the second horizontal direction to transmit an input signal or an output signal of the first standard cell, Including,
The power rail includes a fourth conductive line extending in the first horizontal direction on the boundary of the second standard cell and electrically connected to the first and second conductive lines and formed in the same conductive layer as the third conductive line ≪ / RTI >
청구항 15에서,
상기 제4 도전 라인은, 상기 적어도 하나의 제3 도전 라인으로부터 미리 정해진 거리만큼 이격된 지점까지 상기 제1 표준 셀의 경계에서 상기 제1 수평 방향으로 연장되는 것을 특징으로 하는 집적 회로.
In claim 15,
Wherein the fourth conductive line extends in the first horizontal direction at a boundary of the first standard cell from a point spaced a predetermined distance from the at least one third conductive line.
청구항 15에서,
상기 파워 레일은,
상기 제1 및 제4 도전 라인을 전기적으로 연결하는 적어도 하나의 제1 비아; 및
상기 제2 및 제4 도전 라인을 전기적으로 연결하는 적어도 하나의 제2 비아를 포함하는 것을 특징으로 하는 집적 회로.
In claim 15,
The power rail includes:
At least one first via electrically connecting the first and fourth conductive lines; And
And at least one second via for electrically connecting said second and fourth conductive lines.
복수의 표준 셀들을 포함하는 집적 회로로서,
상기 복수의 표준 셀들에 전력을 공급하기 위하여, 상기 복수의 표준 셀들의 경계 상에서 복수의 도전층들을 사용하여 형성되고 제1 수평 방향으로 연장되는 파워 레일; 및
표준 셀의 입력 신호 또는 출력 신호를 전달하기 위하여, 상기 제1 수평 방향과 직교하는 제2 수평 방향으로 상기 파워 레일을 통과하고 상기 복수의 도전층들 중 하나의 도전층에 형성된 적어도 하나의 신호 라인을 포함하고,
상기 파워 레일은, 상기 적어도 하나의 신호 라인이 형성된 도전층에 형성되고 상기 적어도 하나의 신호 라인과 절연된 상기 제1 수평 방향으로 연장되는 도전 라인을 포함하는 것을 특징으로 하는 집적 회로.
An integrated circuit comprising a plurality of standard cells,
A power rail formed using a plurality of conductive layers on a boundary of the plurality of standard cells and extending in a first horizontal direction to supply power to the plurality of standard cells; And
At least one signal line passing through the power rail in a second horizontal direction orthogonal to the first horizontal direction and formed in one of the plurality of conductive layers, for transmitting an input signal or an output signal of a standard cell, / RTI >
Wherein the power rail comprises a first horizontal extending conductive line formed in the conductive layer on which the at least one signal line is formed and insulated from the at least one signal line.
청구항 18에 있어서,
상기 파워 레일은, 상기 복수의 도전층들 중 상이한 2개의 도전층들에 각각 형성되고 상기 제1 수평 방향으로 상호 평행하게 연장되는 제1 및 제2 도전 라인을 더 포함하고,
상기 적어도 하나의 신호 라인은, 상기 2개의 도전층들 사이 도전층에 형성된 것을 특징으로 하는 집적 회로.
19. The method of claim 18,
Wherein the power rail further comprises first and second conductive lines each formed in two different ones of the plurality of conductive layers and extending parallel to each other in the first horizontal direction,
Wherein the at least one signal line is formed in the conductive layer between the two conductive layers.
청구항 18에 있어서,
상기 파워 레일은,
상기 복수의 도전층들에 형성되고 상기 제1 수평 방향으로 상호 평행하게 연장되는 복수의 도전 라인들; 및
상기 복수의 도전 라인들을 전기적으로 연결하는 비아들을 더 포함하고,
상기 비아들 중 적어도 하나는, 상기 제1 수평 방향으로 연장된 바(bar) 형상을 가지는 것을 특징으로 하는 집적 회로.
19. The method of claim 18,
The power rail includes:
A plurality of conductive lines formed in the plurality of conductive layers and extending parallel to each other in the first horizontal direction; And
Further comprising vias electrically connecting the plurality of conductive lines,
Wherein at least one of the vias has a bar shape extending in the first horizontal direction.
KR1020170113950A 2017-04-11 2017-09-06 Standard cell and integrated circuit including the same KR102475281B1 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
US15/946,075 US10811357B2 (en) 2017-04-11 2018-04-05 Standard cell and an integrated circuit including the same
TW107112412A TWI799410B (en) 2017-04-11 2018-04-11 Integrated circuit
CN201810319727.4A CN108695315B (en) 2017-04-11 2018-04-11 Standard cell and integrated circuit comprising same
US17/037,569 US20210013149A1 (en) 2017-04-11 2020-09-29 Standard cell and an integrated circuit including the same

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR1020170046929 2017-04-11
KR20170046929 2017-04-11

Publications (2)

Publication Number Publication Date
KR20180114812A true KR20180114812A (en) 2018-10-19
KR102475281B1 KR102475281B1 (en) 2022-12-08

Family

ID=64101927

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020170113950A KR102475281B1 (en) 2017-04-11 2017-09-06 Standard cell and integrated circuit including the same

Country Status (2)

Country Link
KR (1) KR102475281B1 (en)
TW (1) TWI799410B (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102157355B1 (en) * 2019-04-23 2020-09-18 삼성전자 주식회사 Integrated circuit including standard cells, method and computing system for fabricating the same

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111244064A (en) * 2020-01-19 2020-06-05 比特大陆科技有限公司 Semiconductor chip, semiconductor device, and data processing apparatus

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5933725A (en) * 1998-05-27 1999-08-03 Vanguard International Semiconductor Corporation Word line resistance reduction method and design for high density memory with relaxed metal pitch
JP2004158532A (en) * 2002-11-05 2004-06-03 Ricoh Co Ltd Layout generating tool and semiconductor integrated circuit
US20080023792A1 (en) * 2006-07-28 2008-01-31 Mediatek Inc. Filler capacitor with a multiple cell height
KR20120127252A (en) * 2011-05-13 2012-11-21 에이알엠 리미티드 Integrated circuit, method of generating a layout of an integrated circuit using standard cells, and a standard cell library providing such standard cells
US20140252650A1 (en) * 2013-03-08 2014-09-11 Kabushiki Kaisha Toshiba Semiconductor integrated circuit
KR20150068910A (en) * 2013-12-12 2015-06-22 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Method and layout of an integrated circuit
US20150214154A1 (en) * 2014-01-24 2015-07-30 Renesas Electronics Corporation Semiconductor Device and IO-Cell
KR20160023542A (en) * 2014-08-22 2016-03-03 삼성전자주식회사 Method of designing layout of integrated circuit and method of manufacturing the integrated circuit

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5933725A (en) * 1998-05-27 1999-08-03 Vanguard International Semiconductor Corporation Word line resistance reduction method and design for high density memory with relaxed metal pitch
JP2004158532A (en) * 2002-11-05 2004-06-03 Ricoh Co Ltd Layout generating tool and semiconductor integrated circuit
US20080023792A1 (en) * 2006-07-28 2008-01-31 Mediatek Inc. Filler capacitor with a multiple cell height
KR20120127252A (en) * 2011-05-13 2012-11-21 에이알엠 리미티드 Integrated circuit, method of generating a layout of an integrated circuit using standard cells, and a standard cell library providing such standard cells
US20140252650A1 (en) * 2013-03-08 2014-09-11 Kabushiki Kaisha Toshiba Semiconductor integrated circuit
KR20150068910A (en) * 2013-12-12 2015-06-22 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Method and layout of an integrated circuit
US20150214154A1 (en) * 2014-01-24 2015-07-30 Renesas Electronics Corporation Semiconductor Device and IO-Cell
KR20160023542A (en) * 2014-08-22 2016-03-03 삼성전자주식회사 Method of designing layout of integrated circuit and method of manufacturing the integrated circuit

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102157355B1 (en) * 2019-04-23 2020-09-18 삼성전자 주식회사 Integrated circuit including standard cells, method and computing system for fabricating the same
US11580288B2 (en) 2019-04-23 2023-02-14 Samsung Electronics Co., Ltd. Integrated circuit including standard cells, method of manufacturing the integrated circuit, and computing system for performing the method

Also Published As

Publication number Publication date
TWI799410B (en) 2023-04-21
TW201842599A (en) 2018-12-01
KR102475281B1 (en) 2022-12-08

Similar Documents

Publication Publication Date Title
CN108695315B (en) Standard cell and integrated circuit comprising same
US11121155B2 (en) Integrated circuit including multiple height cell and method of fabricating the integrated circuit
US10957683B2 (en) Integrated circuit including multi-height standard cell and method of designing the same
JP7071195B2 (en) Integrated circuits with dissimilar contacts and semiconductor devices containing them
US11189639B2 (en) Integrated circuit including interconnection and method of fabricating the same, the interconnection including a pattern shaped for mitigating electromigration
CN110838484A (en) Integrated circuit comprising standard cells
US10340263B2 (en) Integrated circuit for reducing ohmic drop in power rails
US10964639B2 (en) Integrated circuits including via array and methods of manufacturing the same
CN110828449A (en) Integrated circuit comprising standard cells and method for manufacturing an integrated circuit
US20230186010A1 (en) Integrated circuit including standard cells, method of manufacturing the integrated circuit, and computing system for performing the method
US11755809B2 (en) Integrated circuit including asymmetric power line and method of designing the same
KR102475281B1 (en) Standard cell and integrated circuit including the same
US10790273B2 (en) Integrated circuits including standard cells and method of manufacturing the integrated circuits
KR102370619B1 (en) Integrated circuit including interconnection for mitigating electromigration and method for fabricating the same
KR102636096B1 (en) Integrated circuit including via array and method for manufacturing the same
US20220189945A1 (en) Integrated circuit including connection line
US20220253283A1 (en) Adder cell and integrated circuit including the same
KR20230034781A (en) Integrated circuit including power gating circuit
KR102372891B1 (en) Integrated circuit including standard cells overlapping each other and method for generating layout thereof
KR102440365B1 (en) Integrated circuit including standard cell and method for manufacturing the same
US20220262785A1 (en) Integrated circuit including signal line and power line and method of designing the same
CN109904151B (en) Integrated circuit comprising standard cells and method for manufacturing such an integrated circuit
US20240169137A1 (en) Integrated circuit including standard cells and method of designing the same
CN117594590A (en) Multi-threshold integrated circuit and design method thereof
KR20200028815A (en) Super power gating cell and integrated circuit including the same

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right