TW201827983A - 半導體裝置以及其操作方法 - Google Patents

半導體裝置以及其操作方法 Download PDF

Info

Publication number
TW201827983A
TW201827983A TW106124804A TW106124804A TW201827983A TW 201827983 A TW201827983 A TW 201827983A TW 106124804 A TW106124804 A TW 106124804A TW 106124804 A TW106124804 A TW 106124804A TW 201827983 A TW201827983 A TW 201827983A
Authority
TW
Taiwan
Prior art keywords
circuit
power
clock
semiconductor device
power gating
Prior art date
Application number
TW106124804A
Other languages
English (en)
Other versions
TWI740987B (zh
Inventor
金相佑
權錫南
宋陳煜
Original Assignee
三星電子股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US15/414,787 external-priority patent/US9985610B2/en
Application filed by 三星電子股份有限公司 filed Critical 三星電子股份有限公司
Publication of TW201827983A publication Critical patent/TW201827983A/zh
Application granted granted Critical
Publication of TWI740987B publication Critical patent/TWI740987B/zh

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/32Means for saving power
    • G06F1/3203Power management, i.e. event-based initiation of a power-saving mode
    • G06F1/3234Power saving characterised by the action undertaken
    • G06F1/3237Power saving characterised by the action undertaken by disabling clock generation or distribution
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/04Generating or distributing clock signals or signals derived directly therefrom
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/24Resetting means
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/32Means for saving power
    • G06F1/3203Power management, i.e. event-based initiation of a power-saving mode
    • G06F1/3206Monitoring of events, devices or parameters that trigger a change in power modality
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/32Means for saving power
    • G06F1/3203Power management, i.e. event-based initiation of a power-saving mode
    • G06F1/3234Power saving characterised by the action undertaken
    • G06F1/3243Power saving in microcontroller unit
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/32Means for saving power
    • G06F1/3203Power management, i.e. event-based initiation of a power-saving mode
    • G06F1/3234Power saving characterised by the action undertaken
    • G06F1/3287Power saving characterised by the action undertaken by switching off individual functional units in the computer system
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/32Means for saving power
    • G06F1/3203Power management, i.e. event-based initiation of a power-saving mode
    • G06F1/3234Power saving characterised by the action undertaken
    • G06F1/3296Power saving characterised by the action undertaken by lowering the supply or operating voltage
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K3/00Circuits for generating electric pulses; Monostable, bistable or multistable circuits
    • H03K3/02Generators characterised by the type of circuit or by the means used for producing pulses
    • H03K3/353Generators characterised by the type of circuit or by the means used for producing pulses by the use, as active elements, of field-effect transistors with internal or external positive feedback
    • H03K3/356Bistable circuits
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K3/00Circuits for generating electric pulses; Monostable, bistable or multistable circuits
    • H03K3/02Generators characterised by the type of circuit or by the means used for producing pulses
    • H03K3/353Generators characterised by the type of circuit or by the means used for producing pulses by the use, as active elements, of field-effect transistors with internal or external positive feedback
    • H03K3/356Bistable circuits
    • H03K3/356008Bistable circuits ensuring a predetermined initial state when the supply voltage has been applied; storing the actual state when the supply voltage fails
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02DCLIMATE CHANGE MITIGATION TECHNOLOGIES IN INFORMATION AND COMMUNICATION TECHNOLOGIES [ICT], I.E. INFORMATION AND COMMUNICATION TECHNOLOGIES AIMING AT THE REDUCTION OF THEIR OWN ENERGY USE
    • Y02D10/00Energy efficient computing, e.g. low power processors, power management or thermal management

Landscapes

  • Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Computing Systems (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

一種半導體裝置包含:電力閘控電路,包含同步重設正反器;保持電路,包含保持正反器;時脈管理電路,經組態以將操作時脈提供至電力閘控電路及保持電路;以及電力管理電路,經組態以將電力閘控控制信號傳輸至電力閘控電路、保持電路及時脈管理電路。電力閘控電路經啟動以發出進入功率縮減模式的信號。保持電路保持半導體裝置的狀態。在自功率縮減模式退出後,電力管理電路就經組態以在向保持電路發出取消保持狀態且復原半導體裝置的狀態的信號之前完成電力閘控電路的重設操作。

Description

半導體裝置以及其操作方法
本發明概念的例示性實施例是關於一種半導體裝置以及其操作方法。
半導體積體電路的整合度正逐漸增大,而半導體積體電路的大小正減小。通常,隨著半導體積體電路的整合度增大,供應至半導體積體電路的電力供應電壓的量值減小。因此,半導體積體電路需要相對低的電力進行操作。然而,電力供應電壓的量值減小會縮減半導體積體電路中的電晶體的操作速度且因此限制總體操作效能。
動態臨限電壓技術可與以下各者一起使用:半導體積體電路中的核心電路,其互補金屬氧化物半導體(complementary metal oxide semiconductor;CMOS)電晶體具有低臨限電壓;以及開關電路,例如,電力閘控電路(power gating circuit),位於核心電路與電力供應電壓之間及/或位於核心電路與接地電壓之間,其互補金屬氧化物半導體電晶體具有高臨限電壓。動態臨限電壓技術可增大操作速度且縮減半導體積體電路的漏電流。換言之,在電力閘控模式中,可藉由關斷具有高臨限電壓的電力閘控電路的互補金屬氧化物半導體電晶體來縮減漏電流。在主動模式中,可藉由將半導體電路操作為取決於具有低臨限電壓的核心電路的互補金屬氧化物半導體電晶體來確保半導體積體電路的高速操作。
根據本發明概念的例示性實施例,一種半導體裝置包含:電力閘控電路,包含同步重設正反器(synchronous reset flip-flop);保持電路(retention circuit),包含保持正反器(retention flip-flop);時脈管理電路(clock management circuit),經組態以將操作時脈提供至電力閘控電路及保持電路;以及電力管理電路(power management circuit),經組態以將電力閘控控制信號傳輸至電力閘控電路、保持電路及時脈管理電路。電力閘控電路經啟動以發出進入功率縮減模式(power reduction mode)的信號。保持電路保持半導體裝置的狀態。在自功率縮減模式退出後,電力管理電路就經組態以在向保持電路發出取消保持狀態且復原半導體裝置的狀態的信號之前完成電力閘控電路的重設操作。
根據本發明概念的例示性實施例,一種半導體裝置包含:第一電力控制區塊(power control block),包含第一電力閘控電路、第一保持電路及第一時脈管理電路;第二電力控制區塊,包含第二電力閘控電路、第二保持電路及第二時脈管理電路;以及第三電力控制區塊,包含第三時脈管理電路。第一電力控制區塊與第二電力控制區塊在從屬層級(slave level)處彼此並聯地連接。第三電力控制區塊在主控層級(master level)處連接至第一電力控制區塊及第二電力控制區塊。在用於第一電力控制區塊的電力閘控操作結束之後,在第一電力閘控電路的重設操作之後運行第一時脈管理電路的正常操作。
根據本發明概念的例示性實施例,一種操作半導體裝置的方法包含:在終止電力閘控操作之後重設電力閘控電路;在重設電力閘控電路之後取消保持電路的保持狀態;以及藉由在保持電路的保持狀態的取消之後取消對時脈管理電路的輸入的保護來維持時脈管理電路的正常操作。
根據本發明概念的例示性實施例,在一種操作包含電力控制區塊的半導體裝置的方法中,電力控制區塊包含時脈管理電路、電力閘控電路及保持電路,所述方法包含:啟用外部關閉信號以將時脈管理電路與外部輸入隔離;啟用關閉信號以在啟用外部關閉信號之後關閉電力控制區塊的輸出;以及啟用第一重設信號以在啟用關閉信號之後初始化電力閘控電路的狀態。所述方法更包含在啟用第一重設信號之後進行以下操作:進入功率縮減模式,其中電力閘控操作及保持操作分別是由電力閘控電路及保持電路運行;或進入外部電力關閉模式。
下文中將參考隨附圖式來更全面地描述本發明概念的例示性實施例。貫穿本申請案,類似圖式元件符號可指代類似元件。
在本發明概念的例示性實施例以其他方式可行的狀況下,特定區塊中指定的功能或操作可以與本文中所描述的順序不同的順序發生。舉例而言,取決於相關功能或操作,兩個連續區塊的操作可實質上同時運行或可以反向順序運行。
本發明概念的例示性實施例提供一種半導體裝置,所述半導體裝置可使用電力閘控電路及保持電路來有效地控制具有各種功率狀態的電路,且可在終止電力閘控操作之後運行正常操作時防止所述電路發生故障。
本發明概念的例示性實施例亦提供一種操作上述半導體裝置的方法。
根據本發明概念的例示性實施例,提供一種電力閘控方法或操作以用於在半導體裝置停止操作時縮減備用功率(standby power)以縮減半導體裝置所消耗的功率,且提供一種保持方法或操作以用於儲存半導體裝置的狀態且當半導體裝置在終止電力閘控操作之後運行正常操作時復原所述狀態。此外,當停止半導體裝置的操作時,關閉自外部電力裝置供應的電力以縮減備用功率。
詳言之,根據本發明概念的例示性實施例,可實施具有各種功率狀態的電路,且可藉由縮減保持電路的大小對電力閘控電路的大小的比例來縮減半導體裝置的面積,其中與電力閘控電路相比較,保持電路具有相對較大的面積。
另外,當電路在自外部電力裝置供應的電力關閉之後返回至正常操作模式時,可初始化整個電路以確保電路的正常操作。
此外,可在電力閘控操作期間將電路的正常操作狀態儲存於保持電路的儲存空間中。接著,當保持信號被撤銷啟動時,可復原電路的儲存於保持電路的儲存空間中的正常操作狀態。此可在電力閘控操作之後確保電路的正常操作。
在電力閘控操作期間,電力閘控電路的內部狀態變為未知狀態。因此,當電力閘控電路返回至正常操作模式時需要初始化操作。然而,提供操作時脈的時脈管理單元不能在保持操作期間產生操作時脈。換言之,時脈管理單元可僅在保持操作被取消之後才產生操作時脈且將操作時脈供應至電力閘控電路。另外,電力閘控電路的內部電路可僅在自時脈管理單元接收到操作時脈之後才操作。
在將操作時脈提供至電力閘控電路之前,電力閘控電路的同步重設正反器的輸出處於未知狀態。若此輸出被輸入至保持電路,則保持電路可發生故障。為了防止此情形,所有電路可被實施為保持電路,或電力閘控電路可僅由異步重設正反器構成。然而,此可增大半導體裝置的面積。
根據本發明概念的例示性實施例,時脈管理單元與保持電路隔離且相應地受到控制。另外,時脈管理單元在保持電路或電力閘控電路之前運行正常操作。在時脈管理單元正運行正常操作時,保持電路仍尚未返回至正常操作模式,且因此,異常輸入可被提供至時脈管理單元。因此,可隔離或保護時脈管理單元的輸入直至電力閘控電路的同步重設正反器的輸出被初始化為正常操作模式為止。因此,可確保時脈管理單元的正常操作。
圖1是根據本發明概念的例示性實施例的半導體裝置的方塊圖。
參看圖1,根據本發明概念的例示性實施例的半導體裝置包含電力控制區塊100及電力管理單元200。
電力控制區塊100可包含時脈管理單元110、保持單元120及電力閘控單元130。
時脈管理單元110可運行保持操作。時脈管理單元110可自電力管理單元200接收保持控制信號CMU_RETENTION。然而,本發明概念並不限於此情形,且時脈管理單元110亦可被實施為無保持功能的時脈管理單元。
為了運行電力閘控操作,時脈管理單元110可自電力管理單元200接收電力閘控控制信號PG。
時脈管理單元110可自電力管理單元200接收重設信號CMU_RESET以在來自外部電力裝置的電力關閉之後或在電力閘控操作之後初始化電力管理單元200的內部狀態。
為了防止時脈管理單元110歸因於來自外部源時脈的異常輸入而發生故障,時脈管理單元110可自電力管理單元200接收外部關閉信號SEPARATE_CMU。
時脈管理單元110可包含時脈多工器(multiplexer;mux)單元111、時脈劃分單元(clock dividing unit)112、劃分電路狀態機(dividing circuit state machine)113、時脈停止狀態機(clock stop state machine)114、時脈閘控單元(clock gating unit)115及時脈閘控狀態機(clock gating state machine)116。
時脈多工器單元111可包含控制電路及時脈多工器電路。時脈多工器單元111的控制電路可以依序行為進行操作。時脈多工器單元111的控制電路可接通/關斷時脈,且可產生第一時脈請求信號以在時脈關斷時改變對時脈多工器單元(111)的選擇。另外,時脈多工器單元111的控制電路可將第一時脈請求信號傳輸至正由時脈多工器電路使用的時脈組件。
時脈劃分單元112可包含控制電路及時脈劃分電路。時脈劃分單元112的控制電路可以依序行為進行操作。時脈劃分單元112的控制電路可接通/關斷時脈,且可產生第二時脈請求信號以在時脈關斷時改變時脈劃分電路的時脈劃分比。
劃分電路狀態機113可控制時脈劃分單元112的狀態。
時脈停止狀態機114可控制時脈停止狀態,且可藉由自電力管理單元200接收時脈停止控制信號CLKSTOP而操作。
時脈閘控單元115可將操作時脈提供至保持單元120及電力閘控單元130,且可運行時脈閘控操作。時脈閘控操作將電腦系統劃分成小功能區塊且防止未使用部件的動態電流消耗。當使用電腦時,並非始終操作電腦系統的所有部件。因此,經由時脈閘控操作,可停止電腦系統的未使用部件中的區塊以降低功率消耗且縮減由此等區塊產生的熱。
時脈閘控狀態機116可控制時脈閘控狀態且控制時脈閘控單元115以產生操作時脈或根據時脈閘控狀態來運行時脈閘控操作。
保持單元120可包含保持正反器121。
保持單元120可運行保持操作。保持單元120可自電力管理單元200接收保持控制信號LOGIC_RETENTION。
保持單元120可自電力管理單元200接收電力閘控控制信號PG以在保持狀態被維持時運行電力閘控操作。
保持單元120可自電力管理單元200接收重設信號SLEEP_RESET以在來自外部電力裝置的電力關閉之後初始化電力管理單元200的內部狀態。
保持單元120可自時脈管理單元110接收操作時脈。
電力閘控單元130可包含正反器131及正反器132。舉例而言,電力閘控單元130可被實施有同步重設正反器。
電力閘控單元130可自電力管理單元200接收電力閘控控制信號PG以運行電力閘控操作。
電力閘控單元130可自電力管理單元200接收重設信號LOGIC_RESET以在來自外部電力裝置的電力關閉之後或在電力閘控操作之後初始化電力管理單元200的內部狀態。
電力閘控單元130可自時脈管理單元110接收操作時脈。
另外,可提供電力閘控單元130的輸出以作為至保持單元120或時脈管理單元110的輸入。
同樣地,可提供保持單元120的輸出以作為至電力閘控單元130或時脈管理單元110的輸入。
下文將參考圖2A、圖2B、圖3A及圖3B來描述時脈管理單元110、保持單元120及電力閘控單元130的特定操作。
圖2A及圖2B是根據本發明概念的例示性實施例的分別說明在功率縮減模式中的圖1的保持單元的保持操作及圖1的電力閘控單元的電力閘控操作的時序圖及流程圖。
參看圖2A及圖2B,週期e 是運行或執行電力閘控操作(操作S227)的週期。在電力閘控操作執行週期(週期e )期間,時脈管理單元110處於保持狀態。在電力閘控操作執行週期中,用於時脈管理單元110的保持控制信號CMU_RETENTION處於低狀態。在此實例中,外部電力未關閉,且跳過用於外部電力關閉的停用操作(操作S229)。在電力閘控操作結束(操作S231)之後,保持控制信號CMU_RETENTION轉變至高狀態。因此,取消時脈管理單元110的保持狀態(操作S235)。在此實例中,跳過用於重設信號CMU_RESET的停用操作(操作S233)。
停用用於時脈管理單元110的關閉信號CMU_ISO(操作S239)。
將振盪器時脈OSCCLK提供至時脈管理單元110,且停用時脈停止控制信號CLKSTOP以恢復已停止的操作時脈(例如,時脈管理單元的功能時脈)。因此,時脈管理單元110將操作時脈提供至保持單元120及電力閘控單元130。此時,初始化電力閘控單元130的正反器。
停止操作時脈以停用用於電力控制區塊100的關閉信號LOGIC_ISO(操作S241)。時脈停止控制信號CLKSTOP轉變回至高狀態。
在停用關閉信號LOGIC_ISO之後,再次開始操作時脈。此時,已完成電力閘控單元130的初始化操作,且已停用用於電力閘控單元130的重設信號LOGIC_RESET(操作S237)。可在較早時間(例如,在操作S239之前)停用重設信號LOGIC_RESET。
再次停止操作時脈以取消保持單元120的保持操作,且停用用於保持單元120的保持控制信號LOGIC_RETENTION(操作S243)。接著,停用時脈停止控制信號CLKSTOP(操作S245),使得再次開始操作時脈。
停用鎖相迴路(phase locked loop;PLL)停用信號DISABLE_PLL(操作S247),使得再次操作鎖相迴路(PLL)(例如,如由PLL FOUT所示),停用經提供以保護時脈管理單元110的外部關閉信號SEPARATE_CMU(操作S249),且停用時脈閘控信號FORCE_AUTOCLKGATE(操作S251)以運行正常操作(操作S201)。
參看圖2A及圖2B,當起始電力閘控操作時,啟用時脈閘控信號FORCE_AUTOCLKGATE(操作S203),啟用經提供以保護時脈管理單元110的外部關閉信號SEPARATE_CMU(操作S205),且啟用鎖相迴路停用信號DISABLE_PLL以停止鎖相迴路的操作(操作S207)。
啟用時脈停止控制信號CLKSTOP以停止時脈管理單元110的輸出(操作S209),且啟用保持控制信號LOGIC_RETENTION以將保持單元120的狀態改變為保持狀態(操作S211)。
啟用用於電力控制區塊100的關閉信號LOGIC_ISO以關閉電力控制區塊100的輸出(操作S213)。
啟用用於時脈管理單元110的關閉信號CMU_ISO以關閉時脈管理單元110的輸出(操作S215)。
啟用用於電力閘控單元130的重設信號LOGIC_RESET以初始化電力閘控單元130的內部狀態(操作S217)。
啟用用於時脈管理單元110的保持控制信號CMU_RETENTION,使得時脈管理單元110可運行保持操作(操作S219)。跳過重設信號CMU_RESET的啟用操作(操作S221)。啟用電力閘控操作(操作S223)。在此實例中,外部電力接通,且因此,跳過用於外部電力關閉的啟用操作(操作S225)。因此,運行電力閘控操作(操作S227)。
圖3A及圖3B是根據本發明概念的例示性實施例的分別說明在外部電力關閉時的圖1的保持單元的保持操作及圖1的電力閘控單元的電力閘控操作的時序圖及流程圖。
參看圖3A及圖3B,週期e 是關閉外部電力(操作S327)的週期(例如,如由電力供應電壓VDD所說明)。當停用外部電力關閉(操作S329)時,停用用於時脈管理單元110的重設信號CMU_RESET(操作S333)。
在此實例中,跳過用於電力閘控操作的停用操作(操作S331)。
另外,跳過用於保持控制信號CMU_RETENTION的停用操作(操作S335)。
停用用於時脈管理單元110的關閉信號CMU_ISO(操作S339)。
將振盪器時脈OSCCLK提供至時脈管理單元110,且停用時脈停止控制信號CLKSTOP以恢復已停止的操作時脈。因此,時脈管理單元110將操作時脈提供至保持單元120及電力閘控單元130。此時,初始化保持單元120 及電力閘控單元130的正反器。歸因於外部電力關閉,保持單元120的正反器具有未知值。然而,可由重設信號SLEEP_RESET初始化正反器的未知值。時脈停止控制信號CLKSTOP轉變回至高狀態,使得停止操作時脈以停用用於電力控制區塊100的關閉信號LOGIC_ISO(操作S341)。
在停用用於電力控制區塊100的關閉信號LOGIC_ISO之後,再次開始操作時脈。此時,已完成電力閘控單元130的初始化操作,且已停用用於電力閘控單元130的重設信號LOGIC_RESET(操作S337)。可在較早時間(例如,在操作S339之前)停用重設信號LOGIC_RESET。
跳過用於保持控制信號LOGIC_RETENTION的停用操作(操作S343)。
再次停止操作時脈,且在此實例中,將用於保持單元120的保持控制信號LOGIC_RETENTION維持於高狀態。接著,停用時脈停止控制信號CLKSTOP,使得再次開始操作時脈(操作S345)。
停用鎖相迴路停用信號DISABLE_PLL,使得再次操作鎖相迴路(操作S347),停用經提供以保護時脈管理單元110的外部關閉信號SEPARATE_CMU(操作S349),且停用時脈閘控信號FORCE_AUTOCLKGATE(操作S351)以運行正常操作(操作S301)。
參看圖3A及圖3B,當進入外部電力關閉模式時,啟用時脈閘控信號FORCE_AUTOCLKGATE(操作S303),啟用經提供以保護時脈管理單元110的外部關閉信號SEPARATE_CMU(操作S305),且啟用鎖相迴路停用信號DISABLE_PLL以停止鎖相迴路的操作(操作S307)。
啟用時脈停止控制信號CLKSTOP以停止時脈管理單元110的輸出(操作S309)。
跳過用於保持控制信號LOGIC_RETENTION的啟用操作(操作S311)。
啟用用於電力控制區塊100的關閉信號LOGIC_ISO以關閉電力控制區塊100的輸出(操作S313)。
啟用用於時脈管理單元110的關閉信號CMU_ISO以關閉時脈管理單元110的輸出(操作S315)。
啟用用於電力閘控單元130的重設信號LOGIC_RESET以初始化電力閘控單元130的內部狀態(操作S317)。
跳過用於保持控制信號CMU_RETENTION的啟用操作(操作S319)。
啟用用於時脈管理單元110的重設信號CMU_RESET,使得時脈管理單元110可運行初始化操作(操作S321)。
跳過用以起始電力閘控操作的啟用操作(操作S323)
啟用外部電力關閉(操作S325),使得關閉外部電力(操作S327)。
根據本發明概念的例示性實施例,當取消電力閘控操作時,有可能防止保持單元120接收歸因於電力閘控單元130的同步重設正反器131的未初始化輸出的未知狀態的輸入。舉例而言,在停用用於保持單元120的保持控制信號LOGIC_RETENTION之前,啟用用於電力閘控單元130的重設信號LOGIC_RESET(低狀態),且在實質上相同的時間,自時脈管理單元110接收操作時脈。
若提供尚未初始化的電力閘控單元130的輸出或尚未復原至正常操作狀態的保持單元120的輸出以作為至時脈管理單元110的輸入,則時脈管理單元110可發生故障。為了防止此情形,根據本發明概念的例示性實施例,在停用用於保護時脈管理單元110使之免於外部輸入的外部關閉信號SEPARATE_CMU之前,運行電力閘控單元130的初始化操作或保持單元120自保持狀態至正常操作狀態的復原操作。此可確保時脈管理單元110的穩定操作。
圖4是根據本發明概念的例示性實施例的半導體裝置的方塊圖。
參看圖4,根據本發明概念的例示性實施例的半導體裝置包含主要區塊(main block)40、多個電力控制區塊100a至電力控制區塊100c,及電力管理單元200。
電力控制區塊100a至電力控制區塊100c中的每一者可具有與上文參考圖1所描述的電力控制區塊100實質上相同的組態。
電力控制區塊100a至電力控制區塊100c可分別包含時脈管理單元110a至時脈管理單元110c、保持單元120a至保持單元120c、及電力閘控單元130a至電力閘控單元130c。
主要區塊40可將操作時脈提供至電力控制區塊100a至電力控制區塊100c中的每一者。主要區塊40包含時脈管理單元110d,但不包含保持單元或電力閘控單元。
主要區塊40可操作以產生操作時脈,且可在用於電力控制區塊100a至電力控制區塊100c的關閉信號LOGIC_ISO之前停用用於主要區塊40的關閉信號CMU_ISO。另外,主要區塊40的操作可在電力控制區塊100a至電力控制區塊100c的操作之後繼續。
圖5是根據本發明概念的例示性實施例的包含半導體裝置的系統單晶片(SoC)的方塊圖。
參看圖5,系統單晶片700可包含中央處理單元(central processing unit;CPU)710、時脈產生器(clock generator)720、時脈管理單元730、隨機存取記憶體(random access memory;RAM)740、唯讀記憶體(read only memory;ROM)750及記憶體控制模組(memory control module)760,其可經由系統匯流排而彼此連接。時脈管理單元730可對應於圖1的時脈管理單元110。系統單晶片700可更包含對應於圖1的電力管理單元200的電力管理單元731。振盪器OSC可安置於系統單晶片700外部且將振盪信號提供至系統單晶片700。然而,此僅僅為實例,且系統單晶片700可包含其他各種功能區塊及/或振盪器OSC可提供於系統單晶片700內。圖5的系統單晶片700可提供於半導體系統中以作為應用程式處理器(application processor)。
時脈產生器720使用來自振盪器OSC的振盪信號來產生具有參考頻率的參考時脈信號CLK_IN。時脈管理單元730可接收參考時脈信號CLK_IN,產生具有預定頻率的操作時脈信號CLK_OUT,且將操作時脈信號CLK_OUT提供至每一功能區塊。時脈管理單元730可包含一或多個時脈控制器(clock controller),諸如主控時脈控制器及從屬時脈控制器。時脈控制器中的每一者可使用參考時脈信號CLK_IN來產生操作時脈信號CLK_OUT。
另外,時脈管理單元730中的時脈控制器可經由通道(channel)而連接以經由硬體來管理時脈信號。時脈管理單元730中的時脈控制器亦可經由通道而連接至功能區塊以經由硬體來運行時脈請求及請求回應。
中央處理單元710可處理或執行儲存於隨機存取記憶體740中的程式碼及/或資料。舉例而言,中央處理單元710可回應於自時脈管理單元730輸出的操作時脈而處理或執行程式碼及/或資料。中央處理單元710可被實施為多核心處理器。多核心處理器是具有兩個或多於兩個獨立處理器的計算組件,每一處理器能夠讀取及執行程式指令。多核心處理器可同時驅動多個加速器。因此,包含多核心處理器的資料處理系統可運行多重加速(multi-acceleration)。
隨機存取記憶體740可暫時儲存程式碼、資料或指令。舉例而言,可根據中央處理單元710的控制或儲存於唯讀記憶體750中的開機程式碼暫時將儲存於內部記憶體或外部記憶體中的程式碼及/或資料儲存於隨機存取記憶體740中。記憶體控制模組760是用於與內部記憶體或外部記憶體介接的區塊。記憶體控制模組760控制內部記憶體或外部記憶體的總體操作,且亦控制主機與內部記憶體或外部記憶體之間的所有資料交換。
圖6是根據本發明概念的例示性實施例的包含半導體裝置的系統單晶片的方塊圖。
參看圖6,系統單晶片800包含管理至功能區塊的電力供應的電力管理單元810。電力管理單元810可經設計以管理用於系統單晶片800內的電力。
系統單晶片800更包含多個功能區塊821及功能區塊822。可將功能區塊821及功能區塊822分類為主控功能區塊821及從屬功能區塊822。為了使主控功能區塊821操作,應將電力供應至主控功能區塊821且亦供應至與主控功能區塊821的操作相關的一或多個從屬功能區塊822。
在電力管理單元810內,主控電力控制器811可經由通道而與從屬電力控制器812及從屬電力控制器813中的每一者通信。電力管理單元810可接收輸入電力Power_in,且藉由調整及轉換輸入電力Power_in以適合每一功能區塊來產生輸出電力Power_out。另外,電力管理單元810可根據電力請求Req將電力提供至主控功能區塊821及從屬功能區塊822或阻斷至主控功能區塊821及從屬功能區塊822的電力供應。主控電力控制器811以及從屬電力控制器812及從屬電力控制器813中的每一者可將電力閘控控制信號PG提供至主控功能區塊821及從屬功能區塊822。
主控電力控制器811可基於中央處理單元的程式碼處理而經由軟體來接收電力請求Req,或經由硬體而自主控功能區塊821接收電力請求Req。主控功能區塊821可經由主控電力控制器811將通電/斷電命令Pwr On/Off提供至從屬電力控制器812及從屬電力控制器813,以及自從屬電力控制器812及從屬電力控制器813接收電力回應Ack On/Off。
圖7是根據本發明概念的例示性實施例的包含系統單晶片的半導體系統的方塊圖。
參看圖7,半導體系統900可包含根據上述例示性實施例的系統單晶片901、天線910、無線收發器920、輸入裝置930及顯示器940。無線收發器920可經由天線910來傳輸或接收無線電信號。舉例而言,無線收發器920可將經由天線910接收的無線電信號改變為可由系統單晶片901處理的信號。
因此,系統單晶片901可處理自無線收發器920輸出的信號且將經處理的信號傳輸至顯示器940。另外,無線收發器920可將自系統單晶片901輸出的信號轉換成無線電信號且經由天線910將無線電信號輸出至外部裝置。輸入裝置930是用以輸入用於控制系統單晶片901的操作的控制信號或待由系統單晶片901處理的資料的裝置。輸入裝置930可被實施為指標裝置,諸如觸控板或電腦滑鼠、小鍵盤、鍵盤等等。
圖8是根據本發明概念的例示性實施例的包含系統單晶片的半導體系統的方塊圖。
參看圖8,半導體系統可包含記憶體系統1000,且記憶體系統1000可被實施為諸如固態磁碟機(solid state drive;SSD)的資料處理裝置。記憶體系統1000可包含:多個記憶體裝置1500;記憶體控制器1200,其可控制多個記憶體裝置1500中的每一者的資料處理操作;揮發性記憶體裝置1300,諸如動態隨機存取記憶體(dynamic random access memory;DRAM);以及系統單晶片1100,其控制在記憶體控制器1200與主機1400之間交換且儲存於揮發性記憶體裝置1300中的資料。可根據上述例示性實施例來實施系統單晶片1100。
雖然已參考本發明概念的例示性實施例而說明及描述本發明概念,但在本領域具有通常知識者應理解,可在不脫離如由以下申請專利範圍所界定的本發明概念的精神及範疇的情況下對例示性實施例進行形式及細節的各種改變。
40‧‧‧主要區塊
100、100a、100b、100c‧‧‧電力控制區塊
110、110a、110b、110c、110d、730‧‧‧時脈管理單元
111‧‧‧時脈多工器單元
112‧‧‧時脈劃分單元
113‧‧‧劃分電路狀態機
114‧‧‧時脈停止狀態機
115‧‧‧時脈閘控單元
116‧‧‧時脈閘控狀態機
120、120a、120b、120c‧‧‧保持單元
121‧‧‧保持正反器
130、130a、130b、130c‧‧‧電力閘控單元
131、132‧‧‧正反器
200、731、810‧‧‧電力管理單元
700、800、901、1100‧‧‧系統單晶片(SoC)
710‧‧‧中央處理單元(CPU)
720‧‧‧時脈產生器
740‧‧‧隨機存取記憶體(RAM)
750‧‧‧唯讀記憶體(ROM)
760‧‧‧記憶體控制模組
811‧‧‧主控電力控制器
812、813‧‧‧從屬電力控制器
821‧‧‧主控功能區塊
822‧‧‧從屬功能區塊
900‧‧‧半導體系統
910‧‧‧天線
920‧‧‧無線收發器
930‧‧‧輸入裝置
940‧‧‧顯示器
1000‧‧‧記憶體系統
1200‧‧‧記憶體控制器
1300‧‧‧揮發性記憶體裝置
1400‧‧‧主機
1500‧‧‧記憶體裝置
a~n‧‧‧週期
Ack On/Off‧‧‧電力回應
CLK_IN‧‧‧參考時脈信號
CLK_OUT‧‧‧操作時脈信號
CLKSTOP‧‧‧時脈停止控制信號
CMU_ISO、LOGIC_ISO‧‧‧關閉信號
CMU_RESET、LOGIC_RESET、SLEEP_RESET‧‧‧重設信號
CMU_RETENTION、LOGIC_RETENTION‧‧‧保持控制信號
DISABLE_PLL‧‧‧鎖相迴路停用信號
FORCE_AUTOCLKGATE‧‧‧時脈閘控信號
OSC‧‧‧振盪器
OSCCLK‧‧‧振盪器時脈
PG‧‧‧電力閘控控制信號
PLL FOUT‧‧‧信號
Power_in‧‧‧輸入電力
Pwr On/Off‧‧‧通電/斷電命令
Req‧‧‧電力請求
S201、S203、S205、S207、S209、S211、S213、S215、S217、S219、S221、S223、S225、S227、S229、S231、S233、S235、S237、S239、S241、S243、S245、S247、S249、S251、S301、S303、S305、S307、S309、S311、S313、S315、SS317、S319、S321、S323、S325、S327、S329、S331、S333、S335、S337、S339、S341、S343、S345、S347、S349、S351‧‧‧操作
SEPARATE_CMU‧‧‧外部關閉信號
VDD‧‧‧電力供應電壓
藉由參考隨附圖式來詳細地描述本發明概念的例示性實施例,本發明概念的以上特徵及其他特徵將變得顯而易見且更容易被瞭解。
圖1是根據本發明概念的例示性實施例的半導體裝置的方塊圖。 圖2A及圖2B是根據本發明概念的例示性實施例的分別說明在功率縮減模式中的圖1的保持單元的保持操作及圖1的電力閘控單元的電力閘控操作的時序圖及流程圖。 圖3A及圖3B是根據本發明概念的例示性實施例的分別說明在外部電力關閉時的圖1的保持單元的保持操作及圖1的電力閘控單元的電力閘控操作的時序圖及流程圖。 圖4是根據本發明概念的例示性實施例的半導體裝置的方塊圖。 圖5是根據本發明概念的例示性實施例的包含半導體裝置的系統單晶片(system on chip;SoC)的方塊圖。 圖6是根據本發明概念的例示性實施例的包含半導體裝置的系統單晶片的方塊圖。 圖7是根據本發明概念的例示性實施例的包含系統單晶片的半導體系統的方塊圖。 圖8是根據本發明概念的例示性實施例的包含系統單晶片的半導體系統的方塊圖。

Claims (20)

  1. 一種半導體裝置,包括: 電力閘控電路,包括同步重設正反器,其中所述電力閘控電路經啟動以發出進入功率縮減模式的信號; 保持電路,包括保持正反器,其中所述保持電路保持所述半導體裝置的狀態; 時脈管理電路,經組態以將操作時脈提供至所述電力閘控電路及所述保持電路;以及 電力管理電路,經組態以將電力閘控控制信號傳輸至所述電力閘控電路、所述保持電路及所述時脈管理電路, 其中在自所述功率縮減模式退出後,所述電力管理電路就經組態以在向所述保持電路發出取消保持狀態且復原所述半導體裝置的所述狀態的信號之前完成所述電力閘控電路的重設操作。
  2. 如申請專利範圍第1項所述的半導體裝置,其中所述電力管理電路將重設信號提供至所述電力閘控電路,且所述時脈管理電路在與將所述重設信號提供至所述電力閘控電路同時將所述操作時脈提供至所述電力閘控電路。
  3. 如申請專利範圍第1項所述的半導體裝置,其中所述電力管理電路將外部關閉信號傳輸至所述時脈管理電路以阻斷外部輸入至所述時脈管理電路的提供。
  4. 如申請專利範圍第3項所述的半導體裝置,其中所述外部關閉信號在所述電力閘控電路的所述重設操作之後被撤銷啟動。
  5. 如申請專利範圍第4項所述的半導體裝置,其中所述電力管理電路將保持控制信號提供至所述保持電路,使得所述保持電路維持所述保持狀態。
  6. 如申請專利範圍第5項所述的半導體裝置,其中所述外部關閉信號在所述保持控制信號被撤銷啟動之後被撤銷啟動。
  7. 如申請專利範圍第1項所述的半導體裝置,其中在終止電力閘控操作之後,所述電力管理電路將第一重設信號提供至所述電力閘控電路以初始化所述電力閘控電路的狀態,且將第二重設信號提供至所述保持電路以初始化所述保持電路的狀態。
  8. 如申請專利範圍第7項所述的半導體裝置,其中在同時提供所述第一重設信號及所述第二重設信號。
  9. 如申請專利範圍第1項所述的半導體裝置,其中提供所述電力閘控電路的輸出以作為至所述保持電路的輸入及至所述時脈管理電路的輸入。
  10. 如申請專利範圍第1項所述的半導體裝置,其中提供所述保持電路的輸出以作為至所述電力閘控電路的輸入及至所述時脈管理電路的輸入。
  11. 一種半導體裝置,包括: 第一電力控制區塊,包括第一電力閘控電路、第一保持電路及第一時脈管理電路; 第二電力控制區塊,包括第二電力閘控電路、第二保持電路及第二時脈管理電路;以及 第三電力控制區塊,包括第三時脈管理電路, 其中所述第一電力控制區塊與所述第二電力控制區塊在從屬層級處彼此並聯地連接, 所述第三電力控制區塊在主控層級處連接至所述第一電力控制區塊及所述第二電力控制區塊,且 在用於所述第一電力控制區塊的電力閘控操作結束之後,在所述第一電力閘控電路的重設操作之後運行所述第一時脈管理電路的正常操作。
  12. 如申請專利範圍第11項所述的半導體裝置,其中在取消所述第一保持電路的保持狀態之前運行所述第一電力閘控電路的所述重設操作。
  13. 如申請專利範圍第11項所述的半導體裝置,更包括經組態以維持所述第一時脈管理電路的所述正常操作直至取消所述第一保持電路的保持狀態為止的保護電路。
  14. 如申請專利範圍第13項所述的半導體裝置,其中保護所述第一時脈管理電路的輸入直至復原所述第一保持電路的所述保持狀態為止。
  15. 如申請專利範圍第11項所述的半導體裝置,其中將重設信號提供至所述第一電力閘控電路,且在同時將操作時脈提供至所述第一電力閘控電路。
  16. 如申請專利範圍第11項所述的半導體裝置,其中所述第三電力控制區塊控制被提供至所述第一電力控制區塊及所述第二電力控制區塊的操作區塊。
  17. 如申請專利範圍第11項所述的半導體裝置,其中將第一重設信號提供至所述第一電力閘控電路以初始化所述第一電力閘控電路的狀態,且將第二重設信號提供至所述第一保持電路以初始化所述第一保持電路的狀態。
  18. 如申請專利範圍第17項所述的半導體裝置,其中在同時提供所述第一重設信號及所述第二重設信號。
  19. 一種操作半導體裝置的方法,所述方法包括: 在終止電力閘控操作之後重設電力閘控電路; 在重設所述電力閘控電路之後取消保持電路的保持狀態;以及 藉由在所述取消所述保持電路的所述保持狀態之後取消對時脈管理電路的輸入的保護來維持所述時脈管理電路的正常操作。
  20. 如申請專利範圍第19項所述的操作半導體裝置的方法,其中在與所述電力閘控電路的所述重設同時將操作時脈提供至所述電力閘控電路。
TW106124804A 2016-01-25 2017-07-25 半導體裝置以及其操作方法 TWI740987B (zh)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US201662286900P 2016-01-25 2016-01-25
??10-2017-0010427 2017-01-23
KR1020170010427A KR20170088765A (ko) 2016-01-25 2017-01-23 반도체 장치 및 그 구동 방법
KR10-2017-0010427 2017-01-23
US15/414,787 US9985610B2 (en) 2016-01-25 2017-01-25 Semiconductor device and a method of operating the same
US15/414,787 2017-01-25

Publications (2)

Publication Number Publication Date
TW201827983A true TW201827983A (zh) 2018-08-01
TWI740987B TWI740987B (zh) 2021-10-01

Family

ID=59651722

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106124804A TWI740987B (zh) 2016-01-25 2017-07-25 半導體裝置以及其操作方法

Country Status (4)

Country Link
KR (1) KR20170088765A (zh)
CN (1) CN108345348B (zh)
DE (1) DE102017110828A1 (zh)
TW (1) TWI740987B (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102530884B1 (ko) 2018-04-06 2023-05-11 에스케이하이닉스 주식회사 반도체 메모리 장치 및 반도체 메모리 장치의 동작 방법

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7183825B2 (en) * 2004-04-06 2007-02-27 Freescale Semiconductor, Inc. State retention within a data processing system
US20070085585A1 (en) * 2005-10-13 2007-04-19 Arm Limited Data retention in operational and sleep modes
TWI325532B (en) * 2006-09-14 2010-06-01 Novatek Microelectronics Corp Controlling circuit and method for power saving
US7652513B2 (en) * 2007-08-27 2010-01-26 Texas Instruments Incorporated Slave latch controlled retention flop with lower leakage and higher performance
US7710177B2 (en) * 2007-09-12 2010-05-04 Freescale Semiconductor, Inc. Latch device having low-power data retention
US8775854B2 (en) * 2009-11-13 2014-07-08 Marvell World Trade Ltd. Clock turn-on strategy for power management
JP6039081B2 (ja) * 2012-09-19 2016-12-07 クゥアルコム・インコーポレイテッドQualcomm Incorporated 動的電力を低減するためのクロックゲート回路
US9395795B2 (en) * 2013-09-20 2016-07-19 Apple Inc. System power management using communication bus protocols
WO2016158691A1 (ja) * 2015-04-01 2016-10-06 国立研究開発法人科学技術振興機構 電子回路
KR102325388B1 (ko) * 2015-06-04 2021-11-11 삼성전자주식회사 데이터 복원을 안정적으로 제어하는 파워 게이팅 제어 회로
KR102261300B1 (ko) * 2015-06-22 2021-06-09 삼성전자주식회사 고속으로 동작하는 클록 게이팅 회로

Also Published As

Publication number Publication date
CN108345348A (zh) 2018-07-31
CN108345348B (zh) 2023-05-23
TWI740987B (zh) 2021-10-01
DE102017110828A1 (de) 2018-07-26
KR20170088765A (ko) 2017-08-02

Similar Documents

Publication Publication Date Title
US10853304B2 (en) System on chip including clock management unit and method of operating the system on chip
US9703313B2 (en) Peripheral clock management
US8412967B2 (en) Method of enhancing power saving in an integrated electronic system with distinctly powered islands of functional circuitries and related device architecture
KR102467172B1 (ko) 반도체 장치
JP2005135368A (ja) 省電力制御回路及びその方法
US10296065B2 (en) Clock management using full handshaking
US11789515B2 (en) Semiconductor device
US11275708B2 (en) System on chip including clock management unit and method of operating the system on chip
JP2011170730A (ja) 半導体装置及びデータ処理システム
US9985610B2 (en) Semiconductor device and a method of operating the same
TWI470410B (zh) 電子系統及其電源管理方法
US20190346908A1 (en) Voltage rail coupling sequencing based on upstream voltage rail coupling status
KR102325388B1 (ko) 데이터 복원을 안정적으로 제어하는 파워 게이팅 제어 회로
US11068018B2 (en) System and method for power management of a computing system with a plurality of islands
KR20190113809A (ko) 클럭 디바이더 디바이스 및 그 방법
TWI740987B (zh) 半導體裝置以及其操作方法
US10304506B1 (en) Dynamic clock control to increase stutter efficiency in the memory subsystem
EP3707579B1 (en) Grouping central processing unit memories based on dynamic clock and voltage scaling timing to improve dynamic/leakage power using array power multiplexers
US10248155B2 (en) Semiconductor device including clock generating circuit and channel management circuit
KR102550422B1 (ko) 반도체 장치
CN117581189A (zh) 降低远存储器中的存储器功率使用
KR20220020763A (ko) 반도체 장치
JP2005018295A (ja) 半導体装置、およびトグル信号生成回路