TW201729133A - 佈局修改方法及系統 - Google Patents

佈局修改方法及系統 Download PDF

Info

Publication number
TW201729133A
TW201729133A TW105137510A TW105137510A TW201729133A TW 201729133 A TW201729133 A TW 201729133A TW 105137510 A TW105137510 A TW 105137510A TW 105137510 A TW105137510 A TW 105137510A TW 201729133 A TW201729133 A TW 201729133A
Authority
TW
Taiwan
Prior art keywords
layout
vias
segment
modification method
gate
Prior art date
Application number
TW105137510A
Other languages
English (en)
Inventor
蕭錦濤
溫宗堯
賴志明
楊惠婷
賴瑞堯
陳志良
陳俊光
劉如淦
陳燕銘
超源 楊
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201729133A publication Critical patent/TW201729133A/zh

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/392Floor-planning or layout, e.g. partitioning or placement
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/18Manufacturability analysis or optimisation for manufacturability
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Evolutionary Computation (AREA)
  • General Engineering & Computer Science (AREA)
  • Geometry (AREA)
  • Architecture (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

由至少一個處理器執行一種佈局修改方法。該佈局修改方法包含:由該至少一個處理器分析一電路單元佈局之複數個特定佈局片段之分配以判定來自該複數個特定佈局片段之一第一特定佈局片段及一第二特定佈局片段;由該至少一個處理器判定該第一特定佈局片段及該第二特定佈局片段是否耦合至一第一訊號位準;及當該第一特定佈局片段及該第二特定佈局片段耦合至該第一訊號位準時,由該至少一個處理器將該第一特定佈局片段及該第二特定佈局片段合併成一第一經合併佈局片段。

Description

佈局修改方法及系統
本發明實施例係關於一種電路佈局修改方法及其系統。
隨著晶片之特徵大小不斷縮放以及其功能不斷擴展,一半導體晶片中之線中端(MEOL)之關鍵間距亦減小。為製造此一小關鍵間距,在製造期間需要大量光遮罩或更簡單地大量遮罩。再者,當晶片中之一電路單元之佈局面積收縮時,電路單元中之元件之電特性(諸如電阻)更可能在製造之後自所要特性偏離。面積收縮亦導致電路單元在電磁(EM)耐受性方面之不良效能。
在一些實施例中,提供一種由至少一個處理器執行之佈局修改方法。該佈局修改方法包括:由該至少一個處理器分析一電路單元佈局之複數個特定佈局片段之分配以判定來自該複數個特定佈局片段之一第一特定佈局片段及一第二特定佈局片段;由該至少一個處理器判定該第一特定佈局片段及該第二特定佈局片段是否耦合至一第一訊號位準;及當該第一特定佈局片段及該第二特定佈局片段耦合至該第一訊號位準時,由該至少一個處理器將該第一特定佈局片段及該第二特定佈局片段合併成一第一經合併佈局片段。 在一些實施例中,提供一種電路單元佈局。該電路單元佈局包括複數個特定佈局片段及一經合併佈局片段。該經合併佈局片段放置於該電路單元佈局之一單元邊界上用於電耦合該複數個特定佈局片段中之一第一特定佈局片段及一第二特定佈局片段。該第一特定佈局片段及該第二特定佈局片段耦合至一相同訊號位準。 在一些實施例中,提供一種儲存程式指令之非暫時性電腦可讀儲存媒體,該等程式指令當由一電腦執行時致使該電腦執行一佈局修改方法。該佈局修改方法包括:由該至少一個處理器分析一電路單元佈局之複數個特定佈局片段之分配以判定來自該複數個特定佈局片段之一第一特定佈局片段及一第二特定佈局片段;由該至少一個處理器判定該第一特定佈局片段及該第二特定佈局片段是否耦合至一第一訊號位準;及當該第一特定佈局片段及該第二特定佈局片段耦合至該第一訊號位準時,由該至少一個處理器將該第一特定佈局片段及該第二特定佈局片段合併成一第一經合併佈局片段。
下文揭示內容提供許多不同實施例或實例以用於實施所提供標的物之不同特徵。下文描述組件及配置之特定實例以簡化本揭露。當然,其等僅僅係實例且並非意欲為限制性。例如,在下列描述中,一第一特徵形成在一第二特徵上方或上可包含其中第一特徵及第二特徵直接接觸而形成之實施例,且亦可包含其中額外特徵可形成於第一特徵與第二特徵之間使得第一特徵及第二特徵不可直接接觸的實施例。另外,本揭露可在各種實例中重複元件符號及/或字母。此重複係為簡化及清楚之目的且自身不指示所討論之各種實施例及/或組態之間的一關係。 下文詳細討論本揭露之實施例。然而,應瞭解,本揭露提供許多可依各種特定內容脈絡體現之可應用發明性概念。所討論之特定實施例僅係繪示性的且不限制本揭露之範疇。 進一步言之,空間相對術語(諸如「底下」、「下方」、「下」、「上方」、「上」、「下」、「左」、「右」及類似者)可在本文中為易於描述目的而用於描述如圖中所繪示之一元件或特徵與另一元件或特徵之關係。空間相對術語意在涵蓋除圖中描繪之定向外之裝置在使用或操作中之的不同定向。可以其他方式(旋轉90度或以其他定向)定向設備,且同樣可相應地解釋本文中所使用之空間相對描述符。將理解,當一元件係指連接至或耦合至另一元件時,其可直接連接至或耦合至另一元件或可存在介入元件。 圖1係根據一些實施例之一積體電路(IC)晶片之一設計流程100之一流程圖。設計流程100利用一或多個電子設計自動化(EDA)工具來執行設計流程100中之一或多個操作。 在一IC設計階段102處,由一電路設計者提供該IC晶片之一高階設計。在一些實施例中,基於該高階設計,透過邏輯合成產生一閘位準接線對照表,且該閘位準接線對照表中之閘映射至一標準單元庫中之可用單元。本文中所使用之術語「接線對照表」係指一電路之一基於圖形表示(諸如一示意圖)及/或一基於文本表示兩者。 在一平面規劃階段104處,將該閘位準接線對照表分成功能區塊且產生針對該IC晶片之一設計佈局中之該等功能區塊之一平面規劃。 在一電源規劃階段106處,對電源網(諸如一VDD電源(例如供應電壓)網及一VSS電源(例如,接地電壓)網)進行選路。該電源網包含若干金屬層。各金屬層包含(例如)水平或垂直延伸之電源線或電源軌。該等金屬層經堆疊,使得任何相鄰金屬層將具有在(例如)正交方向上延伸之電源線或電源軌。 在一放置階段108處,將區塊中之邏輯閘及暫存器之經映射單元放置於該設計佈局中之特定位置處。 在一寄生擷取及分析階段110處,分析該設計佈局(其包含該選路)以擷取該設計佈局中之寄生現象且據此產生一實體接線對照表。 在一實體驗證及簽核階段112處,對自該設計佈局產生之一實體接線對照表執行一佈局對示意圖(LVS)以便確保該設計佈局與該閘位準接線對照表對應。進一步言之,對該設計佈局執行一設計規則核查(DRC)以便確保該設計沒有(例如)針對製造之電問題及微影問題。可執行增量修正以在下線之前達成該IC晶片設計之一最終簽核。 在製造一IC之製程中,多圖案化技術可克服當幾何形狀實體佈局由具有一高密度圖案之一單一遮罩微影時在該佈局上之變形或偏離。一般而言,該多圖案化技術包含循序地使用複數個不同遮罩(其等具有形成於其上之不同圖案)以將其等之不同特徵光微影於該基板之一層上的一製程。因此,相較於單一圖案化技術,該多圖案化技術可產生數個所要特徵。然而,大遮罩消耗量可直接增加該IC之成本。 圖2係繪示根據一些實施例之一佈局修改方法200之一流程圖。佈局修改方法200可用於修改一積體電路(IC)之設計佈局以便在該IC之製造期間減小遮罩消耗量。特定言之,佈局修改方法200用以修改該IC中之一電路單元之複數個特定佈局片段之設計佈局。該特定佈局片段可為該電路單元之一通孔結構(在下文中指稱「通孔」)。該通孔可為該電路單元之接點通孔及/或閘極通孔。在一些實施例中,佈局修改方法200可執行於圖1中所描述及繪示之設計流程100之平面規劃階段104、電源規劃階段106及/或放置階段108中。 參考圖2,在操作202中,接收一積體電路之一設計佈局。 在操作204中,擴展及/或顯示該設計佈局中之一電路單元之複數個佈局片段。該複數個佈局片段包括該電路單元之複數個特定佈局片段。該複數個特定佈局片段可為複數個接點通孔、複數個閘極通孔、複數個源極/汲極及/或複數個閘極。一閘極通孔可電耦合或直接連接至一閘極,而一接點通孔可電耦合或直接連接至一擴散區域,諸如一源極區或汲極區。一閘極可為一電晶體之控制端子,而一接點可為一電晶體之源極端子或汲極端子。若一接點通孔電耦合至一源極端子,則該接點通孔係一源極通孔。若一接點通孔電耦合至一汲極端子,則該接點通孔係一汲極通孔。另外,該複數個接點通孔及該複數個閘極通孔可處於該設計佈局之相同層中。特定言之,該複數個接點通孔及該複數個閘極通孔定位於該設計佈局之第一層中。換言之,該複數個接點通孔及該複數個閘極通孔係該設計佈局中之第一位準通孔。然而,此並非係本實施例之一限制。 在操作206中,分析該等佈局片段在該電路單元佈局中之分配(如將在操作2061至2064中進一步討論)以判定在該電路單元中閘極通孔及源極通孔之位置,判定該電路單元佈局中之電源線之一線寬度,判定該電路單元佈局中之一閘極通孔及一源極通孔是否可合併,且判定該電路單元佈局中之兩個通孔之間的一最小距離。在操作206中,判定該電路單元之單元邊界附近之複數個閘極通孔及源極通孔。 當分析該等佈局片段之分配時,首先選擇在該電路單元佈局之第一層中的複數個閘極通孔及源極通孔。接著,判定該電路單元佈局之一單元邊界。在操作2061中,選擇定位於該單元邊界附近之閘極通孔及源極通孔,即準則1。明確言之,佈局修改方法200基於該單元邊界與該等閘極通孔及該等源極通孔之各者之間的距離而判定哪一通孔係在該單元邊界附近。該距離可自一通孔之一邊緣至該單元邊界或自一通孔之中心至該單元邊界量測。在一些實施例中,當該單元邊界與一通孔之間的距離落於約一通孔寬度之0至4倍(諸如4倍)之一距離範圍內時,該通孔視作在該單元邊界附近之通孔。該通孔寬度可為在該電路單元佈局中之最小通孔寬度。然而,此並非係本實施例之一限制。 在操作2062中,佈局修改方法200識別在該電路單元佈局中之電源線,其中該電源線可電耦合至一供應電壓或一接地電壓。接著,佈局修改方法200量測該電源線之線寬度以判定該線寬度是否落於一寬度範圍內,即準則2。在一些實施例中,該寬度範圍可為在該電路單元佈局中之一通孔寬度或最小通孔寬度之一通孔寬度之寬度範圍的7.5倍至10倍(諸如8倍)。若該線寬度落於該寬度範圍內,則佈局修改方法200進行至操作2063。若該線寬度不落於該寬度範圍內,則佈局修改方法200進行至操作214。 針對一些半導體製造製程,當一些半導體製造製程不提供將一電晶體之閘極通孔及源極通孔可合併成一經合併通孔結構之服務時,可將一電晶體之閘極通孔及源極通孔合併成一經合併通孔結構。因此,在操作2063中,佈局修改方法200核查在一半導體製造製程期間在該電路單元佈局中之一閘極通孔及一源極通孔是否可合併成一經合併通孔結構,即準則3。若該閘極通孔及該源極通孔可經合併,則佈局修改方法200進行至操作2064。若該閘極通孔及該源極通孔不可合併,則佈局修改方法200進行至操作214。 在操作2064中,佈局修改方法200核查該電路單元佈局中之兩個通孔之間的一最小距離是否落於一預定距離範圍內,即準則4。在一些實施例中,該預定距離範圍可為在該電路單元佈局中之一閘極間距之0.5倍至0.75倍及一閘極長度之0.85倍至1.05倍之一總和。該閘極間距可為該電路單元佈局中之兩個相鄰閘極線之間的最小閘極間距。該閘極長度可為該電路單元佈局中之一閘極線之最小閘極長度。例如,該預定距離範圍可為最小閘極間距之0.6倍及最小閘極長度之0.9倍之一總和。然而,此並非係本實施例之一限制。若兩個通孔之間的最小距離落於該預定距離範圍內,則佈局修改方法200進行至操作208。若兩個通孔之間的最小距離不落於該預定距離範圍內,則佈局修改方法200進行至操作214。 換言之,若全部準則1至4核查通過,則佈局修改方法200進行至操作208。若準則1至4核查之一者失效,則佈局修改方法200進行至操作214。 在操作208中,量測在該單元邊界附近之兩個通孔之間的一垂直距離以判定該垂直距離是否落於一第一距離範圍內,即規則1。在一些實施例中,該第一距離範圍可為在該電路單元佈局中之一通孔寬度之約4.5倍至5.5倍。該通孔寬度可為在該電路單元佈局中之最小通孔寬度。例如,該第一距離範圍可為最小通孔寬度之約5倍。佈局修改方法200選擇具有落於該第一距離範圍內之垂直距離的通孔對且進行至操作210。若兩個通孔之間無垂直距離落於該第一距離範圍內,則佈局修改方法200進行至操作214。 換言之,若規則1核查通過,則佈局修改方法200進行至操作210。若規則1核查失效,則佈局修改方法200進行至操作214。 在操作210中,量測在該單元邊界附近之兩個通孔之間的一水平距離以判定該水平距離是否落於一第二距離範圍內,即規則2。在一些實施例中,該第二距離範圍可為在該電路單元佈局中之一通孔寬度之約6.5倍至7.5倍。該通孔寬度可為在該電路單元佈局中之最小通孔寬度。例如,該第一距離範圍可為最小通孔寬度之約7倍。佈局修改方法200選擇具有落於該第二距離範圍內之水平距離的全部通孔對且進行至操作212。若兩個通孔之間無水平距離落於該第二距離範圍內,則佈局修改方法200進行至操作214。 換言之,若規則2核查通過,則佈局修改方法200進行至操作212。若規則2核查未通過,則佈局修改方法200進行至操作214。 在操作208及210之後,獲得通孔對,其中各通孔對具有落於該第一距離範圍內之一垂直距離及落於該第二距離範圍內之一水平距離。 在操作212中,對在操作210中獲得之各通孔對執行一評估以便判定該通孔對是否可合併成一經合併通孔結構。該評估包含判定該通孔對是否電耦合至相同訊號位準,即規則A。亦在操作212中量測在用於製造該電路單元佈局之兩個通孔之一遮罩上的兩個圖案之間的最小距離以便判定該最小距離是否落於一距離範圍內,即規則B。在一些實施例中,該距離範圍可為在該電路單元佈局中之一閘極間距之1.8倍至2倍及一閘極長度之0.45倍至0.6倍之一總和。該閘極間距可為在該電路單元佈局中之兩個相鄰閘極線之間的最小閘極間距。該閘極長度可為在該電路單元佈局中之一閘極線之最小閘極長度。例如,該距離範圍可為在該電路單元佈局中之最小閘極間距之2倍及最小閘極長度之0.5倍之一總和。然而,此並非係本實施例之一限制。若該通孔對電耦合至相同訊號位準且該遮罩之最小距離落於該距離範圍內,則佈局修改方法200將該通孔對合併成一經合併通孔結構。若該通孔對不電耦合至相同訊號位準,則佈局修改方法200不合併該通孔對且保持該通孔對完整。接著,佈局修改方法200進行至操作208以繼續該核查程序。 換言之,佈局修改方法200核查規則A及規則B以選擇性地合併一通孔對。若該通孔對通過規則A及規則B核查,則佈局修改方法200合併該通孔對。若該通孔對不通過規則A或規則B核查,則佈局修改方法200保持該通孔對完整且核查下一通孔對。 據此,佈局修改方法200迭代地執行操作208至212以核查且合併在該電路單元佈局之單元邊界附近之該(等)通孔,直至全部適當通孔合併成一軌道。當在該單元邊界附近之全部通孔根據操作206至212中之規則經核查且合併時,佈局修改方法200進行至操作214。 在操作214中,獲得該電路單元之一經修改電路單元佈局,其中將符合操作206至212中之規則的通孔合併成一經合併通孔結構或一軌道。 根據操作202至214,將該電路單元佈局中之該等通孔之一些合併成一經合併通孔結構。該經合併通孔結構之大小大於未經合併之個別通孔結構之大小。在製造之後,該經合併通孔結構比待合併之個別通孔結構具有更佳之效能。例如,該經合併通孔結構之通孔電阻或電壓降(亦稱為IR降)小於未經合併通孔結構之通孔電阻或電壓降。再者,該經合併通孔結構之製程變動小於該未經合併通孔結構之製程變動。此外,該經合併通孔結構亦具有更佳電磁(EM)耐受性。 在一些實施例中,當在該單元邊界附近之全部通孔根據操作206至212中之規則核查且合併時,在該電路單元之製造期間的遮罩消耗亦減小。圖3係根據一些實施例之在一IR之第一層上的一電路單元之一電路單元佈局300之一示意圖。為繪示性目的,電路單元佈局300可為在該IC之第一層上的一佈局之一部分。電路單元佈局300包括複數個佈局片段。在一些實施例中,該複數個佈局片段包括複數個接點通孔S1至S12、複數個閘極通孔S13至S24、複數個源極/汲極S25至S36及複數個閘極S37至S44。該電路單元包括一P型區域302及一N型區域304。一第一鄰接單元306相鄰於P型區域302。一第二鄰接單元308相鄰於N型區域304。據此,一第一單元邊界310可為在第一鄰接單元306與P型區域302之間的邊界,且一第二單元邊界312可為在第二鄰接單元308與N型區域304之間的邊界。 當該IC在一半導體製造製程下製造時,複數個接點通孔S1至S12與複數個閘極通孔S13至S24之間之空間關係應在複數個接點通孔S1至S12及複數個閘極通孔S13至S24藉由該多圖案化技術光微影時服從多圖案化佈局規則。基本上,該多圖案化技術係使用複數個遮罩來連續光微影該晶圓之基板以增強積體電路之一層上之特徵密度的一技術。 在一些實施例中,針對在執行佈局修改方法200之前的電路單元佈局300,用於製造或光微影該電路單元之複數個接點通孔S1至S12及複數個閘極通孔S13至S24之遮罩計數係八(8)。當複數個接點通孔S1至S12及複數個閘極通孔S13至S24之間之空間關係經分析時,複數個指示線展示於電路單元佈局300上。粗虛線連接複數個接點通孔S1至S12,且細虛線連接複數個閘極通孔S13至S24。明確言之,若兩個接點通孔藉由一粗虛線連接,則該兩個接點通孔不應由相同遮罩光微影。進一步言之,若兩個閘極通孔由一細虛線連接,則該兩個閘極通孔不應由相同遮罩光微影。據此,為符合該多圖案化光微影之設計規則,接點通孔S2、S5、S8及S11由一第一遮罩光微影。接點通孔S3及S9由一第二遮罩光微影。接點通孔S1、S6、S7及S12由一第三遮罩光微影。接點通孔S4及S10由一第四遮罩光微影。閘極通孔S13、S19及S23由一第五遮罩光微影。閘極通孔S14、S15、20及S24由一第六遮罩光微影。閘極通孔S16及S21由一第七遮罩光微影。閘極通孔S17、S18及S22由一第八遮罩光微影。 接著,可藉由佈局修改方法200修改電路單元佈局300以減小該遮罩計數。在操作2061中,計算單元邊界310、312之間的距離及閘極通孔S13至S24及接點通孔S1至S12之各者之間的距離以判定是否滿足準則1。為簡潔起見,假定接點通孔S1至S12係源極通孔。在一些實施例中,單元邊界310與通孔S1至S4、S13至S17之間的距離落於一距離範圍內,諸如一通孔寬度Wvia之0倍至4倍。單元邊界312與通孔S9至S12、S20至S24之間的距離落於該距離範圍內。因此,通孔S1至S4、S13至S17、S9至S12、S20至S24經判定為在該電路單元之單元邊界附近的通孔。該通孔寬度Wvia係(但不限於)如圖3中所展示之正方形通孔之一側之長度。 在操作2062中,識別一第一電源線314及一第二電源線316。第一電源線314及第二電源線316可實質上放置於通孔S1至S4、S13至S17、S9至S12、S20至S24上方。再者,電源線314、316之線寬度W1、W2經核查以判定是否滿足準則2。在一些實施例中,電源線314、316之線寬W1、W2落於通孔寬度Wvia之約7.5倍至10倍之寬度範圍內。 在一些實施例中,假定在操作2063中準則3核查通過。此意謂用於製造電路單元佈局300之半導體製造製程提供服務以將一閘極通孔及一源極通孔合併成一經合併通孔結構。 在操作2064中,量測在電路單元佈局300中之兩個通孔之間的最小距離Pvia以判定準則4核查是否通過。最小距離Pvia係一通孔與一相鄰通孔之間的中心至中心距離。然而,此並非係本實施例之一限制。最小距離Pvia可為一通孔與一相鄰通孔之間的一邊緣至邊緣距離。在一些實施例中,最小距離Pvia落於約一閘極間距之0.5倍至0.75倍及最小閘極長度Lg之0.85倍至1.05倍之一總和的預定距離範圍內。 接著,在操作208中,量測通孔S1至S4、S13至S17、S9至S12、S20至S24當中之兩個通孔之間的垂直距離以判定規則1核查是否通過。例如,通孔S1與S15之間的垂直距離係V1。垂直距離V1自通孔S1之一邊緣至通孔S15之一邊緣量測。然而,此並非係本實施例之一限制。垂直距離V1可自通孔S1之中心至通孔S15之中心量測。當獲得兩個通孔之間的全部垂直距離時,佈局修改方法200可判定哪一通孔對具有落於通孔寬度Wvia之約4.5倍至5.5倍之第一距離範圍內之一垂直距離。在一些實施例中,定位於電路單元佈局300之上側處之通孔S1至S4、S13至S17具有落於第一距離範圍內之垂直距離。定位於電路單元佈局300之下側處之通孔S9至S12、S20至S24具有落於第一距離範圍內之垂直距離。 接著,在操作210中,量測通孔S1至S4、S13至S17、S9至S12、S20至S24當中之兩個通孔之間的水平距離以判定規則2核查是否通過。例如,通孔S1與S13之間的水平距離係H1。水平距離H1自通孔S1之一邊緣至通孔S13之一邊緣量測。然而,此並非係本實施例之一限制。水平距離H1可自通孔S1之中心至通孔S13之中心量測。當獲得兩個通孔之間的全部水平距離時,佈局修改方法200可判定哪一通孔對具有落於通孔寬度Wvia之約6.5倍至7.5倍之第二距離範圍內之一水平距離。在一些實施例中,針對電路單元佈局300之上側,通孔對S15及S1、S1及S13、S13及S3、S3及S16、S16及S2、S2及S14、S14及S4、S4及S17具有落於第二距離範圍內之水平距離。針對電路單元佈局300之下側,通孔對S24及S11、S11及S23、S23及S9、S9及S21、S21及S12、S12及S24、S24及S10、S10及S22具有落於第二距離範圍內之水平距離。 在操作208及210之後,獲得具有在第一距離範圍內之垂直距離且具有在第二距離範圍內之水平距離之通孔對。 在操作212中,針對具有在第一距離範圍內之一垂直距離且具有在第二距離範圍內之一水平距離的各通孔對,佈局修改方法200判定該通孔對是否電耦合至相同訊號位準(即,規則A),且判定在用於製造該通孔對之一遮罩上之兩個通孔圖案之間的距離是否落於約閘極間距Pgate之1.8倍至2倍及閘極長度Lg之0.45倍至0.6倍之一總和之一距離範圍內(即,規則B)。閘極間距Pgate自一閘極之中心至一相鄰閘極之中心量測。然而,此並非係本實施例之一限制。閘極間距Pgate可自一閘極之一邊緣至一相鄰閘極之一邊緣量測。當該通孔對通過規則A及規則B核查時,將該通孔對合併成一經合併通孔結構。在一些實施例中,通孔對S1及S13、S2及S14、S3及S16、S4及S17、S9及S21、S10及S22、S11及S23、S12及S24通過規則A及規則B核查。因此,通孔對S1及S13、S2及S14、S3及S16、S4及S17、S9及S21、S10及S22、S11及S23、S12及S24分別如圖4中所展示般合併成經合併通孔結構Sm1至Sm8。 圖4係根據一些實施例之在IC之第一層上的電路單元之一經修改電路單元佈局400之一示意圖。在一些實施例中,當電路單元佈局300中之通孔對S1及S13、S2及S14、S3及S16、S4及S17、S9及S21、S10及S22、S11及S23、S12及S24分別合併成經合併通孔結構Sm1至Sm8時,用於製造或光微影通孔S5至S8、S15、S18至S20之遮罩計數減小至六(6)。當通孔S5至S8、S15、S18至S20及Sm1至Sm8之間之空間關係經分析時,複數個指示線展示於電路單元佈局400上。粗虛線連接複數個通孔S5至S8,且細虛線連接複數個通孔S15、S18至20、Sm1至Sm8。注意,為簡潔起見,圖4中省略其他類似注釋。明確言之,若兩個通孔由一粗虛線連接,則該兩個通孔不應由相同遮罩光微影。再者,若兩個通孔由一細虛線連接,則該兩個通孔不應由相同遮罩光微影。據此,為符合該多圖案化光微影之設計規則,通孔S5及S8由一第一遮罩光微影。通孔S6及S7由一第二遮罩光微影。通孔Sm1、S19、Sm7由一第三遮罩光微影。通孔Sm3及Sm5由一第四遮罩光微影。通孔Sm2及Sm8由一第五遮罩光微影。通孔S15、Sm4、S20及Sm6由一第六遮罩光微影。 注意,當一第一通孔及一第二通孔合併成一經合併通孔結構時,該原始第一通孔及該原始第二通孔(例如,S1及S13)可定位於該經合併通孔結構(例如,Sm1)下方,且該原始第一通孔及該原始第二通孔不可自該電路單元佈局之俯視圖看見。因此,通孔對S1及S13、S2及S14、S3及S16、S4及S17、S9及S21、S10及S22、S11及S23、S12及S24不展示於圖4中。換言之,該經合併通孔結構(例如Sm1)可視作經組態以電耦合該第一通孔及該第二通孔(例如,S1及S13)之一結構。 接著,可藉由佈局修改方法200之操作208至212修改電路單元佈局400以進一步減小遮罩計數。類似於電路單元佈局300,在操作208中,量測在通孔S5至S8、S15、S18至S20及Sm1至Sm8當中之兩個通孔之間的垂直距離。 接著,在操作210中,量測在通孔S5至S8、S15、S18至S20及Sm1至Sm8當中之兩個通孔之間的水平距離。 在操作208及210之後,獲得具有在第一距離範圍內之垂直距離且具有在第二距離範圍內之水平距離的通孔對。 在操作212中,針對具有在第一距離範圍內之一垂直距離及在第二距離範圍內之一水平距離的各通孔對,佈局修改方法200判定該通孔對是否遵循上文所提及之規則A及規則B。若該通孔對通過規則A及規則B核查,則將該通孔對合併成一經合併通孔結構。 在一些實施例中,佈局修改方法200迭代地執行操作208至212以核查且合併在電路單元佈局400之單元邊界附近之該(等)通孔,直至全部候選通孔合併成一軌道為止,如圖5中所展示。圖5係根據一些實施例之在IC之第一層上的電路單元之一電路單元佈局500之一示意圖。參考圖5,電路單元佈局400中之通孔S5及Sm1至Sm4合併成一第一通孔軌道(或簡化為一軌道)Sr1,且電路單元佈局400中之通孔S20及Sm5至Sm8合併成一第二通孔軌道Sr2。據此,用於製造或光微影通孔S5至S8、S18至S19、Sr1及Sr2之遮罩計數減小至五(5)。注意,為簡潔起見,圖5中省略其他類似注釋。 特定言之,當通孔S5至S8、S18至S19、Sr1及Sr2之間之空間關係經分析時,複數個指示線展示於電路單元佈局500上。粗虛線連接複數個通孔S5至S8,且細虛線連接複數個通孔S18至S19、Sr1及Sr2。明確言之,若兩個通孔由一粗虛線連接,則該兩個通孔不應由相同遮罩光微影。再者,若兩個通孔由一細虛線連接,則該兩個通孔不應由相同遮罩光微影。據此,為符合該多圖案化光微影之設計規則,通孔Sr1及Sr2由一第一遮罩光微影。通孔S5及S8由一第二遮罩光微影。通孔S6及S7由一第三遮罩光微影。通孔S19由一第四遮罩光微影。通孔S18由一第五遮罩光微影。 因此,在電路單元佈局300藉由佈局修改方法200處理之後,將在電路單元佈局300之上側中之通孔S1至S4及S13至S17合併成第一通孔軌道Sr1,且將在電路單元佈局300之下側中之通孔S9至S12及S20至S24合併成第二通孔軌道Sr2。因此,佈局修改方法200可簡化一電路單元之電路單元佈局且減小用於製造電路單元之遮罩計數。再者,通孔軌道Sr1 (或Sr2)具有較小通孔電阻及較小製程變動。通孔軌道Sr1 (或Sr2)亦具有更佳電磁(EM)耐受性。 注意,上文電路單元佈局300係一多閘極裝置之佈局,但此並非係本實施例之一限制。當佈局修改方法200應用於一垂直裝置之佈局時,佈局修改方法200亦具有類似益處。圖6係根據一些實施例之在一IC之第一層上的一垂直電路單元之一電路單元佈局600之一示意圖。電路單元佈局600已藉由佈局修改方法200修改。該垂直電路單元包括一P型區域602及一N型區域604。在一些實施例中,定位於電路單元佈局600之上單元邊界處之通孔Sv1至Sv4合併成一第一通孔軌道Svr1,且定位於電路單元佈局600之下單元邊界處之通孔Sv5至Sv8合併成一第二通孔軌道Svr2。因此,當第一通孔軌道Svr1、第二通孔軌道Svr2、複數個接點通孔Sv9至Sv16及複數個閘極通孔Sv17至Sv18之間之空間關係經分析時,複數個指示線展示於電路單元佈局600上。粗虛線連接複數個接點通孔Sv9至Sv16及複數個閘極通孔Sv17至Sv20。細虛線連接第一通孔軌道Svr1、第二通孔軌道Svr2及複數個閘極通孔Sv17至Sv18。明確言之,若兩個通孔藉由一粗虛線連接,則該兩個通孔不應由相同遮罩光微影。若兩個通孔由一細虛線連接,則該兩個通孔不應由相同遮罩光微影。據此,為符合該多圖案化光微影之設計規則,第一通孔軌道Svr1及第二通孔軌道Svr2由一第一遮罩光微影。接點通孔Sv9、Sv11、Sv14及Sv16由一第二遮罩光微影。接點通孔Sv10、Sv12、Sv13及Sv15由一第三遮罩光微影。閘極通孔Sv17及Sv19由一第四遮罩光微影。閘極通孔Sv18及Sv20由一第五遮罩光微影。用以修改電路單元佈局600之操作類似於對電路單元佈局300執行之上文操作,且因此此處為簡潔起見省略詳細描述。 圖7係根據一些實施例之一佈局修改系統700之一功能性方塊圖。在一些實施例中,佈局修改系統700包含一分配分析工具706及一規則核查工具708。空間分析工具2406接收一積體電路之一設計佈局702。顯示工具704經組態以顯示該積體電路之層中之複數個佈局片段。分配分析工具706經組態以執行操作2061、2062、2064、208、210及212中之量測。分配分析工具706經組態以執行操作2061、2062、2064、208、210及212中之量測。規則核查工具708經組態以執行操作2061、2062、2063、2064、208、210及212中之核查。顯示工具704進一步經組態以顯示該積體電路之經修改電路單元佈局。 圖8係根據一些實施例用於實施參考圖2所描述之佈局修改方法及參考圖7所描述之佈局修改系統700之一硬體系統800之一方塊圖。系統800包含至少一個處理器802、一網路介面804、一輸入及輸出(I/O)裝置806、一儲存器808、一記憶體812及一匯流排810。匯流排810耦合網路介面804、I/O裝置806、儲存器808及記憶體812至處理器802。 在一些實施例中,記憶體812包括一隨機存取記憶體(RAM)及/或其他揮發性儲存裝置及/或唯讀記憶體(ROM)及/或其他非揮發性儲存裝置。記憶體812包含經組態以儲存待由處理器802執行之程式指令及藉由該等程式指令存取之資料的使用者空間814及一核心816。 在一些實施例中,網路介面804經組態以存取程式指令及藉由透過一網路遠程儲存之該等程式指令存取之資料。I/O裝置806包含經組態用於啟用與系統800之使用者互動的一輸入裝置及一輸出裝置。該輸入裝置包括(例如)一鍵盤、一滑鼠等。該輸出裝置包括(例如)一顯示器、一列印機等。儲存裝置808經組態用於儲存程式指令及藉由該等程式指令存取之資料。儲存裝置808包括(例如)一磁碟及一光碟。 在一些實施例中,為執行該等程式指令,處理器802經組態以執行參考圖2所描述之方法實施例及參考圖7所描述之軟體系統實施例。 在一些實施例中,該等程式指令儲存於一非暫時性電腦可讀記錄媒體中,諸如一或多個光碟、硬碟及非揮發性記憶體裝置。 在一些實施例中,提供一種由至少一個處理器執行之佈局修改方法。該佈局修改方法包括:由該至少一個處理器分析一電路單元佈局之複數個特定佈局片段之分配以判定來自該複數個特定佈局片段之一第一特定佈局片段及一第二特定佈局片段;由該至少一個處理器判定該第一特定佈局片段及該第二特定佈局片段是否耦合至一第一訊號位準;及當該第一特定佈局片段及該第二特定佈局片段耦合至該第一訊號位準時,由該至少一個處理器將該第一特定佈局片段及該第二特定佈局片段合併成一第一經合併佈局片段。 在一些實施例中,提供一種電路單元佈局。該電路單元佈局包括複數個特定佈局片段及一經合併佈局片段。該經合併佈局片段放置於該電路單元佈局之一單元邊界上用於電耦合該複數個特定佈局片段中之一第一特定佈局片段及一第二特定佈局片段。該第一特定佈局片段及該第二特定佈局片段耦合至一相同訊號位準。 在一些實施例中,提供一種儲存程式指令之非暫時性電腦可讀儲存媒體,該等程式指令當由一電腦執行時致使該電腦執行一佈局修改方法。該佈局修改方法包括:由該至少一個處理器分析一電路單元佈局之複數個特定佈局片段之分配以判定來自該複數個特定佈局片段之一第一特定佈局片段及一第二特定佈局片段;由該至少一個處理器判定該第一特定佈局片段及該第二特定佈局片段是否耦合至一第一訊號位準;及當該第一特定佈局片段及該第二特定佈局片段耦合至該第一訊號位準時,由該至少一個處理器將該第一特定佈局片段及該第二特定佈局片段合併成一第一經合併佈局片段。 前文概述若干實施例之特徵,使得熟習此項技術者可更佳理解本揭露之態樣。熟習此項技術者應瞭解,其等可容易地使用本揭露作為一基礎用於設計或修改用於執行相同於本文中所引入之實施例之目的及/或達成本文中所引入之實施例之相同優點的其他製程及結構。熟習此項技術者亦應識別,此等等效建構不背離本揭露之精神及範疇,且其等可在不背離本揭露之精神及範疇的情況下在本文中做各種改變、置換及修改。
100‧‧‧設計流程
102‧‧‧IC設計階段
104‧‧‧平面規劃階段
106‧‧‧電源規劃階段
108‧‧‧放置階段
110‧‧‧寄生擷取及分析階段
112‧‧‧實體驗證及簽核階段
200‧‧‧佈局修改方法
202‧‧‧操作
204‧‧‧操作
206‧‧‧操作
208‧‧‧操作
210‧‧‧操作
212‧‧‧操作
214‧‧‧操作
300‧‧‧電路單元佈局
302‧‧‧P型區域
304‧‧‧N型區域
306‧‧‧第一鄰接單元
308‧‧‧第二鄰接單元
310‧‧‧第一單元邊界
312‧‧‧第二單元邊界
314‧‧‧第一電源線
316‧‧‧第二電源線
400‧‧‧經修改電路單元佈局
500‧‧‧電路單元佈局
600‧‧‧電路單元佈局
602‧‧‧P型區域
604‧‧‧N型區域
700‧‧‧佈局修改系統
702‧‧‧設計佈局
704‧‧‧顯示工具
706‧‧‧分配分析工具
708‧‧‧規則核查工具
800‧‧‧硬體系統
802‧‧‧處理器
804‧‧‧網路介面
806‧‧‧輸入及輸出(I/O)裝置
808‧‧‧儲存器/儲存裝置
810‧‧‧匯流排
812‧‧‧記憶體
814‧‧‧使用者空間
816‧‧‧核心
2061‧‧‧操作
2062‧‧‧操作
2063‧‧‧操作
2064‧‧‧操作
自結合附圖閱讀之下列[實施方式]最佳理解本揭露之態樣。應強調的是,根據業界中之標準實踐,各種特徵不按比例繪製。事實上,各種特徵之尺寸可為清楚討論之目的而任意增大或減小。 圖1係根據一些實施例之一積體電路(IC)晶片之一設計流程之一流程圖。 圖2係繪示根據一些實施例之一佈局修改方法之一流程圖。 圖3係根據一些實施例之在一積體電路之一層上的一電路單元之一電路單元佈局之一示意圖。 圖4係根據一些實施例之在一積體電路之一層上的一電路單元之一經修改電路單元佈局之一示意圖。 圖5係根據一些實施例之在一積體電路之一層上的一電路單元之一經修改電路單元佈局之一示意圖。 圖6係根據一些實施例之在一積體電路之一層上的一垂直電路單元之一經修改電路單元佈局之一示意圖。 圖7係根據一些實施例之一佈局修改系統之一功能方塊圖。 圖8係根據一些實施例之用於實施一佈局修改方法及一佈局修改系統的一硬體系統之一方塊圖。
200‧‧‧佈局修改方法
202‧‧‧操作
204‧‧‧操作
206‧‧‧操作
208‧‧‧操作
210‧‧‧操作
212‧‧‧操作
214‧‧‧操作
2061‧‧‧操作
2062‧‧‧操作
2063‧‧‧操作
2064‧‧‧操作

Claims (1)

  1. 一種由至少一個處理器執行之佈局修改方法,該佈局修改方法包括: 由該至少一個處理器分析一電路單元佈局之複數個特定佈局片段之分配以判定來自該複數個特定佈局片段之一第一特定佈局片段及一第二特定佈局片段; 由該至少一個處理器判定該第一特定佈局片段及該第二特定佈局片段是否耦合至一第一訊號位準;及 當該第一特定佈局片段及該第二特定佈局片段耦合至該第一訊號位準時,由該至少一個處理器將該第一特定佈局片段及該第二特定佈局片段合併成一第一經合併佈局片段。
TW105137510A 2015-11-16 2016-11-16 佈局修改方法及系統 TW201729133A (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US14/942,927 US10169515B2 (en) 2015-11-16 2015-11-16 Layout modification method and system

Publications (1)

Publication Number Publication Date
TW201729133A true TW201729133A (zh) 2017-08-16

Family

ID=58690653

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105137510A TW201729133A (zh) 2015-11-16 2016-11-16 佈局修改方法及系統

Country Status (3)

Country Link
US (1) US10169515B2 (zh)
CN (1) CN106997406A (zh)
TW (1) TW201729133A (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI662636B (zh) * 2017-08-30 2019-06-11 台灣積體電路製造股份有限公司 積體電路及其形成方法
TWI672557B (zh) * 2017-08-30 2019-09-21 台灣積體電路製造股份有限公司 導電線結構、修改佈局圖的系統及產生修改佈局圖的方法
TWI732208B (zh) * 2018-05-02 2021-07-01 台灣積體電路製造股份有限公司 產生積體電路佈局方法及系統

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10169515B2 (en) * 2015-11-16 2019-01-01 Taiwan Semiconductor Manufacturing Company Ltd. Layout modification method and system
KR20170133750A (ko) * 2016-05-26 2017-12-06 삼성전자주식회사 집적 회로의 설계를 위한 컴퓨터 구현 방법
US10331844B2 (en) * 2016-10-11 2019-06-25 Globalfoundries Inc. Methods of tuning current ratio in a current mirror for transistors formed with the same FEOL layout and a modified BEOL layout
US10559558B2 (en) * 2017-09-29 2020-02-11 Taiwan Semiconductor Manufacturing Co., Ltd. Pin modification for standard cells
US10733353B2 (en) * 2018-09-18 2020-08-04 Taiwan Semiconductor Manufacturing Company Ltd. System and method for forming integrated device
CN111914500B (zh) * 2020-07-23 2022-09-20 清华大学 一种快速单磁通量子rsfq电路布局方法和装置
CN114077815A (zh) * 2020-08-12 2022-02-22 深圳市海思半导体有限公司 一种围栅器件的设计方法和装置

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4644614B2 (ja) * 2006-02-27 2011-03-02 富士通セミコンダクター株式会社 レイアウトエディタ装置、配線表示方法、及び配線表示プログラム
US7956421B2 (en) * 2008-03-13 2011-06-07 Tela Innovations, Inc. Cross-coupled transistor layouts in restricted gate level layout architecture
KR100935733B1 (ko) * 2008-06-20 2010-01-08 주식회사 하이닉스반도체 피치 변화 구간을 포함하는 레이아웃 보정방법
US8799844B2 (en) * 2011-01-28 2014-08-05 International Business Machines Corporation Layout decomposition method and apparatus for multiple patterning lithography
US8667444B2 (en) * 2012-02-17 2014-03-04 Synopsys, Inc. Concurrent placement and routing using hierarchical constraints
US9009639B2 (en) * 2013-07-12 2015-04-14 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for enhanced integrated circuit layout
US9405879B2 (en) * 2014-04-01 2016-08-02 Taiwan Semiconductor Manufacturing Co., Ltd. Cell boundary layout
US10169515B2 (en) * 2015-11-16 2019-01-01 Taiwan Semiconductor Manufacturing Company Ltd. Layout modification method and system

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI662636B (zh) * 2017-08-30 2019-06-11 台灣積體電路製造股份有限公司 積體電路及其形成方法
TWI672557B (zh) * 2017-08-30 2019-09-21 台灣積體電路製造股份有限公司 導電線結構、修改佈局圖的系統及產生修改佈局圖的方法
US10503863B2 (en) 2017-08-30 2019-12-10 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit and method of manufacturing same
US10515178B2 (en) 2017-08-30 2019-12-24 Taiwan Semiconductor Manufacturing Company, Ltd. Merged pillar structures and method of generating layout diagram of same
US11100273B2 (en) 2017-08-30 2021-08-24 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit and method of manufacturing same
US11157677B2 (en) 2017-08-30 2021-10-26 Taiwan Semiconductor Manufacturing Company, Ltd. Merged pillar structures and method of generating layout diagram of same
US11842137B2 (en) 2017-08-30 2023-12-12 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit and method of manufacturing same
TWI732208B (zh) * 2018-05-02 2021-07-01 台灣積體電路製造股份有限公司 產生積體電路佈局方法及系統
US11392749B2 (en) 2018-05-02 2022-07-19 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit layout generation method and system
US11907636B2 (en) 2018-05-02 2024-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit layout generation method

Also Published As

Publication number Publication date
CN106997406A (zh) 2017-08-01
US20170140086A1 (en) 2017-05-18
US10169515B2 (en) 2019-01-01

Similar Documents

Publication Publication Date Title
TW201729133A (zh) 佈局修改方法及系統
US11675954B2 (en) Method of designing a device
CN107066681B (zh) 集成电路和制造集成电路的计算机实现方法
US10402529B2 (en) Method and layout of an integrated circuit
US9361423B2 (en) RC corner solutions for double patterning technology
US11461528B2 (en) Integrated circuit, system for and method of forming an integrated circuit
US9026973B2 (en) System and method for arbitrary metal spacing for self-aligned double patterning
US9530727B2 (en) Conductive line routing for multi-patterning technology
CN109585371B (zh) 集成电路布局方法、结构和系统
US9824968B2 (en) Method, system and computer readable medium using stitching for mask assignment of patterns
US11205032B2 (en) Integrated circuit design method, system and computer program product
US10268796B2 (en) Method and system for pin layout
US20230252219A1 (en) Method and system of forming semiconductor device
US8751975B2 (en) RC corner solutions for double patterning technology
TWI718245B (zh) 積體電路、製造其的電腦實施方法以及定義其的標準元件
US10424518B2 (en) Integrated circuit designing system and a method of manufacturing an integrated circuit
US9870441B1 (en) Snap-to valid pattern system and method
TW202331580A (zh) 積體電路的佈局圖的修改方法及修改系統及電腦編程產品
US8972910B1 (en) Routing method
US10055531B2 (en) Layout checking method for advanced double patterning photolithography with multiple spacing criteria
TW202343569A (zh) 積體電路及其製造方法
TW201903634A (zh) 胞元放置方法、電腦系統及非暫時性電腦可讀取媒體