TW201725730A - 鰭式場效應電晶體元件 - Google Patents

鰭式場效應電晶體元件 Download PDF

Info

Publication number
TW201725730A
TW201725730A TW105139885A TW105139885A TW201725730A TW 201725730 A TW201725730 A TW 201725730A TW 105139885 A TW105139885 A TW 105139885A TW 105139885 A TW105139885 A TW 105139885A TW 201725730 A TW201725730 A TW 201725730A
Authority
TW
Taiwan
Prior art keywords
layer
dielectric layer
gate
connector
gate stack
Prior art date
Application number
TW105139885A
Other languages
English (en)
Inventor
張哲誠
林志翰
曾鴻輝
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201725730A publication Critical patent/TW201725730A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823871Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/535Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including internal interconnections, e.g. cross-under constructions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/1608Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

揭露一種鰭式場效應電晶體元件,其包括具有至少一個鰭片的基底、第一閘堆疊以及第二閘堆疊、第一應變層、第二應變層、第一介電層、第二介電層、第一連接件以及第二連接件。第一閘堆疊以及第二閘堆疊橫跨鰭片。第一應變層以及第二應變層分別在第一閘堆疊以及第二閘堆疊側邊。第一介電層以及第二介電層分別在第一應變層以及第二應變層上方,且第一介電層的頂表面低於第二介電層的頂表面。第一連接件通過第一介電層且電性連接至第一應變層。第二連接件通過第二介電層且電性連接至第二應變層。此外,第二連接件的寬度大於第一連接件的寬度。

Description

鰭式場效應電晶體元件
本發明實施例是關於鰭式場效應電晶體元件及其形成方法。
半導體積體電路(IC)產業經歷了快速的成長。在IC材料和設計技術方面的技術精進使IC有世代的演進,相較於前一世代,下一世代的IC體積更小且電路更為複雜。在積體電路進化的過程中,功能密度(亦即,每晶片面積的內連元件的數量)不斷地增加,而幾何尺寸(即,可使用製造過程所產生的最小組件或線)不斷地縮小。這樣的按比例縮小製程通常通過提高生產效率以及降低相關成本來提供益處。
這種按比例縮小增加了處理和製造IC的複雜性,並且為了實現這些進步,需要IC處理和製造中的類似發展。舉例來說,引進例如鰭式場效應電晶體的三維電晶體來代替平面電晶體。儘管現有的鰭式場效應電晶體元件及其形成方法對於它們的預期目的通常已經足夠,然而它們不是在所有方面都令人完全滿意。
根據本發明的一些實施例,一種鰭式場效應電晶體元件包括具有至少一個鰭片的基底、第一閘堆疊以及第二閘堆疊、第一應變層以及第二應變層、第一介電層以及第二介電層,以及第一連接件以及第二連接件。第一閘堆疊以及第二閘堆疊橫跨所述鰭片。第一應變層以及第二應變層分別在第一閘堆疊以及第二閘堆疊側邊。第一介電層以及第二介電層分別在第一應變層以及第二應變層上方,且第一介電層的頂表面低於第二介電層的頂表面。第一連接件通過第一介電層且電性連接至第一應變層。第二連接件通過第二介電層且電性連接至第二應變層。此外,第二連接件的寬度大於第一連接件的寬度。
以下揭露內容提供許多不同的實施例或實例,用於實現所提供標的之不同特徵。以下所描述的組件及配置的具體實例是為了以簡化的方式傳達本揭露為目的。當然,這些僅僅為實例而非用以限制。舉例來說,於以下描述中,在第一特徵上方或在第一特徵上形成第二特徵可包括第二特徵與第一特徵形成為直接接觸的實施例,且亦可包括第二特徵與第一特徵之間可形成有額外特徵使得第二特徵與第一特徵可不直接接觸的實施例。此外,本揭露在各種實例中可使用相同的元件符號及/或字母來指代相同或類似的構件。元件符號的重複使用是為了簡單及清楚起見,且並不表示所欲討論的各個實施例及/或配置本身之間的關係。
另外,為了易於描述附圖中所繪示的一個構件或特徵與另一構件或特徵的關係,本文中可使用例如「在…下」、「在…下方」、「下部」、「在…上」、「在…上方」、「上部」及類似術語的空間相對術語。除了附圖中所繪示的定向之外,所述空間相對術語意欲涵蓋元件在使用或操作時的不同定向。設備可被另外定向(旋轉90度或在其他定向),而本文所用的空間相對術語相應地做出解釋。
圖1A到圖1E為根據一些實施例的鰭式場效應電晶體元件的形成方法的剖面示意圖。
參考圖1A,提供具有一或多個鰭片102的基底100。在一些實施例中,基底100包括含矽基底、絕緣體上矽(silicon-on-insulator;SOI)基底或由其它合適的半導體材料形成的基底。取決於設計要求,基底100可為P型基底或N型基底且其中可具有摻雜區。摻雜區可經配置用於N型鰭式場效應電晶體元件或P型鰭式場效應電晶體元件。在一些實施例中,基底100上形成有隔離層。具體來說,隔離層覆蓋鰭片102的下部且暴露鰭片102的上部。在一些實施例中,隔離層為淺溝渠隔離(shallow trench isolation;STI)結構。
此外,基底100具有彼此相鄰的第一區10以及第二區20。在一些實施例中,第一區10以及第二區20具有不同圖案密度。在一些實施例中,第一區10中的圖案密度大於第二區20中的圖案密度。在一些實施例中,第一區10中的間距(pitch)P1小於第二區20中的間距P2。在一些實施例中,間距為特徵線寬加上特徵線之間的中間空間,或定義為重複構件之間的距離。在一些實施例中,間距定義為從一個閘堆疊的第一側(例如,左側)到下一個閘堆疊的第一側(例如,左側)的距離。在一些實施例中,第二區20中的間距P2為第一區10中的間距P1的至少約1.5倍、2倍或20倍。在一些實施例中,舉例來說,間距P1不超過約14 nm或不超過約10 nm。
在一些實施例中,在第一區10中,基底100具有形成於其上的至少兩個第一閘堆疊111、形成於其中的第一應變層106,以及形成在第一閘堆疊111側邊及第一應變層106上方的第一介電層108。在一些實施例中,在第二區20中,基底100具有形成於其上的至少兩個第二閘堆疊211、形成於其中的第二應變層206,以及形成在第二閘堆疊211側邊及第二應變層206上方的第二介電層208。
在一些實施例中,第一閘堆疊111中的每一者定義為包括第一金屬閘極112、在第一金屬閘極112與基底100之間的第一閘介電層110,以及在第一金屬閘極112的側壁上的第一間隙壁104。在一些實施例中,第二閘堆疊211中的每一者定義為包括第二金屬閘極212、在第二金屬閘極212與基底100之間的第二閘介電層210,以及在第二金屬閘極212的側壁上的第二間隙壁204。
在一些實施例中,形成圖1A的第一區10的中間結構的方法包括:形成橫跨鰭片102的至少兩個第一虛設閘堆疊;在第一虛設閘堆疊的側壁上形成第一間隙壁104;在每一鰭片102的兩側處形成第一應變層106;在第一虛設閘堆疊側邊以及第一應變層106上方形成第一介電層108;以及用第一金屬閘堆疊替換第一虛設閘堆疊。在一些實施例中,形成圖1A的第二區20的中間結構的方法包括:形成橫跨鰭片102的至少兩個第二虛設閘堆疊;在第二虛設閘堆疊的側壁上形成第二間隙壁204;在每一鰭片102的兩側處形成第二應變層206;在第二虛設閘堆疊側邊以及第二應變層206上方形成第二介電層208;以及用第二金屬閘堆疊替換第二虛設閘堆疊。
在一些實施例中,第一以及第二虛設閘堆疊包括含矽材料,例如多晶矽、非晶矽或其組合。在一些實施例中,第一以及第二虛設閘堆疊的延伸方向不同於(例如,垂直於)鰭片102的延伸方向。在一些實施例中,形成第一以及第二虛設閘堆疊的方法包括在基底100上形成堆疊層,並用微影以及蝕刻製程來圖案化堆疊層。
在一些實施例中,第一間隙壁104以及第二間隙壁204包括含氮介電材料、含碳介電材料或兩者,且第一間隙壁104以及第二間隙壁204具有小於約10或甚至小於約5的介電常數。在一些實施例中,第一間隙壁104以及第二間隙壁204包括SiN、SiCN、SiOCN、SiOR(其中R為例如CH3 、C2 H5 或C3 H7 的烷基)、SiC、SiOC、SiON、其組合或其類似者。在一些實施例中,形成第一間隙壁104以及第二間隙壁204的方法包括在基底100上形成間隙壁材料層,並通過非等向性蝕刻製程來部分移除間隙壁材料層。
在一些實施例中,兩個第一應變層106形成在第一虛設閘堆疊中的每一者側邊,且第一應變層106中的一者在相鄰第一虛設閘堆疊之間。在一些實施例中,兩個第二應變層206形成在第二虛設閘堆疊中的每一者側邊,且第二應變層206中的一者在相鄰第二虛設閘堆疊之間。在一些實施例中,第一應變層106以及第二應變層206包括矽鍺(SiGe)以用於P型鰭式場效應電晶體元件。在替代性實施例中,第一應變層106以及第二應變層206包括碳化矽(SiC)、磷酸矽(SiP)、SiCP或SiC/SiP多層結構以用於N型鰭式場效應電晶體元件。在形成第一應變層106以及第二應變層206之後,可通過矽化第一應變層106以及第二應變層206的頂部來形成矽化物區。在一些實施例中,可按需要使第一應變層106以及第二應變層206任選地植入P型摻質或N型摻質。在一些實施例中,形成第一應變層106以及第二應變層206的方法包括在鰭片102中形成凹陷並從凹陷生長磊晶層。在一些實施例中,第一應變層106以及第二應變層206可被稱作「源極/汲極區」。
在一些實施例中,第一介電層108以及第二介電層208包括:氮化物,例如氮化矽;氧化物,例如氧化矽、磷矽酸鹽玻璃(PSG)、硼矽玻璃(BSG)、硼摻雜型磷矽酸鹽玻璃(BPSG);其組合或其類似者。在一些實施例中,第一終止層107在形成第一應變層106的步驟之後且在形成第一介電層108的步驟之前形成,且第二終止層207在形成第二應變層206的步驟之後且在形成第二介電層208的步驟之前形成。在一些實施例中,第一終止層107以及第二終止層207包括SiN、SiC或其類似者。在一些實施例中,第一終止層107以及第二終止層207被稱作「接觸蝕刻終止層(contact etch stop layer;CESL)」。在一些實施例中,在形成第一應變層106以及第二應變層206的步驟之後,通過合適沉積技術(例如旋轉塗佈、CVD、流動式CVD、PECVD、ALD、其組合或其類似者)將終止材料層以及介電材料層形成於基底100上,所述終止材料層以及介電材料層填充第一虛設閘堆疊之間以及第二虛設閘堆疊之間的間隙。此後,通過例如CMP的合適技術平坦化終止材料層以及介電材料層,直至暴露第一以及第二虛設閘堆疊的頂部為止。在一些實施例中,第一介電層108以及第二介電層208的頂表面實質上與第一虛設閘堆疊以及第二虛設閘堆疊的頂表面齊平。
在一些實施例中,用第一以及第二金屬閘堆疊替換第一以及第二虛設閘堆疊。在一些實施例中,移除第一虛設閘堆疊以及第二虛設閘堆疊以在第一介電層108以及第二介電層208中形成第一閘溝渠以及第二閘溝渠,接著,將第一金屬閘堆疊以及第二金屬閘堆疊形成於第一閘溝渠以及第二閘溝渠中。在一些實施例中,形成第一以及第二金屬閘堆疊的方法包括用CVD、PVD、電鍍或合適製程形成堆疊層,接著,執行CMP製程以移除第一以及第二閘溝渠外部的堆疊層。在一些實施例中,第一介電層108以及第二介電層208的頂表面實質上與第一金屬閘堆疊以及第二金屬閘堆疊的頂表面或第一閘堆疊111以及第二閘堆疊211的頂表面齊平。
在一些實施例中,第一金屬閘堆疊中的每一者包括第一閘介電層110以及在第一閘介電層110上的第一金屬閘極112(或稱為「替換閘極」),且第二金屬閘堆疊中的每一者包括第二閘介電層210以及在第二閘介電層210上的第二金屬閘極212(或稱為「替換閘極」)。在一些實施例中,第一以及第二金屬閘堆疊的延伸方向不同於(例如,垂直於)鰭片102的延伸方向。在一些實施例中,第一閘介電層110中的每一者環繞對應的第一金屬閘極112的側壁以及底部且在每一鰭片102的頂部以及側壁上,且第二閘介電層210中的每一者環繞對應的第二金屬閘極212的側壁以及底部且在每一鰭片102的頂部以及側壁上,如圖1A中所示。在一些實施例中,例如氧化矽層的介面層形成於鰭片102與第一閘介電層110之間以及鰭片102與第二閘介電層210之間。
在一些實施例中,第一閘介電層110以及第二閘介電層210中的每一者包括具有大於約10的介電常數的高k材料。在一些實施例中,高k材料包括金屬氧化物,例如,ZrO2 、Gd2 O3 、HfO2 、BaTiO3 、Al2 O3 、LaO2 、TiO2 、Ta2 O5 、Y2 O3 、STO、BTO、BaZrO、HfZrO、HfLaO、HfTaO、HfTiO、其組合或合適的材料。在替代性實施例中,第一閘介電層110以及第二閘介電層210可任選地包括矽酸鹽(silicate),例如HfSiO、LaSiO、AlSiO、其組合或合適材料。
在一些實施例中,第一金屬閘極112以及第二金屬閘極212中的每一者包括適於形成金屬閘極或其部分的金屬材料。在一些實施例中,第一金屬閘極112以及第二金屬閘極212中的每一者包括功函數金屬層以及在功函數金屬層上的填充金屬層。在一些實施例中,功函數金屬層為P型功函數金屬層,其提供適當地執行P型鰭式場效應電晶體元件的閘電極。P型功函數金屬層包括TiN、WN、TaN、導電金屬氧化物及/或合適材料。在替代性實施例中,功函數金屬層為N型功函數金屬層,其提供適當地執行N型鰭式場效應電晶體元件的閘電極。N型功函數金屬層包括TiAl、TiAlN或TaCN、導電金屬氧化物及/或合適材料。填充金屬層包括銅(Cu)、鋁(Al)、鎢(W)或合適材料。在一些實施例中,第一金屬閘極112以及第二金屬閘極212中的每一者可更包括襯層、介面層、晶種層、黏附層、阻障層、其組合或其類似者。
上文實施例中,第一區10以及第二區20中的類似構件/層為同時形成,其是出於說明的目的提供,且不應理解為限制本發明。在替代性實施例中,根據製程要求,第一區10以及第二區20中的類似構件/層為各自單獨地形成。換句話說,第一區10以及第二區20中的類似構件/層可形成有不同材料、厚度、大小、形狀及/或性質。
參考圖1B,形成罩幕層114以覆蓋非目標區域(例如,第二介電層208)並暴露目標區域(例如,第一介電層108)。在一些實施例中,罩幕層114覆蓋第二區20並暴露第一區10。在一些實施例中,罩幕層114經配置以覆蓋隨後形成的較大開口的預期位置,並暴露隨後形成的較小開口的預期位置。舉例來說,罩幕層114覆蓋第二介電層208並暴露第一介電層108。在一些實施例中,罩幕層114包括光阻層且是通過微影製程形成。
此後,通過使用罩幕層114作為罩幕來部分移除第一介電層108,使得剩餘的第一介電層108a的頂表面低於第二介電層208的頂表面。在一些實施例中,第一介電層108的部分移除步驟包括執行回蝕刻製程。在一些實施例中,在回蝕刻製程期間,以相比第一金屬閘極112、第一間隙壁104以及第一終止層107快得多的速率蝕刻第一介電層108。具體來說,回蝕刻製程移除部分第一介電層108,而使第一金屬閘極112、第一間隙壁104以及第一終止層107實質上完好。在一些實施例中,回蝕刻製程移除第一介電層108的厚度的約20%到約60%。在對第一介電層108進行部分移除步驟之後,凹陷105形成於相鄰第一閘堆疊111之間,並由相鄰第一間隙壁104以及第一介電層108a環繞。在一些實施例中,由凹陷105暴露第一終止層107的上部。接著,移除罩幕層114。
參考圖1C,遮蔽層116形成於基底100上的第一區10以及第二區20中,從而覆蓋凹陷105的表面。在一些實施例中,遮蔽層116經配置以在以下接觸孔定義步驟期間保護第一金屬閘極112以及第二金屬閘極212免於受損。在一些實施例中,遮蔽層116充當閘極保護層,因此,第一閘堆疊111以及第二閘堆疊211中的每一者並不包括習知的頂蓋層或硬罩幕層。在一些實施例中,遮蔽層116毯覆式形成於第一閘堆疊111以及第二閘堆疊211上,以及第一介電層108a以及第二介電層208上。具體來說,遮蔽層116形成為與第一金屬閘極112、第二金屬閘極212、第一間隙壁104、第二間隙壁204、第一介電層108a以及第二介電層208實體接觸(physical contact)。在一些實施例中,遮蔽層116與第一閘介電層110以及第二閘介電層210實體接觸,如圖1B中所示。在替代性實施例中,遮蔽層116未與第一閘介電層110以及第二閘介電層210實體接觸。
在一些實施例中,遮蔽層116包括SiN、SiC、SiCN、SiON、SiCON、其組合或其類似者,且是通過合適沉積技術形成,例如化學氣相沉積(CVD)、電漿增強型CVD(PECVD)、原子層沉積(ALD)、遠程電漿ALD(RPALD)、電漿增強型ALD(PEALD)、其組合或其類似者。在一些實施例中,遮蔽層116具有約5埃到100埃的厚度。
此後,第三介電層120形成於遮蔽層116上。在一些實施例中,第三介電層120毯覆式形成於第一區10以及第二區20中的遮蔽層116上。在一些實施例中,第三介電層120包括相同於第一介電層108a以及第二介電層208的材料。在替代性實施例中,第一介電層108a、第二介電層208以及第三介電層120由不同材料製成。在一些實施例中,第三介電層120包括氮化物,例如氮化矽;氧化物,例如氧化矽、PSG、BSG、BPSG;其組合或其類似者,且其通過合適沉積技術形成,例如旋轉塗佈、CVD、流動式CVD、PECVD、ALD、其組合或其類似者。
參考圖1D,圖案化或部分移除第三介電層120、遮蔽層116、第一介電層108a、第二介電層208、第一終止層107以及第二終止層207,以便形成對應於第一區10中的第一應變層106的第一開口122(或稱為「接觸孔」),以及形成對應於第二區20中的第二應變層206的第二開口222(或稱為「接觸孔」)。在一些實施例中,圖案化步驟包括執行蝕刻製程。
在一些實施例中,在形成第三介電層120之後,在第三介電層120上形成具有多個不同大小開口的硬罩幕層。在一些實施例中,硬罩幕層的開口對應於隨後形成的接觸孔的預期位置。在一些實施例中,硬罩幕層包括光阻材料、介電材料或其組合,且是通過CVD、ALD、旋轉塗佈、其組合或其類似者形成。此後,通過使用硬罩幕層作為蝕刻罩幕,移除部分第三介電層120、部分遮蔽層116、部分第一介電層108a、部分第二介電層208、部分第一終止層107以及部分第二終止層207。
在一些實施例中,第一開口122中的每一者穿過第三介電層120、遮蔽層116、第一介電層108a以及第一終止層107並暴露對應的第一應變層106,且第二開口222中的每一者穿過第三介電層120、遮蔽層116、第二介電層208以及第二終止層207並暴露對應的第二應變層206。在一些實施例中,第一開口122以及第二開口222具有傾斜側壁,如圖1D中所示。在替代性實施例中,第一開口122以及第二開口222中的至少一些具有實質上垂直側壁。此外,第一開口122以及第二開口222的形狀可按需要為圓形、橢圓形、正方形、矩形、條形、多邊形或任何形狀。
在一些實施例中,第一開口122的高寬比(aspect ratio)大於第二開口222的高寬比。在一些實施例中,開口的高寬比定義為最長側與最短側的比率。舉例來說,開口的高寬比定義為高度與寬度的比率。在一些實施例中,第一開口122的高寬比(高度H1/寬度W1)介於約1到20的範圍內。
在一些實施例中,第二開口222的尺寸(例如,寬度)大於第一開口122的尺寸(例如,寬度)。在一些實施例中,第二開口222的寬度W2為第一開口122的寬度W1的至少約1.5倍、2倍或甚至20倍。
參考圖1E,第一連接件124形成於第一開口122中,且第二連接件224形成於第二開口222中。在一些實施例中,第一連接件124以及第二連接件224意圖表示電性連接至第一應變層106以及第二應變層206的任何類型的導電材料以及結構。在一些實施例中,第一連接件124形成為通過第三介電層120、遮蔽層116、第一介電層108a以及第一終止層107並電性連接至第一應變層106,且第二連接件224形成為通過第三介電層120、遮蔽層116、第二介電層208以及第二終止層207並電性連接至第二應變層206。
在一些實施例中,第一連接件124以及第二連接件224包括金屬,例如鎢、銅、其合金或具有合適電阻以及間隙填充能力的任何金屬材料。在一些實施例中,通過濺鍍、CVD、電化學鍍(ECP)、其組合或其類似者將金屬材料層形成於基底100上,所述金屬材料層填充於第一開口122以及第二開口222中。接著,執行例如CMP的平坦化步驟以移除部分金屬材料層,直至暴露第三介電層120的頂部為止。在一些實施例中,第一連接件124以及第二連接件224的頂部實質上與第三介電層120的頂部共平面。因此,完成鰭式場效應電晶體元件1。
當元件具備較小接觸件以及較大接觸件時,歸因於微負載效應(micro-loading effect)或高寬比相依性蝕刻(aspect-ratio dependent etching;ARDE)效應,用於較小接觸件的製程裕度通常比用於較大接觸件的製程裕度窄。因此,當通過習知方法定義具有不同大小的接觸孔時,通常發生較大接觸孔的過度蝕刻及/或較小接觸孔的不佳輪廓(profile)。然而,在本發明中觀察不到此種問題。具體來說,在一些實施例中,在較小接觸區(而不在較大接觸區)中部分蝕刻一個介電層,接著,在所述一個介電層上方形成遮蔽層以及另一個介電層,然後,對薄膜堆疊進行接觸孔蝕刻步驟。通過此種方式,在較小接觸區中的薄膜堆疊的蝕刻速率可實質上相同於在較大接觸區中的薄膜堆疊的蝕刻速率,因此較小以及較大接觸孔兩者都可具備較好的蝕刻輪廓,且因此較小以及較大接觸件兩者都可形成有經改良的性質。
可參考圖2的流程圖簡明地說明圖1A到1E中的上文所提及製程步驟。
在步驟300處,提供具有第一區10以及第二區20的基底100,其中在第一區10中,基底100具有形成於其上的第一閘堆疊111、形成於其中的第一應變層106,以及形成在第一閘堆疊111側邊及第一應變層106上方的第一介電層108a,且在第二區20中,基底100具有形成於其上的第二閘堆疊211、形成於其中的第二應變層206,以及形成在第二閘堆疊211側邊及第二應變層206上方的第二介電層208,如圖1A中所示。在一些實施例中,第一區10中的間距P1小於第二區20中的間距P2。
在步驟302處,形成罩幕層114以覆蓋第二介電層208並暴露第一介電層108,如圖1B中所示。在步驟304處,通過使用罩幕層114作為罩幕來部分移除第一介電層108,如圖1B中所示。在一些實施例中,部分移除步驟為回蝕刻製程。在部分移除步驟之後,第一介電層108a的頂表面低於第二介電層208的頂表面。接著,移除罩幕層114。
在步驟306處,在第一介電層108a以及第二介電層208上方形成遮蔽層116,如圖1C中所示。在步驟308處,在遮蔽層116上方形成第三介電層120,如圖1C中所示。
在步驟310處,形成通過第三介電層120、遮蔽層116以及第一介電層108a且電性連接至第一應變層106的第一連接件124,並形成通過第三介電層120、遮蔽層116以及第二介電層208且電性連接至第二應變層206的第二連接件224,其中第二連接件224的寬度W2大於第一連接件124的寬度W1,如圖1D以及圖1E中所示。因此,以所描述製程步驟完成本發明的鰭式場效應電晶體元件。然而,不限於在用於製造鰭式場效應電晶體元件的上文步驟當中添加一或多個額外步驟。
在一些實施例中,本發明的鰭式場效應電晶體元件包括具有至少一個鰭片102的基底100、第一閘堆疊111以及第二閘堆疊211、第一應變層106以及第二應變層206、第一介電層108a以及第二介電層208,以及第一連接件124以及第二連接件224。第一閘堆疊111以及第二閘堆疊211橫跨至少一個鰭片102。第一應變層106以及第二應變層206分別在第一閘堆疊111以及第二閘堆疊211側邊。第一介電層108a以及第二介電層208分別在第一應變層106以及第二應變層206上方。此外,第一介電層108a的頂表面低於第二介電層208的頂表面。在一些實施例中,第二介電層208、第二閘堆疊211以及第一閘堆疊111的頂表面實質上共平面且處於高於第一介電層108a的頂表面的水平(level)。
第一連接件124通過第一介電層108a且電性連接至第一應變層106。第二連接件224通過第二介電層208且電性連接至第二應變層206。此外,第二連接件224的寬度W2大於第一連接件124的寬度W1。在一些實施例中,第二連接件224的寬度W2為第一連接件124的寬度W1的至少約1.5倍。在一些實施例中,第一連接件124的高寬比大於約3。
在一些實施例中,本發明的鰭式場效應電晶體元件更包括遮蔽層116以及第三介電層120。遮蔽層116在第一閘堆疊111以及第二閘堆疊211上方,且在第一介電層108a以及第二介電層208上方。第三介電層120在遮蔽層116上方。第一連接件124以及第二連接件224更通過遮蔽層116以及第三介電層120。在一些實施例中,遮蔽層116包括SiN、SiC、SiCN、SiON、SiCON或其組合。在一些實施例中,遮蔽層116包括具有不同於第一介電層108a、第二介電層208或第三介電層120的蝕刻速率的材料。
在一些實施例中,第一區10中的遮蔽層116具有接觸相鄰第一連接件124之轉折點(turning point)117。具體來說,第一區10中的遮蔽層116包括在轉折點117處彼此連接的第一遮蔽部分116a以及第二遮蔽部分116b,其中第一遮蔽部分116a接觸第一閘堆疊111之第一金屬閘極112,且第二遮蔽部分116b接觸相鄰的第一連接件124,如圖1E中所示。在一些實施例中,第一區10中的遮蔽層116具有倒U形剖面,且倒U形遮蔽層充當閘極保護罩幕以在接觸孔定義步驟期間保護第一金屬閘極112免於受損。
在一些實施例中,第二區20中的遮蔽層116在側向方向上延伸且具有水平I形剖面。水平I形遮蔽層充當閘極保護罩幕以在接觸孔定義步驟期間保護第二金屬閘極212免於受損。
從另一個角度來看,遮蔽層116形成為橫跨第一區10以及第二區20且具有網狀結構,第一連接件124以及第二連接件224形成為通過網狀結構的網孔(例如,第一開口122以及第二開口222)。在一些實施例中,遮蔽層116與第一連接件124「面接觸(surface contact)」,而與第二連接件224「點接觸(point contact)」,如圖1E中所示。具體來說,遮蔽層116與第一連接件124之間的接觸面積A1大於遮蔽層116與第二連接件224之間的接觸面積A2。然而,本發明不限於此。在替代性實施例中,遮蔽層116與第一連接件124以及第二連接件224中的每一者「點接觸」,如圖3中所示。
上文實施例中,閘介電層、金屬閘極、間隙壁、第一到第三介電層、遮蔽層以及連接件中的每一者均為單層,其是出於說明的目的提供,且不應理解為限制本發明。在一些實施例中,這些所描述構件中的至少一者可按需要為多層結構。
在上文所提及實施例中,實施「後閘極(gate last)」製程以形成鰭式場效應電晶體元件。然而,如本文中所描述的類似製程可應用於例如「先閘極(gate first)」製程的另一製程或另一類型的元件(例如,平面元件)。本文中所公開的方法可容易地與CMOS製程流程整合,且並不要求額外複雜步驟以實現所要結果。應理解,本文中所公開的實施例提供不同優勢,且並非所有實施例必須要求特定優勢。
鑒於上文內容,在一些實施例中,在高圖案密度區(例如,較小接觸區)而不在低圖案密度區(例如,較大接觸區)中部分蝕刻一個介電層時,在所述一個介電層上方形成遮蔽層以及另一個介電層,並對所得薄膜堆疊進行接觸孔蝕刻步驟。通過此種方式,可降低習知的微負載效應或高寬比相依性蝕刻(ARDE)效應,因此,在較小接觸區中的薄膜堆疊的蝕刻速率可實質上相同於在較大接觸區中的薄膜堆疊的蝕刻速率。因此,接觸孔且因此具有不同大小的接觸件可具備經改良的蝕刻輪廓以及性質。
此外,在高圖案密度區中,遮蔽層與較小接觸件/連接件「面接觸」(而非「點接觸」),因此獲得更寬的微影/蝕刻製程裕度、較少的微影重疊要求、較好的通道隔離以及較大的接觸件到閘極距離。因此,觀察不到習知的金屬閘極受損並相應地改良元件的性能以及可靠性。
根據本發明的一些實施例,一種鰭式場效應電晶體元件包括具有至少一個鰭片的基底、第一閘堆疊以及第二閘堆疊、第一應變層以及第二應變層、第一介電層以及第二介電層,以及第一連接件以及第二連接件。第一閘堆疊以及第二閘堆疊橫跨至少一個鰭片。第一應變層在第一閘堆疊側邊且第二應變層在第二閘堆疊側邊。第一介電層在第一應變層上方,第二介電層在第二應變層上方,且第一介電層的頂表面低於第二介電層的頂表面。第一連接件通過第一介電層且電性連接至第一應變層。第二連接件通過第二介電層且電性連接至第二應變層。此外,第二連接件的寬度大於第一連接件的寬度。
在上述鰭式場效應電晶體元件中,所述第二連接件的所述寬度為所述第一連接件的所述寬度的至少約1.5倍。
在上述鰭式場效應電晶體元件中,所述第二介電層的所述頂表面實質上與所述第二閘堆疊的頂表面共平面。
在上述鰭式場效應電晶體元件中,所述第一連接件的高寬比大於約3。
在上述鰭式場效應電晶體元件中,更包括:遮蔽層,在所述第一介電層以及所述第二介電層上方;以及第三介電層,在所述遮蔽層上方,其中所述第一連接件以及所述第二連接件更通過所述遮蔽層以及所述第三介電層。
在上述鰭式場效應電晶體元件中,所述遮蔽層具有與所述第一連接件實體接觸的轉折點且側向地延伸以接觸所述第二連接件。
在上述鰭式場效應電晶體元件中,所述遮蔽層與所述第一閘堆疊的第一金屬閘極以及所述第二閘堆疊的第二金屬閘極實體接觸。
在上述鰭式場效應電晶體元件中,所述遮蔽層包括SiN、SiC、SiCN、SiON、SiCON或其組合。
根據本發明的替代性實施例,一種鰭式場效應電晶體元件包括具有至少一個鰭片的基底、第一閘堆疊以及第二閘堆疊、第一應變層以及第二應變層,以及第一連接件以及第二連接件。第一閘堆疊以及第二閘堆疊橫跨至少一個鰭片。第一應變層在第一閘堆疊側邊且第二應變層在第二閘堆疊側邊。第一連接件電性連接至第一應變層,第二連接件電性連接至第二應變層,且第二連接件之寬度大於第一連接件之寬度。遮蔽層與第一連接件以及第二連接件實體接觸,且遮蔽層與第一連接件之間的接觸面積大於遮蔽層與第二連接件之間的接觸面積。
在上述鰭式場效應電晶體元件中,所述第二連接件的所述寬度為所述第一連接件的所述寬度的至少約1.5倍。
在上述鰭式場效應電晶體元件中所述第一連接件的高寬比大於約3。
在上述鰭式場效應電晶體元件中,更包括:第一介電層,在所述第一閘堆疊與所述第一連接件之間;以及第二介電層,在所述第二閘堆疊與所述第二連接件之間,其中所述第一介電層的頂表面低於所述第二介電層的頂表面,且其中所述第一連接件通過所述第一介電層且所述第二連接件通過所述第二介電層。
在上述鰭式場效應電晶體元件中,所述第二介電層的所述頂表面實質上與所述第二閘堆疊的頂表面共平面。
在上述鰭式場效應電晶體元件中,所述遮蔽層與所述第一閘堆疊的第一金屬閘極以及所述第二閘堆疊的第二金屬閘極實體接觸。
在上述鰭式場效應電晶體元件中,所述遮蔽層包括SiN、SiC、SiCN、SiON、SiCON或其組合。
根據本發明的又一些替代性實施例,一種形成鰭式場效應電晶體元件的方法包括以下步驟。提供具有第一區以及第二區的基底,其中在第一區中,基底具有形成於其上的第一閘堆疊、形成於其中的第一應變層以及形成在第一閘堆疊側邊及第一應變層上方的第一介電層,且在第二區中,基底具有形成於其上的第二閘堆疊、形成於其中的第二應變層以及形成在第二閘堆疊側邊及第二應變層上方的第二介電層。形成罩幕層以覆蓋第二介電層並暴露第一介電層。通過使用罩幕層作為罩幕來部分移除第一介電層。形成通過第一介電層且電性連接至第一應變層的第一連接件,並形成通過第二介電層且電性連接至第二應變層的第二連接件。此外,第二連接件的寬度大於第一連接件的寬度。
在上述方法中,在部分移除所述第一介電層的步驟之後且在形成所述第一連接件以及所述第二連接件的步驟之前,更包括:在所述第一介電層以及所述第二介電層上方形成遮蔽層;以及在所述遮蔽層上方形成第三介電層,其中所述第一連接件以及所述第二連接件形成為更通過所述遮蔽層以及所述第三介電層。
在上述方法中,所述遮蔽層與所述第一連接件之間的接觸面積大於所述遮蔽層與所述第二連接件之間的接觸面積。
在上述方法中,所述遮蔽層具有與所述第一連接件實體接觸的轉折點且側向地延伸以接觸所述第二連接件。
在上述方法中,所述第二連接件的所述寬度為所述第一連接件的所述寬度的至少約1.5倍。
以上概述了數個實施例的特徵,使本領域具有通常知識者可更佳瞭解本揭露的態樣。本領域具有通常知識者應理解,其可輕易地使用本揭露作為設計或修改其他製程與結構的依據,以實行本文所介紹的實施例的相同目的及/或達到相同優點。本領域具有通常知識者更應理解,這種等效的配置並不悖離本揭露的精神與範疇,且本領域具有通常知識者在不悖離本揭露的精神與範疇的情況下可對本文做出各種改變、置換以及變更。
1、2‧‧‧鰭型場效應電晶體元件
10‧‧‧第一區
20‧‧‧第二區
100‧‧‧基底
102‧‧‧鰭片
104‧‧‧第一間隙壁
105‧‧‧凹陷
106‧‧‧第一應變層
107‧‧‧第一終止層
108、108a‧‧‧第一介電層
110‧‧‧第一閘介電層
111‧‧‧第一閘堆疊
112‧‧‧第一金屬閘極
114‧‧‧罩幕層
116‧‧‧遮蔽層
116a‧‧‧第一遮蔽部分
116b‧‧‧第二遮蔽部分
117‧‧‧轉折點
120‧‧‧第三介電層
122‧‧‧第一開口
124‧‧‧第一連接件
204‧‧‧第二間隙壁
206‧‧‧第二應變層
207‧‧‧第二終止層
208‧‧‧第二介電層
210‧‧‧第二閘介電層
211‧‧‧第二閘堆疊
212‧‧‧第二金屬閘極
222‧‧‧第二開口
224‧‧‧第二連接件
300、302、304、306、308、310‧‧‧步驟
A1、A2‧‧‧接觸面積
H1、H2:高度
P1、P2‧‧‧間距
W1、W2‧‧‧寬度
當結合附圖進行閱讀時,從以下詳細描述可最佳理解本發明實施例的各個方面。請注意,根據產業中的標準實務,各種特徵未按比例繪製。實際上,為了清楚地討論,各種特徵的尺寸可以任意地增大或減小。 圖1A到圖1E為根據一些實施例的鰭式場效應電晶體元件的形成方法的剖面示意圖。 圖2為根據一些實施例的鰭式場效應電晶體元件的形成方法的流程圖。 圖3為根據替代性實施例的鰭式場效應電晶體元件的剖面示意圖。
1‧‧‧鰭型場效應電晶體元件
10‧‧‧第一區
20‧‧‧第二區
100‧‧‧基底
102‧‧‧鰭片
104‧‧‧第一間隙壁
106‧‧‧第一應變層
107‧‧‧第一終止層
108a‧‧‧第一介電層
110‧‧‧第一閘介電層
111‧‧‧第一閘堆疊
112‧‧‧第一金屬閘極
116‧‧‧遮蔽層
116a‧‧‧第一遮蔽部分
116b‧‧‧第二遮蔽部分
117‧‧‧轉折點
120‧‧‧第三介電層
122‧‧‧第一開口
124‧‧‧第一連接件
204‧‧‧第二間隙壁
206‧‧‧第二應變層
207‧‧‧第二終止層
208‧‧‧第二介電層
210‧‧‧第二閘介電層
211‧‧‧第二閘堆疊
212‧‧‧第二金屬閘極
222‧‧‧第二開口
224‧‧‧第二連接件
A1、A2‧‧‧接觸面積
H1、H2‧‧‧高度
W1、W2‧‧‧寬度

Claims (1)

  1. 一種鰭式場效應電晶體元件,包括: 基底,具有至少一個鰭片; 第一閘堆疊以及第二閘堆疊,橫跨所述至少一個鰭片; 第一應變層,在所述第一閘堆疊側邊; 第二應變層,在所述第二閘堆疊側邊; 第一介電層,在所述第一應變層上方; 第二介電層,在所述第二應變層上方,其中所述第一介電層的頂表面低於所述第二介電層的頂表面; 第一連接件,通過所述第一介電層且電性連接至所述第一應變層;以及 第二連接件,通過所述第二介電層且電性連接至所述第二應變層,其中所述第二連接件的寬度大於所述第一連接件的寬度。
TW105139885A 2016-01-08 2016-12-02 鰭式場效應電晶體元件 TW201725730A (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US14/990,796 US9653364B1 (en) 2016-01-08 2016-01-08 FinFET device and method of forming the same

Publications (1)

Publication Number Publication Date
TW201725730A true TW201725730A (zh) 2017-07-16

Family

ID=58670592

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105139885A TW201725730A (zh) 2016-01-08 2016-12-02 鰭式場效應電晶體元件

Country Status (3)

Country Link
US (1) US9653364B1 (zh)
CN (1) CN106960845A (zh)
TW (1) TW201725730A (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI786528B (zh) * 2020-06-29 2022-12-11 台灣積體電路製造股份有限公司 半導體結構和其形成方法

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107452680B (zh) * 2016-06-01 2020-05-05 中芯国际集成电路制造(上海)有限公司 半导体装置及其制造方法
US20200411527A1 (en) * 2019-06-27 2020-12-31 Nanya Technology Corporation Memory structure
CN110534421B (zh) * 2019-08-26 2020-06-23 深圳市汇芯通信技术有限公司 栅极制造方法及相关产品

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9536877B2 (en) * 2014-03-03 2017-01-03 Globalfoundries Inc. Methods of forming different spacer structures on integrated circuit products having differing gate pitch dimensions and the resulting products
KR102224386B1 (ko) * 2014-12-18 2021-03-08 삼성전자주식회사 집적 회로 장치의 제조 방법

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI786528B (zh) * 2020-06-29 2022-12-11 台灣積體電路製造股份有限公司 半導體結構和其形成方法

Also Published As

Publication number Publication date
US9653364B1 (en) 2017-05-16
CN106960845A (zh) 2017-07-18

Similar Documents

Publication Publication Date Title
US10825918B2 (en) Semiconductor device structure and method for forming the same
US10157783B2 (en) Semiconductor devices, FinFET devices and methods of forming the same
US10262894B2 (en) FinFET device and method for forming the same
KR101334465B1 (ko) 개선된 실리사이드 형성 및 연관된 장치
TW201721734A (zh) 半導體元件
US20130187206A1 (en) FinFETs and Methods for Forming the Same
US10141443B2 (en) Semiconductor devices FinFET devices with optimized strained-sourece-drain recess profiles and methods of forming the same
US10283641B2 (en) Contact structures, FinFET devices and methods of forming the same
US11145749B2 (en) Method of fabricating a semiconductor device
US9401415B2 (en) Fin field effect transistor (FinFET) device and method for forming the same
TW201727908A (zh) 鰭式場效電晶體元件及其形成方法
TW201725730A (zh) 鰭式場效應電晶體元件
TW201733005A (zh) 鰭式場效電晶體裝置
US11978802B2 (en) FinFET devices and methods of forming the same
US11437469B2 (en) Reducing parasitic capacitance in semiconductor devices
TW201903892A (zh) 用於形成自對準接觸物的擴大犧牲閘極覆蓋物
US9627537B1 (en) FinFET device and method of forming the same
US20200043919A1 (en) Finfet devices and methods of forming the same
US11916122B2 (en) Gate all around transistor with dual inner spacers
US20230137528A1 (en) Multigate Device Structure with Stepwise Isolation Features and Method Making the Same