TW201717283A - Semiconductor device and method of manufacturing the same - Google Patents

Semiconductor device and method of manufacturing the same Download PDF

Info

Publication number
TW201717283A
TW201717283A TW104137285A TW104137285A TW201717283A TW 201717283 A TW201717283 A TW 201717283A TW 104137285 A TW104137285 A TW 104137285A TW 104137285 A TW104137285 A TW 104137285A TW 201717283 A TW201717283 A TW 201717283A
Authority
TW
Taiwan
Prior art keywords
region
conductive type
semiconductor device
source
well
Prior art date
Application number
TW104137285A
Other languages
Chinese (zh)
Other versions
TWI594334B (en
Inventor
卡魯納 尼迪
飛 艾
柯明道
林耿立
Original Assignee
世界先進積體電路股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 世界先進積體電路股份有限公司 filed Critical 世界先進積體電路股份有限公司
Priority to TW104137285A priority Critical patent/TWI594334B/en
Publication of TW201717283A publication Critical patent/TW201717283A/en
Application granted granted Critical
Publication of TWI594334B publication Critical patent/TWI594334B/en

Links

Landscapes

  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

The present disclosure provides a semiconductor device, including: a substrate; a well region disposed in the substrate and having a first conductive type; an isolation structure disposed in the substrate and surrounding an active region in the well region; a source region disposed in the active region and in the well region; a drain region disposed in the active region and in the well region; a second conductive type first doped region disposed in the well region and disposed along a periphery of the active region, wherein the first conductive type is different from the second conductive type; a second conductive type second doped region disposed in the well region and under the source region, the drain region and the second conductive type first doped region, wherein the second conductive type second doped region is in direct contact with the second conductive type first doped region; a source electrode; a drain electrode; and a gate electrode. The present disclosure also provides a method for manufacturing the semiconductor device.

Description

半導體裝置及其製造方法 Semiconductor device and method of manufacturing same

本揭露係有關於半導體裝置及其製造方法,且特別係有關於一種具有場效電晶體及其製造方法。 The present disclosure relates to a semiconductor device and a method of fabricating the same, and in particular to a field effect transistor and a method of fabricating the same.

接面場效電晶體大部分係用於類比開關及訊號放大器,且特別係用於低噪音放大器。 Most of the junction field effect transistors are used for analog switches and signal amplifiers, and are especially used for low noise amplifiers.

對於場效電晶體而言,接近載體通道之電場主要是由控制一訊號(或閘極偏壓)來改變,此訊號可改變通道之性質以及電流特性(於源極與汲極之間)。因此,場效電晶體可作為由電壓控制之可調式電阻、由電壓控制之電流源(voltage controlled current source,VCCS)等等。藉由上述原理,接面場效電晶體的通道性質以及電流特性可藉由改變閘極與源極/汲極之間的PN接面中的空乏區之寬度來改變。藉此,上述寬度之功能與電壓具有一相反之關係。 For field effect transistors, the electric field close to the carrier channel is mainly changed by controlling a signal (or gate bias), which changes the nature of the channel and the current characteristics (between the source and the drain). Therefore, the field effect transistor can be used as a voltage-controlled adjustable resistor, a voltage controlled current source (VCCS), or the like. By the above principle, the channel properties and current characteristics of the junction field effect transistor can be changed by changing the width of the depletion region in the PN junction between the gate and the source/drain. Thereby, the function of the above width has an inverse relationship with the voltage.

降低閘極電壓會增加PN接面中的空乏區。如果此閘極電壓足夠低,則所有通道皆會被空乏,且沒有電流由汲極流向源極。被完全空乏之通道寬度可稱為被夾斷(pinched off)。而發生此效應時之閘極電壓被稱為夾斷電壓(pinch-off voltage)。本揭露實施例之半導體裝置具有低且可調整之夾斷電壓,且本揭露實施例亦提供可更進一步降低製造成本之此半 導體裝置之製造方法。 Lowering the gate voltage increases the depletion region in the PN junction. If this gate voltage is low enough, all channels will be depleted and no current will flow from the drain to the source. The width of the channel that is completely depleted can be referred to as pinched off. The gate voltage at which this effect occurs is called a pinch-off voltage. The semiconductor device of the disclosed embodiment has a low and adjustable pinch-off voltage, and the disclosed embodiment also provides the half that can further reduce the manufacturing cost. A method of manufacturing a conductor device.

本揭露提供一種半導體裝置,包括:基板;井區,設於基板中,且具有第一導電型;隔離結構,設於基板中,且環繞井區中的主動區;源極區,設於主動區中及井區中;汲極區,設於主動區中及井區中;第二導電型第一摻雜區,設於井區中,且沿著主動區之邊緣設置,其中第一導電型與第二導電型不同;第二導電型第二摻雜區,設於井區中,且設於源極區、汲極區及第二導電型第一摻雜區之下,其中第二導電型第二摻雜區與第二導電型第一摻雜區直接接觸;源極電極,電性連接源極區;汲極電極,電性連接汲極區;及閘極電極,電性連接第二導電型第一摻雜區。 The present disclosure provides a semiconductor device comprising: a substrate; a well region disposed in the substrate and having a first conductivity type; an isolation structure disposed in the substrate and surrounding the active region in the well region; and a source region disposed on the active region In the middle and the well area; the bungee area is disposed in the active area and the well area; the second conductive type first doped area is disposed in the well area and disposed along the edge of the active area, wherein the first conductive The second conductivity type is different from the second conductivity type; the second conductivity type second doping region is disposed in the well region, and is disposed under the source region, the drain region and the second conductivity type first doping region, wherein the second The conductive second doped region is in direct contact with the second conductive type first doped region; the source electrode is electrically connected to the source region; the drain electrode is electrically connected to the drain region; and the gate electrode is electrically connected a second conductivity type first doped region.

本揭露更提供一種記憶體裝置之製造方法,包括:提供基板;形成井區於基板中,其中井區且具有第一導電型;形成隔離結構於基板中,其中隔離結構環繞井區中的主動區;形成源極區於主動區中及井區中;形成汲極區於主動區中及井區中;形成第二導電型第一摻雜區於井區中,其中第二導電型第一摻雜區沿著主動區之邊緣設置,其中第一導電型與第二導電型不同;形成第二導電型第二摻雜區於井區中,且第二導電型第二摻雜區係設於源極區、汲極區及第二導電型第一摻雜區之下,其中第二導電型第二摻雜區與第二導電型第一摻雜區直接接觸;形成源極電極,源極電極電性連接源極區;形成汲極電極,汲極電極電性連接汲極區;及形成閘極電極,閘極電極電性連接第二導電型第一摻雜區。 The disclosure further provides a method for manufacturing a memory device, comprising: providing a substrate; forming a well region in the substrate, wherein the well region has a first conductivity type; forming an isolation structure in the substrate, wherein the isolation structure surrounds the active region in the well region Forming a source region in the active region and in the well region; forming a drain region in the active region and in the well region; forming a second conductivity type first doping region in the well region, wherein the second conductivity type is first The doped region is disposed along an edge of the active region, wherein the first conductive type is different from the second conductive type; the second conductive type second doped region is formed in the well region, and the second conductive type second doped region is set Under the source region, the drain region and the second conductivity type first doping region, wherein the second conductivity type second doping region is in direct contact with the second conductivity type first doping region; forming a source electrode, the source The pole electrode is electrically connected to the source region; the drain electrode is formed, the drain electrode is electrically connected to the drain region; and the gate electrode is formed, and the gate electrode is electrically connected to the second conductive type first doped region.

為讓本揭露之特徵、和優點能更明顯易懂,下文特舉出較佳實施例,並配合所附圖式,作詳細說明如下。 In order to make the features and advantages of the present disclosure more comprehensible, the preferred embodiments are described below, and are described in detail below with reference to the accompanying drawings.

100‧‧‧基板 100‧‧‧Substrate

100A‧‧‧上表面 100A‧‧‧Upper surface

100B‧‧‧下表面 100B‧‧‧ lower surface

102‧‧‧摻雜隔離區 102‧‧‧Doped isolation zone

104‧‧‧井區 104‧‧‧ Well Area

106‧‧‧隔離結構 106‧‧‧Isolation structure

108‧‧‧主動區 108‧‧‧active area

110‧‧‧源極區 110‧‧‧ source area

112‧‧‧汲極區 112‧‧‧Bungee Area

114‧‧‧第二導電型第一摻雜區 114‧‧‧Second Conductive Type First Doped Area

116‧‧‧第二導電型第二摻雜區 116‧‧‧Second Conductive Second Doped Region

118‧‧‧隔離次井區 118‧‧‧Isolated sub-well area

120‧‧‧通道區 120‧‧‧Channel area

122‧‧‧閘極區 122‧‧‧The gate area

124‧‧‧金屬矽化物層 124‧‧‧metal telluride layer

126‧‧‧金屬矽化物層 126‧‧‧metal telluride layer

128‧‧‧金屬矽化物層 128‧‧‧metal telluride layer

130‧‧‧源極電極 130‧‧‧Source electrode

132‧‧‧汲極電極 132‧‧‧汲electrode

134‧‧‧閘極電極 134‧‧‧gate electrode

200‧‧‧半導體裝置 200‧‧‧Semiconductor device

W1‧‧‧寬度 W1‧‧‧Width

D1‧‧‧深度 D1‧‧ depth

D2‧‧‧深度 D2‧‧ depth

D3‧‧‧深度 D3‧‧ depth

2A-2A’‧‧‧線段 2A-2A’‧‧‧ Segment

3A-3A’‧‧‧線段 3A-3A’‧‧‧ Segment

4A-4A’‧‧‧線段 4A-4A’‧‧‧ Segment

5B-5B’‧‧‧線段 5B-5B’‧‧‧ Segment

5C-5C’‧‧‧線段 5C-5C’‧‧‧ Segment

第1圖係顯示根據本揭露一些實施例所述之半導體裝置之製造方法其中一步驟之半導體裝置之剖面圖。 1 is a cross-sectional view showing a semiconductor device in one step of a method of fabricating a semiconductor device according to some embodiments of the present disclosure.

第2A-2B圖係顯示根據本揭露一些實施例所述之半導體裝置之製造方法其中一步驟之半導體裝置之剖面圖及上視圖。 2A-2B is a cross-sectional view and a top view of a semiconductor device in accordance with one of the steps of a method of fabricating a semiconductor device according to some embodiments of the present disclosure.

第3A-3B圖係顯示根據本揭露一些實施例所述之半導體裝置之製造方法其中一步驟之半導體裝置之剖面圖及上視圖。 3A-3B are cross-sectional and top views of a semiconductor device in accordance with a method of fabricating a semiconductor device in accordance with some embodiments of the present disclosure.

第4A-4B圖係顯示根據本揭露一些實施例所述之半導體裝置之製造方法其中一步驟之半導體裝置之剖面圖及上視圖。 4A-4B are cross-sectional and top views of a semiconductor device in accordance with a method of fabricating a semiconductor device in accordance with some embodiments of the present disclosure.

第5A-5C圖係顯示根據本揭露一些實施例所述之半導體裝置之製造方法其中一步驟之半導體裝置之剖面圖及上視圖。 5A-5C are cross-sectional and top views of a semiconductor device in accordance with a method of fabricating a semiconductor device in accordance with some embodiments of the present disclosure.

第6圖係顯示根據本揭露一些實施例所述之半導體裝置之閘極電壓對電流之分析圖。 Figure 6 is a graph showing the analysis of the gate voltage versus current of a semiconductor device in accordance with some embodiments of the present disclosure.

第7圖係本揭露另一實施例之半導體裝置之剖面圖。 Figure 7 is a cross-sectional view showing a semiconductor device according to another embodiment of the present invention.

以下針對本揭露之半導體裝置及其製造方法作詳細說明。應了解的是,以下之敘述提供許多不同的實施例或例 子,用以實施本揭露之不同樣態。以下所述特定的元件及排列方式僅為簡單清楚描述本揭露。當然,這些僅用以舉例而非本揭露之限定。此外,在不同實施例中可能使用重複的標號或標示。這些重複僅為了簡單清楚地敘述本揭露,不代表所討論之不同實施例及/或結構之間具有任何關連性。再者,當述及一第一材料層位於一第二材料層上或之上時,包括第一材料層與第二材料層直接接觸之情形。或者,亦可能間隔有一或更多其它材料層之情形,在此情形中,第一材料層與第二材料層之間可能不直接接觸。 Hereinafter, the semiconductor device and the method of manufacturing the same will be described in detail. It should be understood that the following description provides many different embodiments or examples. Sub, to implement the same state of the disclosure. The specific elements and arrangements described below are merely illustrative of the disclosure. Of course, these are only used as examples and not as a limitation of the disclosure. Moreover, repeated numbers or labels may be used in different embodiments. These repetitions are merely for the purpose of simplicity and clarity of the disclosure, and are not intended to be a limitation of the various embodiments and/or structures discussed. Furthermore, when a first material layer is on or above a second material layer, the first material layer is in direct contact with the second material layer. Alternatively, it is also possible to have one or more layers of other materials interposed, in which case there may be no direct contact between the first layer of material and the second layer of material.

必需了解的是,圖式之元件或裝置可以此技術人士所熟知之各種形式存在。此外,當某層在其它層或基板「上」時,有可能是指「直接」在其它層或基板上,或指某層在其它層或基板上,或指其它層或基板之間夾設其它層。 It must be understood that the elements or devices of the drawings may be in various forms well known to those skilled in the art. In addition, when a layer is "on" another layer or substrate, it may mean "directly" on another layer or substrate, or a layer on another layer or substrate, or between other layers or substrates. Other layers.

此外,實施例中可能使用相對性的用語,例如「較低」或「底部」及「較高」或「頂部」,以描述圖式的一個元件對於另一元件的相對關係。能理解的是,如果將圖式的裝置翻轉使其上下顛倒,則所敘述在「較低」側的元件將會成為在「較高」側的元件。 In addition, relative terms such as "lower" or "bottom" and "higher" or "top" may be used in the embodiments to describe the relative relationship of one element of the drawing to another. It will be understood that if the device of the drawing is flipped upside down, the component described on the "lower" side will become the component on the "higher" side.

在此,「約」、「大約」、「大抵」之用語通常表示在一給定值或範圍的20%之內,較佳是10%之內,且更佳是5%之內,或3%之內,或2%之內,或1%之內,或0.5%之內。在此給定的數量為大約的數量,亦即在沒有特定說明「約」、「大約」、「大抵」的情況下,仍可隱含「約」、「大約」、「大抵」之含義。 Here, the terms "about", "about" and "major" generally mean within 20% of a given value or range, preferably within 10%, and more preferably within 5%, or 3 Within %, or within 2%, or within 1%, or within 0.5%. The quantity given here is an approximate quantity, that is, in the absence of specific descriptions of "about", "about" and "major", the meanings of "about", "about" and "major" may still be implied.

能理解的是,雖然在此可使用用語「第一」、「第 二」、「第三」等來敘述各種元件、組成成分、區域、層、及/或部分,這些元件、組成成分、區域、層、及/或部分不應被這些用語限定,且這些用語僅是用來區別不同的元件、組成成分、區域、層、及/或部分。因此,以下討論的一第一元件、組成成分、區域、層、及/或部分可在不偏離本揭露之教示的情況下被稱為一第二元件、組成成分、區域、層、及/或部分。 Understandably, although the terms "first" and "first" can be used here. 2, 3, etc., to describe various elements, components, regions, layers, and/or parts, these elements, components, regions, layers, and/or parts are not limited by these terms, and these terms are only It is used to distinguish between different components, components, regions, layers, and/or portions. Therefore, a first element, component, region, layer, and/or portion discussed below may be referred to as a second element, component, region, layer, and/or without departing from the teachings of the disclosure. section.

除非另外定義,在此使用的全部用語(包括技術及科學用語)具有與此篇揭露所屬之一般技藝者所通常理解的相同涵義。能理解的是這些用語,例如在通常使用的字典中定義的用語,應被解讀成具有一與相關技術及本揭露的背景或上下文一致的意思,而不應以一理想化或過度正式的方式解讀,除非在此特別定義。 Unless otherwise defined, all terms (including technical and scientific terms) used herein have the same meaning meaning It will be understood that these terms, such as those defined in commonly used dictionaries, should be interpreted as having a meaning consistent with the relevant art and the context or context of the present disclosure, and should not be in an idealized or overly formal manner. Interpretation, unless specifically defined herein.

本揭露實施例可配合圖式一併理解,本揭露之圖式亦被視為揭露說明之一部分。需了解的是,本揭露之圖式並未以實際裝置及元件之比例繪示。在圖式中可能誇大實施例的形狀與厚度以便清楚表現出本揭露之特徵。此外,圖式中之結構及裝置係以示意之方式繪示,以便清楚表現出本揭露之特徵。 The embodiments of the present disclosure can be understood in conjunction with the drawings, and the drawings of the present disclosure are also considered as part of the disclosure. It should be understood that the drawings of the present disclosure are not shown in the form of actual devices and components. The shapes and thicknesses of the embodiments may be exaggerated in the drawings in order to clearly illustrate the features of the present disclosure. In addition, the structures and devices in the drawings are schematically illustrated in order to clearly illustrate the features of the disclosure.

在本揭露中,相對性的用語例如「下」、「上」、「水平」、「垂直」、「之下」、「之上」、「頂部」、「底部」等等應被理解為該段以及相關圖式中所繪示的方位。此相對性的用語僅是為了方便說明之用,其並不代表其所敘述之裝置需以特定方位來製造或運作。而關於接合、連接之用語例如「連接」、「互連」等,除非特別定義,否則可指兩個結構係直接接觸,或者亦可 指兩個結構並非直接接觸,其中有其它結構設於此兩個結構之間。且此關於接合、連接之用語亦可包括兩個結構都可移動,或者兩個結構都固定之情況。 In this disclosure, relative terms such as "lower", "upper", "horizontal", "vertical", "lower", "above", "top", "bottom", etc. shall be understood as The orientation shown in the paragraph and related schemas. This relative term is used for convenience of description only, and does not mean that the device described therein is to be manufactured or operated in a particular orientation. Terms such as "joining" and "interconnecting", etc., unless otherwise defined, may refer to direct contact between two structures, or It means that the two structures are not in direct contact, and other structures are provided between the two structures. The term "joining and joining" may also include the case where both structures are movable or both structures are fixed.

應注意的是,在後文中「基板」一詞可包括半導體晶圓上已形成的元件與覆蓋在晶圓上的各種膜層,其上方可以已形成任何所需的半導體元件,不過此處為了簡化圖式,僅以平整的基板表示之。此外,「基板表面」係包括半導體晶圓上最上方且暴露之膜層,例如一矽表面、一絕緣層及/或金屬線。 It should be noted that the term "substrate" may be used hereinafter to include formed elements on a semiconductor wafer and various film layers overlying the wafer, and any desired semiconductor elements may have been formed thereon, but here Simplified drawing, represented only by a flat substrate. In addition, the "substrate surface" includes the uppermost and exposed film layer on the semiconductor wafer, such as a germanium surface, an insulating layer, and/or metal lines.

本揭露實施例係利用一隔離次井區(isolated sub-well region)以省去形成通道所需之罩幕層,並藉此降低生產成本。此外,本揭露實施例之半導體裝置之藉由源極區、汲極區、閘極區及通道之特殊配置,可使半導體裝置具有低且可調整之夾斷電壓(pinch-off voltage)。 Embodiments of the present disclosure utilize an isolated sub-well region to eliminate the need for a mask layer to form a channel and thereby reduce production costs. In addition, the semiconductor device of the embodiment of the present disclosure can have a low and adjustable pinch-off voltage by the special configuration of the source region, the drain region, the gate region and the channel.

第1-5C圖係本揭露實施例之半導體裝置在其製造方法中各階段的剖面圖或上視圖。參見第1圖,提供基板100。此基板100包括一上表面100A以及一下表面100B。 1-5C is a cross-sectional view or a top view of each stage of the semiconductor device of the disclosed embodiment in its manufacturing method. Referring to Figure 1, a substrate 100 is provided. The substrate 100 includes an upper surface 100A and a lower surface 100B.

基板100可為元素半導體,包括具有單晶、多晶或非晶結構之矽、鍺(germanium);化合物半導體,包括非晶矽、多晶矽、銦鎵鋅氧化物(indium gallium zinc oxide)、氮化鎵(gallium nitride)、碳化矽(silicon carbide)、砷化鎵(gallium arsenic)、磷化鎵(gallium phosphide)、磷化銦(indium phosphide)、砷化銦(indium arsenide)或銻化銦(indium antimonide);合金半導體,包括矽鍺合金(SiGe)、磷砷鎵合金 (GaAsP)、砷鋁銦合金(AlInAs)、砷鋁鎵合金(A1GaAs)、砷銦鎵合金(GaInAs)、磷銦鎵合金(GaInP)及/或磷砷銦鎵合金(GaInAsP)或上述材料之組合。 The substrate 100 may be an elemental semiconductor including germanium, germanium having a single crystal, polycrystalline or amorphous structure; a compound semiconductor including amorphous germanium, polycrystalline germanium, indium gallium zinc oxide, and nitriding. Gallium nitride, silicon carbide, gallium arsenic, gallium phosphide, indium phosphide, indium arsenide or indium sulphide (indium) Antimonide); alloy semiconductors, including germanium alloys (SiGe), phosphorus gallium arsenide alloys (GaAsP), arsenic aluminum indium alloy (AlInAs), arsenic aluminum gallium alloy (A1GaAs), arsenic gallium alloy (GaInAs), indium gallium alloy (GaInP) and/or phosphorus indium gallium alloy (GaInAsP) or the like combination.

在一些實施例中,基板100可更包括一磊晶層(未繪示)於前述半導體上。。磊晶層可包括矽、鍺、矽與鍺、III-V族化合物或上述之組合。此磊晶層可藉由磊晶成長(epitaxial growth)製程形成,例如金屬有機物化學氣相沉積法(MOCVD)、金屬有機物化學氣相磊晶法(MOVPE)、電漿增強型化學氣相沉積法(plasma-enhanced CVD)、遙控電漿化學氣相沉積法(RP-CVD)、分子束磊晶法(MBE)、氫化物氣相磊晶法(HVPE)、液相磊晶法(LPE)、氯化物氣相磊晶法(Cl-VPE)或類似的方法形成。 In some embodiments, the substrate 100 may further include an epitaxial layer (not shown) on the semiconductor. . The epitaxial layer may comprise ruthenium, osmium, iridium and osmium, a III-V compound or a combination thereof. The epitaxial layer can be formed by an epitaxial growth process, such as metal organic chemical vapor deposition (MOCVD), metal organic chemical vapor deposition (MOVPE), plasma enhanced chemical vapor deposition. (plasma-enhanced CVD), remote controlled plasma chemical vapor deposition (RP-CVD), molecular beam epitaxy (MBE), hydride vapor phase epitaxy (HVPE), liquid phase epitaxy (LPE), It is formed by chloride vapor phase epitaxy (Cl-VPE) or the like.

接著,於基板100中形成摻雜隔離區102及井區104。此井區104係形成於此摻雜隔離區102之上。此井區104且具有第一導電型,而此摻雜隔離區102可具有第一導電型或第二導電型,且此第一導電型與第二導電型不同。 Next, a doped isolation region 102 and a well region 104 are formed in the substrate 100. This well region 104 is formed over this doped isolation region 102. The well region 104 has a first conductivity type, and the doped isolation region 102 can have a first conductivity type or a second conductivity type, and the first conductivity type is different from the second conductivity type.

此井區104可藉由離子佈植步驟形成。例如,當此第一導電型為N型時,可於預定形成井區104之區域佈植磷離子或砷離子以形成井區104。 This well region 104 can be formed by an ion implantation step. For example, when the first conductivity type is N-type, phosphorus ions or arsenic ions may be implanted in a region where the well region 104 is to be formed to form the well region 104.

應注意的是,在所述實施例中,若無特別指名“輕摻雜”或”重摻雜”,則”摻雜”意指約1014-1016/cm3的摻雜濃度,例如為約1015/cm3的摻雜濃度。易言之,在一些實施例中,上述井區104之摻雜濃度可為約1014-1016/cm3的摻雜濃度,例如為約1015/cm3。然而,本領域具有通常知識者可瞭解的是,“摻雜” 的定義亦可依照特定裝置型態、技術世代、最小元件尺寸等所決定。因此,“摻雜”的定義當視可技術內容重新評估,而不受限於在此所舉之實施例。 It should be noted that in the described embodiment, if not specifically referred to as "lightly doped" or "heavily doped", "doping" means a doping concentration of about 10 14 -10 16 /cm 3 , for example It is a doping concentration of about 10 15 /cm 3 . In other words, in some embodiments, the doping concentration of the well region 104 may be a doping concentration of about 10 14 -10 16 /cm 3 , for example, about 10 15 /cm 3 . However, it will be appreciated by those of ordinary skill in the art that the definition of "doping" can also be determined by the particular device type, technology generation, minimum component size, and the like. Thus, the definition of "doping" is re-evaluated based on technical content and is not limited by the embodiments presented herein.

接著,第2B圖係本揭露實施例之基板100之上視圖,而第2A圖係本揭露實施例沿著第2B圖之線段2A-2A’所繪製之剖面圖。參見第2A及2B圖,形成隔離結構106於基板100中。此隔離結構106環繞井區104中的主動區108。此隔離結構106之材料可包括,但不限於淺溝槽隔離106。 Next, Fig. 2B is a top view of the substrate 100 of the disclosed embodiment, and Fig. 2A is a cross-sectional view of the disclosed embodiment taken along line 2A-2A' of Fig. 2B. Referring to FIGS. 2A and 2B, an isolation structure 106 is formed in the substrate 100. This isolation structure 106 surrounds the active region 108 in the well region 104. The material of the isolation structure 106 can include, but is not limited to, shallow trench isolation 106.

在一些實施例中,此隔離結構106可藉由以下步驟形成。首先,於預定形成此隔離結構106之區域形成一溝槽。此溝槽可藉由蝕刻步驟形成。此蝕刻步驟包括乾蝕刻、濕蝕刻或上述之組合。此濕蝕刻可包括浸洗蝕刻(immersion etching)、噴洗蝕刻(spray etching)、上述之組合、或其它適合之乾蝕刻。此乾蝕刻步驟包括電容耦合電漿蝕刻、感應耦合型電漿蝕刻、螺旋電漿蝕刻、電子迴旋共振電漿蝕刻、上述之組合、或其它適合之乾蝕刻。此乾蝕刻步驟使用的氣體可包括惰性氣體、含氟氣體、含氯氣體、含溴氣體、含碘氣體、上述氣體之組合或其它任何適合的氣體。在一些實施例中,此乾蝕刻步驟使用的氣體包括Ar、CF4、SF6、CH2F2、CHF3、C2F6、Cl2、CHCl3、CCl4、HBr、CHBr3、BF3、BCl3、上述氣體之組合或其它任何適合的氣體。 In some embodiments, the isolation structure 106 can be formed by the following steps. First, a trench is formed in a region where the isolation structure 106 is to be formed. This trench can be formed by an etching step. This etching step includes dry etching, wet etching, or a combination thereof. This wet etch can include immersion etching, spray etching, combinations of the above, or other suitable dry etch. The dry etching step includes capacitively coupled plasma etching, inductively coupled plasma etching, spiral plasma etching, electron cyclotron resonance plasma etching, combinations of the foregoing, or other suitable dry etching. The gas used in this dry etching step may include an inert gas, a fluorine-containing gas, a chlorine-containing gas, a bromine-containing gas, an iodine-containing gas, a combination of the above gases, or any other suitable gas. In some embodiments, the gas used in the dry etching step includes Ar, CF 4 , SF 6 , CH 2 F 2 , CHF 3 , C 2 F 6 , Cl 2 , CHCl 3 , CCl 4 , HBr, CHBr 3 , BF. 3. BCl 3 , a combination of the above gases or any other suitable gas.

接著,於此溝槽中填入絕緣材料以形成隔離結構106。此絕緣材料可為使用化學氣相沉積(CVD)法形成之氧化矽、氮化矽、氮氧化矽、其它任何適合之絕緣材料、或上述之 組合。此化學氣相沉積法例如可為低壓化學氣相沉積法(low pressure chemical vapor deposition,LPCVD)、低溫化學氣相沉積法(low temperature chemical vapor deposition,LTCVD)、快速升溫化學氣相沉積法(rapid thermal chemical vapor deposition,RTCVD)、電漿輔助化學氣相沉積法(plasma enhanced chemical vapor deposition,PECVD)、原子層化學氣相沉積法之原子層沉積法(atomic layer deposition,ALD)或其它常用的方法。 Next, an insulating material is filled in the trench to form the isolation structure 106. The insulating material may be tantalum oxide, tantalum nitride, niobium oxynitride, any other suitable insulating material formed by chemical vapor deposition (CVD), or the like. combination. The chemical vapor deposition method can be, for example, low pressure chemical vapor deposition (LPCVD), low temperature chemical vapor deposition (LTCVD), or rapid temperature chemical vapor deposition (rapid). Thermal chemical vapor deposition (RTCVD), plasma enhanced chemical vapor deposition (PECVD), atomic layer chemical vapor deposition (atomic layer deposition (ALD) or other commonly used methods) .

接著,第3B圖係本揭露實施例之基板100之上視圖,而第3A圖係本揭露實施例沿著第3B圖之線段3A-3A’所繪製之剖面圖。參見第3A及3B圖,於主動區108中及井區104中形成源極區110及汲極區112。此源極區110及汲極區112可具有第一導電型且可為重摻雜。 Next, Fig. 3B is a top view of the substrate 100 of the disclosed embodiment, and Fig. 3A is a cross-sectional view of the disclosed embodiment taken along line 3A-3A' of Fig. 3B. Referring to Figures 3A and 3B, source region 110 and drain region 112 are formed in active region 108 and well region 104. The source region 110 and the drain region 112 may have a first conductivity type and may be heavily doped.

在所述實施例中,“重摻雜”意指超過約1017/cm3的摻雜濃度。然而,本領域具有通常知識者可瞭解的是,“重摻雜”的定義亦可依照特定裝置型態、技術世代、最小元件尺寸等所決定。因此,“重摻雜”的定義當視可技術內容重新評估,而不受限於在此所舉之實施例。 In the embodiment, "heavily doped" means a doping concentration exceeding about 10 17 /cm 3 . However, it will be appreciated by those of ordinary skill in the art that the definition of "heavily doped" can also be determined by the particular device type, technical generation, minimum component size, and the like. Thus, the definition of "heavily doped" is re-evaluated based on technical content and is not limited by the embodiments presented herein.

此源極區110及汲極區112可藉由離子佈植步驟形成。例如,當此第一導電型為N型時,可於預定形成源極區110及汲極區112之區域佈植磷離子或砷離子以形成源極區110及汲極區112。 The source region 110 and the drain region 112 can be formed by an ion implantation step. For example, when the first conductivity type is N-type, phosphorus ions or arsenic ions may be implanted in a region where the source region 110 and the drain region 112 are predetermined to form the source region 110 and the drain region 112.

此外,亦參見第3A-3B圖,形成第二導電型第一摻雜區114於井區104中。此外,如第3B圖所示,第二導電型第一 摻雜區114沿著主動區108之邊緣設置。此第二導電型第一摻雜區114可具有第二導電型且可為重摻雜。 In addition, referring also to FIGS. 3A-3B, a second conductivity type first doped region 114 is formed in the well region 104. In addition, as shown in FIG. 3B, the second conductivity type is first Doped regions 114 are disposed along the edges of active regions 108. The second conductivity type first doping region 114 may have a second conductivity type and may be heavily doped.

此第二導電型第一摻雜區114可藉由離子佈植步驟形成。例如,當此第二導電型為P型時,可於預定形成第二導電型第一摻雜區114之區域佈植硼離子、銦離子或三氟化硼離子以形成第二導電型第一摻雜區114。 The second conductivity type first doping region 114 can be formed by an ion implantation step. For example, when the second conductivity type is a P-type, boron ions, indium ions or boron trifluoride ions may be implanted in a region where the second conductivity type first doping region 114 is to be formed to form a second conductivity type first. Doped region 114.

此外,在一些實施例中,此第二導電型第一摻雜區114直接接觸隔離結構106。更詳細而言,當自例如為第3B圖之上視圖觀察,此第二導電型第一摻雜區114係沿著隔離結構106之四個側邊,且與此隔離結構106之四個側邊直接接觸。 Moreover, in some embodiments, this second conductivity type first doped region 114 directly contacts the isolation structure 106. In more detail, the second conductivity type first doping region 114 is along the four sides of the isolation structure 106 and the four sides of the isolation structure 106 when viewed from, for example, the top view of FIG. 3B. Direct contact.

此外,此隔離結構106具有第一深度D1,此第二導電型第一摻雜區114具有第二深度D2,此源極區110及汲極區具有第三深度D3。在一些實施例中,第一深度D1大於第二深度D2,第二深度D2大於第三深度D3(D1>D2>D3)。 In addition, the isolation structure 106 has a first depth D1, and the second conductivity type first doping region 114 has a second depth D2. The source region 110 and the drain region have a third depth D3. In some embodiments, the first depth D1 is greater than the second depth D2, and the second depth D2 is greater than the third depth D3 (D1>D2>D3).

接著,第4B圖係本揭露實施例之基板100之上視圖,而第4A圖係本揭露實施例沿著第4B圖之線段4A-4A’所繪製之剖面圖。參見第4A圖,形成第二導電型第二摻雜區116於井區104中,且第二導電型第二摻雜區116係設於源極區110、汲極區112及第二導電型第一摻雜區114之下。此外,第二導電型第二摻雜區116係直接接觸第二導電型第一摻雜區114之底部。 Next, Fig. 4B is a top view of the substrate 100 of the disclosed embodiment, and Fig. 4A is a cross-sectional view of the disclosed embodiment taken along line 4A-4A' of Fig. 4B. Referring to FIG. 4A, a second conductivity type second doping region 116 is formed in the well region 104, and a second conductivity type second doping region 116 is disposed in the source region 110, the drain region 112, and the second conductivity type. Below the first doped region 114. In addition, the second conductive type second doping region 116 directly contacts the bottom of the second conductive type first doping region 114.

如第4A圖所示,第二導電型第一摻雜區114及第二導電型第二摻雜區116共同於井區104隔離出隔離次井區(isolated sub-well region)118。源極區110與汲極區112係設於 此隔離次井區118中,且隔離次井區118包括位於源極區110與汲極區112之間的通道區120。 As shown in FIG. 4A, the second conductivity type first doping region 114 and the second conductivity type second doping region 116 collectively isolate the isolated sub-well region 118 from the well region 104. The source region 110 and the drain region 112 are This is isolated in the secondary well region 118, and the isolated secondary well region 118 includes a channel region 120 between the source region 110 and the drain region 112.

此外,如第4B圖所示,隔離次井區118包圍(enclose)源極區110與汲極區112,且第二導電型第一摻雜區114包圍隔離次井區118。此第二導電型第一摻雜區114包括至少一閘極區122,此閘極區122鄰接通道區120。例如,在一些實施例中,如第4B圖所示,第二導電型第一摻雜區114包括兩個閘極區122,其中個閘極區122係設於通道區120之相反側,且此兩個閘極區122鄰接通道區120。 Furthermore, as shown in FIG. 4B, the isolated sub-well region 118 encloses the source region 110 and the drain region 112, and the second conductivity type first doping region 114 surrounds the isolation sub-well region 118. The second conductivity type first doping region 114 includes at least one gate region 122 adjacent to the channel region 120. For example, in some embodiments, as shown in FIG. 4B, the second conductivity type first doping region 114 includes two gate regions 122, wherein one of the gate regions 122 is disposed on the opposite side of the channel region 120, and The two gate regions 122 are adjacent to the channel region 120.

於本揭露一些實施例中,由於形成通道區120之步驟中罩幕層,故可降低此半導體裝置之生產成本。 In some embodiments of the present disclosure, the production cost of the semiconductor device can be reduced due to the mask layer in the step of forming the channel region 120.

此外,此通道區120具有寬度W1。此外,降低閘極電壓會增加PN接面中的空乏區。如果此閘極電壓足夠低,則所有通道皆會被空乏,且沒有電流由汲極流向源極。被完全空乏之通道寬度可稱為被夾斷(pinched off)。而發生此效應時之閘極電壓被稱為夾斷電壓(pinch-off voltage)。 Furthermore, this channel region 120 has a width W1. In addition, lowering the gate voltage increases the depletion region in the PN junction. If this gate voltage is low enough, all channels will be depleted and no current will flow from the drain to the source. The width of the channel that is completely depleted can be referred to as pinched off. The gate voltage at which this effect occurs is called a pinch-off voltage.

藉由調整通道區120之寬度W1,可調整半導體裝置之夾斷電壓(pinch-off voltage)。此外,本揭露實施例之半導體裝置藉由如第4B圖(上視圖)所示之源極區110、汲極區112、閘極區122及通道區120之特殊配置,可降低半導體裝置之夾斷電壓(pinch-off voltage)。在一些實施例中,半導體裝置之夾斷電壓可低至-0.2V。 By adjusting the width W1 of the channel region 120, the pinch-off voltage of the semiconductor device can be adjusted. In addition, the semiconductor device of the embodiment of the present disclosure can reduce the clip of the semiconductor device by the special configuration of the source region 110, the drain region 112, the gate region 122, and the channel region 120 as shown in FIG. 4B (top view). Pinch-off voltage. In some embodiments, the pinch-off voltage of the semiconductor device can be as low as -0.2V.

繼續參見第4A圖,第二導電型第二摻雜區116可具有第二導電型。在一些實施例中,第二導電型第二摻雜區116 之摻雜濃度可為約1014/cm3至約1016/cm3,例如為約1015/cm3Continuing to refer to FIG. 4A, the second conductivity type second doping region 116 may have a second conductivity type. In some embodiments, the second conductivity type second doping region 116 may have a doping concentration of about 10 14 /cm 3 to about 10 16 /cm 3 , for example, about 10 15 /cm 3 .

此第二導電型第二摻雜區116可藉由離子佈植步驟形成。例如,當此第二導電型為P型時,可於預定形成第二導電型第二摻雜區116之區域佈植硼離子、銦離子或三氟化硼離子以形成第二導電型第二摻雜區116。 The second conductivity type second doping region 116 can be formed by an ion implantation step. For example, when the second conductivity type is a P-type, boron ions, indium ions or boron trifluoride ions may be implanted in a region where the second conductivity type second doping region 116 is to be formed to form a second conductivity type second. Doped region 116.

此外,源極區110與汲極區112並未直接接觸第二導電型第二摻雜區116,如第4A圖所示。 In addition, the source region 110 and the drain region 112 are not in direct contact with the second conductivity type second doping region 116, as shown in FIG. 4A.

應注意的是,除上述第4A-4B圖所示之實施例以外,本揭露之源極區與汲極區亦可直接接觸第二導電型第二摻雜區。本揭露之範圍並不以第4A-4B圖所示之實施例為限。此部分將於後文詳細說明。 It should be noted that, in addition to the embodiments shown in the above 4A-4B, the source region and the drain region of the present disclosure may also directly contact the second conductivity type second doping region. The scope of the disclosure is not limited to the embodiment shown in Figures 4A-4B. This section will be explained in detail later.

此外,通道區120之寬度小於源極區110之寬度與汲極區112之寬度。詳細而言,通道區120之寬度W1小於源極區110之寬度W2與汲極區112之寬度W3。 Additionally, the width of the channel region 120 is less than the width of the source region 110 and the width of the drain region 112. In detail, the width W1 of the channel region 120 is smaller than the width W2 of the source region 110 and the width W3 of the drain region 112.

接著,第5A圖係本揭露實施例之半導體裝置200之上視圖,而第5B圖係本揭露實施例沿著第5A圖之線段5B-5B’所繪製之剖面圖,而第5C圖係本揭露實施例沿著第5A圖之線段5C-5C’所繪製之剖面圖。 5A is a top view of the semiconductor device 200 of the embodiment of the present disclosure, and FIG. 5B is a cross-sectional view of the disclosed embodiment taken along line 5B-5B' of FIG. 5A, and FIG. 5C is a diagram A cross-sectional view of the embodiment taken along line 5C-5C' of Figure 5A is disclosed.

參見第5A-5C圖,可選擇性(optionally)進行一金屬矽化製程,以於源極區110、汲極區112及第二導電型第一摻雜區114中的閘極區122上分別形成金屬矽化物層124、126及128。此金屬矽化物層124、126及128可更進一步降低裝置之導通電阻。金屬矽化物層124、126及128之材料可包括但不限於矽化鎳(nickel silicide)、矽化鈷(cobalt silicide)、矽化鎢 (tungsten silicide)、矽化鈦(titanium silicide)、矽化鉭(tantalum silicide)、矽化鉑(platinum silicide)以及矽化鉺(erbium silicide)。此外,雖然金屬矽化物層124、126及128係繪示於第5B-5C圖,但為了清楚描述本揭露實施例,此金屬矽化物層124、126及128並未繪示於第5A圖。 Referring to FIGS. 5A-5C, a metal deuteration process may be selectively performed to form on the gate region 122 in the source region 110, the drain region 112, and the second conductivity type first doping region 114, respectively. Metal telluride layers 124, 126 and 128. The metal telluride layers 124, 126, and 128 can further reduce the on-resistance of the device. The materials of the metal telluride layers 124, 126 and 128 may include, but are not limited to, nickel silicide, cobalt silicide, tungsten telluride. (tungsten silicide), titanium silicide, tantalum silicide, platinum silicide, and erbium silicide. In addition, although the metal telluride layers 124, 126, and 128 are shown in FIG. 5B-5C, the metal halide layers 124, 126, and 128 are not shown in FIG. 5A for clarity of description of the disclosed embodiments.

接著,形成源極電極130於金屬矽化物層124上,此源極電極130電性連接源極區110。此外,形成汲極電極132於金屬矽化物層126上,此汲極電極132電性連接汲極區112。此外,形成閘極電極134於金屬矽化物層128上,此閘極電極134電性連接第二導電型第一摻雜區114。易言之,閘極電極134係設於閘極區122之上。此外,雖然源極電極130與汲極電極132並未設於線段5B-5B’上,然而此源極電極130與汲極電極132仍繪示於第5B圖中以清楚描述本揭露實施例。 Next, a source electrode 130 is formed on the metal telluride layer 124. The source electrode 130 is electrically connected to the source region 110. In addition, a drain electrode 132 is formed on the metal telluride layer 126, and the drain electrode 132 is electrically connected to the drain region 112. In addition, a gate electrode 134 is formed on the metal telluride layer 128, and the gate electrode 134 is electrically connected to the second conductivity type first doping region 114. In other words, the gate electrode 134 is disposed above the gate region 122. In addition, although the source electrode 130 and the drain electrode 132 are not disposed on the line segments 5B-5B', the source electrode 130 and the drain electrode 132 are still depicted in FIG. 5B to clearly describe the disclosed embodiment.

上述源極電極130、汲極電極132與閘極電極134之材料可包括銅、鋁、鉬、鎢、鈦、鉭、鉑、鉿、上述之合金、上述之組合或其它導電性佳的金屬材料。 The material of the source electrode 130, the drain electrode 132 and the gate electrode 134 may include copper, aluminum, molybdenum, tungsten, titanium, tantalum, platinum, rhodium, the above alloy, the above combination or other conductive metal materials. .

此源極電極130、汲極電極132與閘極電極134之材料可藉由前述之化學氣相沉積法(CVD)、濺鍍法、電阻加熱蒸鍍法、電子束蒸鍍法、或其它任何適合的沉積方式形成。此化學氣相沉積法例如可為低壓化學氣相沉積法(low pressure chemical vapor deposition,LPCVD)、低溫化學氣相沉積法(low temperature chemical vapor deposition,LTCVD)、快速升溫化學氣相沉積法(rapid thermal chemical vapor deposition,RTCVD)、電漿輔助化學氣相沉積法(plasma enhanced chemical vapor deposition,PECVD)、原子層化學氣相沉積法之原子層沉積法(atomic layer deposition,ALD)或其它常用的方法。 The material of the source electrode 130, the drain electrode 132 and the gate electrode 134 may be by the aforementioned chemical vapor deposition (CVD), sputtering, resistance heating evaporation, electron beam evaporation, or any other A suitable deposition pattern is formed. The chemical vapor deposition method can be, for example, low pressure chemical vapor deposition (LPCVD), low temperature chemical vapor deposition (LTCVD), or rapid temperature chemical vapor deposition (rapid). Thermal chemical vapor deposition (RTCVD), plasma-assisted chemical vapor deposition (plasma enhanced chemical) Vapor deposition (PECVD), atomic layer deposition (ALD) or other commonly used methods.

繼續參見第5A-5C圖,半導體裝置200包括一基板100及設於基板100中之一井區104。此井區104具有第一導電型。此半導體裝置200更包括設於基板100中之隔離結構106,且此隔離結構106環繞井區104中的主動區108。此半導體裝置200更包括設於主動區108中及井區104中的源極區110,及設於主動區108中及井區104中的汲極區112。此半導體裝置200更包括設於井區104中的第二導電型第一摻雜區114,且此第二導電型第一摻雜區114沿著主動區108之邊緣設置,其中第一導電型與第二導電型不同。此半導體裝置200更包括設於井區104中,且設於源極區110、汲極區112及第二導電型第一摻雜區114之下的第二導電型第二摻雜區116。此第二導電型第二摻雜區116與第二導電型第一摻雜區114直接接觸。此半導體裝置200更包括源極電極130,此源極電極130電性連接源極區110。此半導體裝置200更包括汲極電極132,此汲極電極132電性連接汲極區112。此半導體裝置200更包括閘極電極134,此閘極電極134電性連接第二導電型第一摻雜區114。 Continuing to refer to FIGS. 5A-5C, the semiconductor device 200 includes a substrate 100 and a well region 104 disposed in the substrate 100. This well region 104 has a first conductivity type. The semiconductor device 200 further includes an isolation structure 106 disposed in the substrate 100, and the isolation structure 106 surrounds the active region 108 in the well region 104. The semiconductor device 200 further includes a source region 110 disposed in the active region 108 and in the well region 104, and a drain region 112 disposed in the active region 108 and in the well region 104. The semiconductor device 200 further includes a second conductive type first doped region 114 disposed in the well region 104, and the second conductive type first doped region 114 is disposed along an edge of the active region 108, wherein the first conductive type Different from the second conductivity type. The semiconductor device 200 further includes a second conductivity type second doping region 116 disposed in the well region 104 and disposed under the source region 110, the drain region 112, and the second conductivity type first doping region 114. The second conductive type second doping region 116 is in direct contact with the second conductive type first doping region 114. The semiconductor device 200 further includes a source electrode 130 electrically connected to the source region 110. The semiconductor device 200 further includes a drain electrode 132 electrically connected to the drain region 112. The semiconductor device 200 further includes a gate electrode 134 electrically connected to the first conductive type first doping region 114.

此第二導電型第一摻雜區114及第二導電型第二摻雜區116共同於井區104隔離出隔離次井區118(isolated sub-well region),且此源極區110與汲極區112係設於隔離次井區118中。 The second conductive type first doped region 114 and the second conductive type second doped region 116 are isolated from the well region 104 to isolate the isolated sub-well region 118, and the source region 110 and the drain region The pole region 112 is disposed in the isolated sub-well region 118.

如第5A圖所示,隔離次井區118包圍源極區110與汲極區112,且第二導電型第一摻雜區114包圍隔離次井區 118。隔離次井區118包括位於源極區110與汲極區112之間的通道區120,且通道區120之寬度小於源極區110之寬度與汲極區112之寬度。 As shown in FIG. 5A, the isolated sub-well region 118 surrounds the source region 110 and the drain region 112, and the second conductivity type first doping region 114 surrounds the isolation sub-well region. 118. The isolated sub-well region 118 includes a channel region 120 between the source region 110 and the drain region 112, and the width of the channel region 120 is less than the width of the source region 110 and the width of the drain region 112.

此外,第二導電型第一摻雜區114包括至少一閘極區122,此閘極區122鄰接通道區120。且閘極電極134係設於閘極區122之上。 In addition, the second conductivity type first doping region 114 includes at least one gate region 122 that is adjacent to the channel region 120. The gate electrode 134 is disposed above the gate region 122.

第6圖係顯示根據本揭露一些實施例所述之半導體裝置之閘極電壓(橫軸)對汲極電流(縱軸)之分析圖。如第6圖所示,本揭露實施例之半導體裝置藉由如第5A圖(上視圖)所示之源極區、汲極區、閘極區及通道之特殊配置,可降低半導體裝置之夾斷電壓(pinch-off voltage)至-0.2V。 Figure 6 is a graph showing the analysis of the gate voltage (horizontal axis) versus the drain current (vertical axis) of a semiconductor device according to some embodiments of the present disclosure. As shown in FIG. 6, the semiconductor device of the embodiment of the present disclosure can reduce the clip of the semiconductor device by the special configuration of the source region, the drain region, the gate region and the channel as shown in FIG. 5A (top view). The pinch-off voltage is -0.2V.

半導體裝置200可為一接面場效電晶體(junction field effecttransistor,JFET),且可應用於開關、電流源(current source)及靜電放電保護(electrostatic discharge protection)。 The semiconductor device 200 can be a junction field effect transistor (JFET) and can be applied to a switch, a current source, and an electrostatic discharge protection.

第7圖係本揭露另一實施例之半導體裝置之剖面圖。第7圖所示之實施例與前述第5B圖之實施例之差別在於源極區110與汲極區112直接接觸第二導電型第二摻雜區116,如第7圖所示。 Figure 7 is a cross-sectional view showing a semiconductor device according to another embodiment of the present invention. The difference between the embodiment shown in FIG. 7 and the embodiment of FIG. 5B is that the source region 110 and the drain region 112 directly contact the second conductivity type second doping region 116, as shown in FIG.

應注意的是,後文中與前文相同或相似的元件或膜層將以相同或相似之標號表示,其材料、製造方法與功能皆與前文所述相同或相似,故此部分在後文中將不再贅述。 It should be noted that elements or layers that are the same or similar to those in the foregoing will be denoted by the same or similar reference numerals, and the materials, manufacturing methods and functions thereof are the same or similar to those described above, and therefore will not be described later. Narration.

此外,應注意的是,雖然在以上之實施例中,皆以第一導電型為N型,第二導電型為P型說明,然而,此技術領域中具有通常知識者當可理解第一導電型亦可為P型,而此時 第二導電型則為N型。 In addition, it should be noted that although in the above embodiments, the first conductivity type is N type and the second conductivity type is P type description, however, those skilled in the art can understand the first conductivity. Type can also be P type, but at this time The second conductivity type is N type.

此外,應注意的是,熟習本技術領域之人士均深知,本揭露所述之汲極與源極可互換,因其定義係與本身所連接的電壓位準有關。 In addition, it should be noted that those skilled in the art are well aware that the drains and sources described herein are interchangeable because their definition is related to the voltage level to which they are connected.

值得注意的是,以上所述之元件尺寸、元件參數、以及元件形狀皆非為本揭露之限制條件。此技術領域中具有通常知識者可以根據不同需要調整這些設定值。另外,本揭露之半導體裝置及其製造方法並不僅限於第1-7圖所圖示之狀態。本揭露可以僅包括第1-7圖之任何一或複數個實施例之任何一或複數項特徵。換言之,並非所有圖示之特徵均須同時實施於本揭露之半導體裝置及其製造方法中。 It should be noted that the component sizes, component parameters, and component shapes described above are not limitations of the disclosure. Those of ordinary skill in the art can adjust these settings according to different needs. Further, the semiconductor device and the method of manufacturing the same according to the present disclosure are not limited to the state illustrated in FIGS. 1-7. The disclosure may include only any one or more of the features of any one or more of the embodiments of Figures 1-7. In other words, not all illustrated features must be simultaneously implemented in the semiconductor device and method of fabricating the same.

此外,雖然前文舉出各個摻雜區於一些實施例之摻雜濃度。然而,本領域具有通常知識者可瞭解的是,各個摻雜區之摻雜濃度可依照特定裝置型態、技術世代、最小元件尺寸等所決定。因此,各個摻雜區之摻雜濃度可依照技術內容重新評估,而不受限於在此所舉之實施例。 In addition, although the doping concentrations of the various doped regions in some embodiments are set forth above. However, it will be understood by those of ordinary skill in the art that the doping concentration of each doped region can be determined according to a particular device type, technology generation, minimum component size, and the like. Thus, the doping concentration of each doped region can be re-evaluated in accordance with the technical content without being limited to the embodiments presented herein.

雖然本揭露的實施例及其優點已揭露如上,但應該瞭解的是,任何所屬技術領域中具有通常知識者,在不脫離本揭露之精神和範圍內,當可作更動、替代與潤飾。此外,本揭露之保護範圍並未侷限於說明書內所述特定實施例中的製程、機器、製造、物質組成、裝置、方法及步驟,任何所屬技術領域中具有通常知識者可從本揭露揭示內容中理解現行或未來所發展出的製程、機器、製造、物質組成、裝置、方法及步驟,只要可以在此處所述實施例中實施大抵相同功能或獲得 大抵相同結果皆可根據本揭露使用。因此,本揭露之保護範圍包括上述製程、機器、製造、物質組成、裝置、方法及步驟。另外,每一申請專利範圍構成個別的實施例,且本揭露之保護範圍也包括各個申請專利範圍及實施例的組合。 Although the embodiments of the present disclosure and its advantages are disclosed above, it should be understood that those skilled in the art can make changes, substitutions, and refinements without departing from the spirit and scope of the disclosure. In addition, the scope of the disclosure is not limited to the processes, machines, manufactures, compositions, devices, methods, and steps in the specific embodiments described in the specification, and those of ordinary skill in the art may disclose the disclosure Understand current or future developments of processes, machines, manufacturing, material compositions, devices, methods, and steps, as long as they can be implemented in the embodiments described herein The same result can be used according to the disclosure. Accordingly, the scope of protection of the present disclosure includes the above-described processes, machines, manufacturing, material compositions, devices, methods, and procedures. In addition, each patent application scope constitutes an individual embodiment, and the scope of protection of the disclosure also includes a combination of the scope of the patent application and the embodiments.

100‧‧‧基板 100‧‧‧Substrate

106‧‧‧隔離結構 106‧‧‧Isolation structure

108‧‧‧主動區 108‧‧‧active area

110‧‧‧源極區 110‧‧‧ source area

112‧‧‧汲極區 112‧‧‧Bungee Area

114‧‧‧第二導電型第一摻雜區 114‧‧‧Second Conductive Type First Doped Area

118‧‧‧隔離次井區 118‧‧‧Isolated sub-well area

120‧‧‧通道區 120‧‧‧Channel area

122‧‧‧閘極區 122‧‧‧The gate area

130‧‧‧源極電極 130‧‧‧Source electrode

132‧‧‧汲極電極 132‧‧‧汲electrode

134‧‧‧閘極電極 134‧‧‧gate electrode

200‧‧‧半導體裝置 200‧‧‧Semiconductor device

W1‧‧‧寬度 W1‧‧‧Width

5B-5B’‧‧‧線段 5B-5B’‧‧‧ Segment

5C-5C’‧‧‧線段 5C-5C’‧‧‧ Segment

Claims (20)

一種半導體裝置,包括:一基板;一井區,設於該基板中,且具有一第一導電型;一隔離結構,設於該基板中,且環繞該井區中的一主動區;一源極區,設於該主動區中及該井區中;一汲極區,設於該主動區中及該井區中;一第二導電型第一摻雜區,設於該井區中,且沿著該主動區之一邊緣設置,其中該第一導電型與該第二導電型不同;一第二導電型第二摻雜區,設於該井區中,且設於該源極區、該汲極區及該第二導電型第一摻雜區之下,其中該第二導電型第二摻雜區與該第二導電型第一摻雜區直接接觸;一源極電極,電性連接該源極區;一汲極電極,電性連接該汲極區;及一閘極電極,電性連接該第二導電型第一摻雜區。 A semiconductor device comprising: a substrate; a well region disposed in the substrate and having a first conductivity type; an isolation structure disposed in the substrate and surrounding an active region in the well region; a polar region disposed in the active region and in the well region; a drain region disposed in the active region and in the well region; a second conductive type first doped region disposed in the well region And disposed along an edge of the active region, wherein the first conductive type is different from the second conductive type; a second conductive type second doped region is disposed in the well region and disposed in the source region And the second conductive type first doped region is in direct contact with the second conductive type first doped region; a source electrode, electricity The source region is connected to the source region; a drain electrode is electrically connected to the drain region; and a gate electrode is electrically connected to the first doped region of the second conductivity type. 如申請專利範圍第1項所述之半導體裝置,其中該源極區與該汲極區並未直接接觸該第二導電型第二摻雜區。 The semiconductor device of claim 1, wherein the source region and the drain region are not in direct contact with the second conductivity type second doped region. 如申請專利範圍第1項所述之半導體裝置,其中該源極區與該汲極區直接接觸該第二導電型第二摻雜區。 The semiconductor device of claim 1, wherein the source region and the drain region directly contact the second conductive type second doped region. 如申請專利範圍第1項所述之半導體裝置,其中該第二導電型第一摻雜區直接接觸該隔離結構。 The semiconductor device of claim 1, wherein the second conductive type first doped region directly contacts the isolation structure. 如申請專利範圍第1項所述之半導體裝置,其中該第二導電型第一摻雜區及該第二導電型第二摻雜區共同於該井區隔離出一隔離次井區(isolated sub-well region),其中該源 極區與該汲極區係設於該隔離次井區中。 The semiconductor device of claim 1, wherein the second conductive type first doped region and the second conductive type second doped region together isolate an isolation sub-well region (isolated sub) -well region), where the source The polar zone and the bungee zone are located in the isolated sub-well zone. 如申請專利範圍第5項所述之半導體裝置,其中該隔離次井區包圍該源極區與該汲極區,且該第二導電型第一摻雜區包圍該隔離次井區。 The semiconductor device of claim 5, wherein the isolated sub-well region surrounds the source region and the drain region, and the second conductivity type first doped region surrounds the isolated sub-well region. 如申請專利範圍第5項所述之半導體裝置,其中該隔離次井區包括位於該源極區與該汲極區之間的一通道區,且該通道區之寬度小於該源極區之寬度與該汲極區之寬度。 The semiconductor device of claim 5, wherein the isolated sub-well region comprises a channel region between the source region and the drain region, and the width of the channel region is less than a width of the source region With the width of the bungee zone. 如申請專利範圍第7項所述之半導體裝置,其中該第二導電型第一摻雜區包括至少一閘極區,該閘極區鄰接該通道區。 The semiconductor device of claim 7, wherein the second conductive type first doped region comprises at least one gate region, the gate region adjoining the channel region. 如申請專利範圍第8項所述之半導體裝置,其中該第二導電型第一摻雜區包括兩個閘極區,其中個閘極區係設於該通道區之相反側,且該兩個閘極區鄰接該通道區。 The semiconductor device of claim 8, wherein the second conductive type first doped region comprises two gate regions, wherein one of the gate regions is disposed on an opposite side of the channel region, and the two The gate region is adjacent to the channel region. 如申請專利範圍第8項所述之半導體裝置,其中該閘極電極係設於該閘極區之上。 The semiconductor device of claim 8, wherein the gate electrode is disposed over the gate region. 一種記憶體裝置之製造方法,包括:提供一基板;形成一井區於該基板中,其中該井區且具有一第一導電型;形成一隔離結構於該基板中,其中該隔離結構環繞該井區中的一主動區;形成一源極區於該主動區中及該井區中;形成一汲極區於該主動區中及該井區中;形成一第二導電型第一摻雜區於該井區中,其中該第二導電型第一摻雜區沿著該主動區之一邊緣設置,其中該第一導電型與該第二導電型不同; 形成一第二導電型第二摻雜區於該井區中,且該第二導電型第二摻雜區係設於該源極區、該汲極區及該第二導電型第一摻雜區之下,其中該第二導電型第二摻雜區與該第二導電型第一摻雜區直接接觸;形成一源極電極,該源極電極電性連接該源極區;形成一汲極電極,該汲極電極電性連接該汲極區;及形成一閘極電極,該閘極電極電性連接該第二導電型第一摻雜區。 A method of manufacturing a memory device, comprising: providing a substrate; forming a well region in the substrate, wherein the well region has a first conductivity type; forming an isolation structure in the substrate, wherein the isolation structure surrounds the An active region in the well region; forming a source region in the active region and the well region; forming a drain region in the active region and the well region; forming a second conductivity type first doping In the well region, wherein the second conductive type first doped region is disposed along an edge of the active region, wherein the first conductive type is different from the second conductive type; Forming a second conductivity type second doping region in the well region, and the second conductivity type second doping region is disposed in the source region, the drain region, and the second conductivity type first doping a second conductive type second doped region is in direct contact with the second conductive type first doped region; a source electrode is formed, the source electrode is electrically connected to the source region; The gate electrode is electrically connected to the drain region; and a gate electrode is electrically connected to the second conductive type first doped region. 如申請專利範圍第11項所述之半導體裝置之製造方法,其中該源極區與該汲極區並未直接接觸該第二導電型第二摻雜區。 The method of fabricating a semiconductor device according to claim 11, wherein the source region and the drain region are not in direct contact with the second conductive type second doped region. 如申請專利範圍第11項所述之半導體裝置之製造方法,其中該源極區與該汲極區直接接觸該第二導電型第二摻雜區。 The method of fabricating a semiconductor device according to claim 11, wherein the source region and the drain region directly contact the second conductive type second doped region. 如申請專利範圍第11項所述之半導體裝置之製造方法,其中該第二導電型第一摻雜區直接接觸該隔離結構。 The method of fabricating a semiconductor device according to claim 11, wherein the second conductive type first doped region directly contacts the isolation structure. 如申請專利範圍第11項所述之半導體裝置之製造方法,其中該第二導電型第一摻雜區及該第二導電型第二摻雜區共同於該井區隔離出一隔離次井區(isolated sub-well region),其中該源極區與該汲極區係設於該隔離次井區中。 The method of manufacturing a semiconductor device according to claim 11, wherein the second conductive type first doped region and the second conductive type second doped region together isolate an isolation sub-well region in the well region. (isolated sub-well region), wherein the source region and the drain region are disposed in the isolated sub-well region. 如申請專利範圍第15項所述之半導體裝置之製造方法,其中該隔離次井區包圍該源極區與該汲極區,且該第二導電型第一摻雜區包圍該隔離次井區。 The method of fabricating a semiconductor device according to claim 15, wherein the isolated sub-well region surrounds the source region and the drain region, and the second conductive type first doped region surrounds the isolated sub-well region . 如申請專利範圍第15項所述之半導體裝置之製造方法,其中該隔離次井區包括位於該源極區與該汲極區之間的一通 道區,且該通道區之寬度小於該源極區之寬度與該汲極區之寬度。 The method of fabricating a semiconductor device according to claim 15, wherein the isolated sub-well region includes a pass between the source region and the drain region. a track zone, and the width of the channel zone is less than the width of the source zone and the width of the drain zone. 如申請專利範圍第17項所述之半導體裝置之製造方法,其中該第二導電型第一摻雜區包括至少一閘極區,該閘極區鄰接該通道區。 The method of fabricating a semiconductor device according to claim 17, wherein the second conductive type first doped region comprises at least one gate region, the gate region adjoining the channel region. 如申請專利範圍第18項所述之半導體裝置之製造方法,其中該第二導電型第一摻雜區包括兩個閘極區,其中個閘極區係設於該通道區之相反側,且該兩個閘極區鄰接該通道區。 The method of fabricating a semiconductor device according to claim 18, wherein the second conductive type first doped region comprises two gate regions, wherein one of the gate regions is disposed on an opposite side of the channel region, and The two gate regions are adjacent to the channel region. 如申請專利範圍第18項所述之半導體裝置之製造方法,置,其中該閘極電極係設於該閘極區之上。 The method of fabricating a semiconductor device according to claim 18, wherein the gate electrode is disposed on the gate region.
TW104137285A 2015-11-12 2015-11-12 Semiconductor device and method of manufacturing the same TWI594334B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
TW104137285A TWI594334B (en) 2015-11-12 2015-11-12 Semiconductor device and method of manufacturing the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW104137285A TWI594334B (en) 2015-11-12 2015-11-12 Semiconductor device and method of manufacturing the same

Publications (2)

Publication Number Publication Date
TW201717283A true TW201717283A (en) 2017-05-16
TWI594334B TWI594334B (en) 2017-08-01

Family

ID=59366882

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104137285A TWI594334B (en) 2015-11-12 2015-11-12 Semiconductor device and method of manufacturing the same

Country Status (1)

Country Link
TW (1) TWI594334B (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110350018A (en) * 2018-04-02 2019-10-18 世界先进积体电路股份有限公司 Semiconductor structure and its manufacturing method

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111403293B (en) * 2020-03-09 2023-10-20 上海华虹宏力半导体制造有限公司 Preparation method of JFET device and JFET device

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7667268B2 (en) * 2002-08-14 2010-02-23 Advanced Analogic Technologies, Inc. Isolated transistor
WO2006053055A2 (en) * 2004-11-09 2006-05-18 Fultec Semiconductor Inc. High-voltage transistor fabrication with trench etching technique
TWI405332B (en) * 2010-03-10 2013-08-11 Macronix Int Co Ltd Junction-field-effect-transistor devices
US8462477B2 (en) * 2010-09-13 2013-06-11 Analog Devices, Inc. Junction field effect transistor for voltage protection

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110350018A (en) * 2018-04-02 2019-10-18 世界先进积体电路股份有限公司 Semiconductor structure and its manufacturing method

Also Published As

Publication number Publication date
TWI594334B (en) 2017-08-01

Similar Documents

Publication Publication Date Title
US10868175B2 (en) Method for manufacturing semiconductor structure
US10720425B2 (en) Laterally diffused metal oxide semiconductor device integrated with vertical field effect transistor
TWI816685B (en) Semiconductor device and manufacturing method thereof
US11705517B2 (en) Nanosheet transistors with strained channel regions
KR102030724B1 (en) Semiconductor device and method
US20140312431A1 (en) Semiconductor Devices and Methods of Manufacture Thereof
US9780100B1 (en) Vertical floating gate memory with variable channel doping profile
US8159024B2 (en) High voltage (>100V) lateral trench power MOSFET with low specific-on-resistance
US8951898B2 (en) Method for manufacturing a silicon carbide DIMOSFET
CN111133588A (en) Semiconductor device and method for manufacturing the same
US20220293760A1 (en) Epitaxial structure for source/drain contact
TWI594334B (en) Semiconductor device and method of manufacturing the same
JP6873937B2 (en) Semiconductor device
US10756178B2 (en) Self-limiting and confining epitaxial nucleation
US9722097B2 (en) Semiconductor device and method for manufacturing the same
US11195915B2 (en) Semiconductor devices with a sloped surface
US10505000B2 (en) Electronic device including a transistor structure having different semiconductor base materials
TWI593109B (en) Semiconductor device
JP7414499B2 (en) nitride semiconductor device
US10236346B1 (en) Transistor having a high germanium percentage fin channel and a gradient source/drain junction doping profile
TWI575707B (en) Semiconductor device and method of manufacturing the same