TW201633006A - 熱點感知劑量校正 - Google Patents

熱點感知劑量校正 Download PDF

Info

Publication number
TW201633006A
TW201633006A TW104142332A TW104142332A TW201633006A TW 201633006 A TW201633006 A TW 201633006A TW 104142332 A TW104142332 A TW 104142332A TW 104142332 A TW104142332 A TW 104142332A TW 201633006 A TW201633006 A TW 201633006A
Authority
TW
Taiwan
Prior art keywords
dose
features
zone
target
characteristic
Prior art date
Application number
TW104142332A
Other languages
English (en)
Other versions
TWI590006B (zh
Inventor
剛 陳
王德勝
Original Assignee
Asml荷蘭公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asml荷蘭公司 filed Critical Asml荷蘭公司
Publication of TW201633006A publication Critical patent/TW201633006A/zh
Application granted granted Critical
Publication of TWI590006B publication Critical patent/TWI590006B/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/7055Exposure light control in all parts of the microlithographic apparatus, e.g. pulse length control or light interruption
    • G03F7/70558Dose control, i.e. achievement of a desired dose
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70508Data handling in all parts of the microlithographic apparatus, e.g. handling pattern data for addressable masks or data transfer to or from different components within the exposure apparatus
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

本文中揭示一種用於改良使用一微影設備將一設計佈局之一部分成像至一基板上之一微影製程的電腦實施方法,該方法包含:獲得該部分中之一或多個特徵之一特性相對於劑量的一關係;獲得該特性之一值;及基於該特性之該值及該關係獲得一目標劑量。

Description

熱點感知劑量校正
本發明係關於一種判定用於半導體製造過程之效能的曝光劑量的方法。該方法可結合微影設備使用。
微影投影設備可用於(例如)積體電路(IC)之製造中。在此情況下,圖案化裝置(例如,光罩)可含有或提供對應於IC(「設計佈局」)之個別層的電路圖案,且可藉由(諸如)經由圖案化裝置上之電路圖案輻射目標部分的方法將此電路圖案轉印至已塗佈有輻射敏感材料(「抗蝕劑」)層之基板(例如,矽晶圓)上的目標部分(例如,包含一或多個晶粒)。一般而言,單一基板含有複數個鄰近目標部分,電路圖案係由微影投影設備順次地轉印至該複數個鄰近目標部分,一次一個目標部分。在一種類型之微影投影設備中,將整個圖案化裝置上之電路圖案一次性轉印至一個目標部分上;此設備通常被稱作晶圓步進器(wafer stepper)。在通常被稱作步進掃描設備(step-and-scan apparatus)之替代設備中,投影光束在給定參考方向(「掃描」方向)上遍及圖案化裝置進行掃描,同時平行或反平行於此參考方向而同步地移動基板。圖案化裝置上之電路圖案之不同部分被逐漸地轉印至一個目標部分。一般而言,因為微影投影設備將具有放大因數M(通常<1),所以基板被移動之速率F將為投影光束掃描圖案化裝置之速率的M倍。可(例如)自以引用方式併入本文中之US 6,046,792搜集到關於如本文中 所描述之微影裝置的更多資訊。
在將電路圖案自圖案化裝置轉印至基板之前,基板可經歷各種工序,諸如上底漆、抗蝕劑塗佈及軟烘烤。在曝光之後,基板可經受其他工序,諸如曝光後烘烤(PEB)、顯影、硬烘烤及經轉印電路圖案之量測/檢測。此工序陣列用作製造裝置(例如,IC)之個別層的基礎。基板接著可經歷各種程序,諸如蝕刻、離子植入(摻雜)、金屬化、氧化、化學機械拋光等,該等程序皆意欲精整裝置之個別層。若在裝置中需要若干層,則針對每一層重複整個工序或其變體。最終,在基板上之每一目標部分中將存在裝置。接著藉由諸如切塊或鋸切之技術來使此等裝置彼此分離,據此,可將個別裝置安裝於載體上、連接至接腳等。
如所提及,微影蝕刻術(microlithography)為製造IC時的中心步驟,其中形成於基板上之圖案界定IC之功能元件,諸如微處理器、記憶體晶片等。相似微影技術亦用於形成平板顯示器、微機電系統(MEMS)及其他裝置。
本文中揭示一種用於改良使用一微影設備將一設計佈局之一部分成像至一基板上之一微影製程的方法,該方法包含:獲得該部分中之一或多個特徵之一特性相對於劑量的一關係;獲得該特性之一值;及使用一電腦,基於該特性之該值及該關係獲得一目標劑量。
根據一實施例,該關係係藉由模擬獲得。
根據一實施例,該關係係藉由以不同劑量模擬該特性之值獲得。
根據一實施例,該特性之該值係藉由使用一度量衡工具或使用該設計佈局之一規格的一使用者之指定來獲得。
根據一實施例,該特性選自由臨界尺寸(CD)、光罩誤差、CD均 一性(CDU)、製程窗、散焦、良率、缺陷之存在機率及隨機效應組成之群組。
根據一實施例,該特性可藉由一單一特徵判定。
根據一實施例,該關係為該特性相對於該劑量之一敏感性。
根據一實施例,該特性為CD且其中獲得該關係包含獲得該CD相對於該劑量之一敏感性。
根據一實施例,該特性為光罩誤差且其中獲得該關係包含獲得CD相對於該劑量之一敏感性及光罩誤差增強因數(MEEF)之一值。
根據一實施例,該特性之該值為該設計佈局之該部分中的該一或多個特徵之位置的一函數。
根據一實施例,該關係為該設計佈局之該部分中的該一或多個特徵之位置的一函數。
根據一實施例,該目標劑量為該設計佈局之該部分中的該一或多個特徵之位置的一函數。
根據一實施例,複數個位置處的該特性之值表示為一映射。
根據一實施例,複數個位置處的該特性相對於該劑量之關係表示為一映射。
根據一實施例,複數個位置處的目標劑量表示為一映射。
根據一實施例,該目標劑量為該一或多個特徵之一個別特徵之一目標劑量。
根據一實施例,該目標劑量為該設計佈局之一區的一目標劑量,該區於其中具有多個特徵。
根據一實施例,該區之該目標劑量獲自該區中之所有或一些個別特徵之目標劑量及屬性。
根據一實施例,該目標劑量係藉由計算該區中之所有或一些個別特徵之目標劑量的一加權平均值而獲得。
根據一實施例,該目標劑量係藉由計算該區中之所有或一些個別特徵相對於劑量之關係的一加權平均值而獲得。
本文中揭示一種方法,其包含:基於來自一圖案化裝置之一區的一或多個特徵對一光學參數之一敏感性,將該一或多個特徵選擇至一組經選擇特徵中;及自該圖案化裝置之該區中之該組經選擇特徵的一特性判定用於該區之一目標劑量。
根據一實施例,該組經選擇特徵包含至少一個錨定物特徵。
根據一實施例,該組經選擇特徵包含該區中之一或多個熱點。
根據一實施例,該組經選擇特徵並未包含該區中之所有熱點。
根據一實施例,該方法進一步包含:識別該區中之所有熱點;及將該區中之所有該等熱點中的具有最高劑量敏感性之複數個熱點包括至該組經選擇特徵中。
根據一實施例,該方法進一步包含:識別該區中之所有熱點;將該等熱點分組為複數個類型;及在該等類型中之每一者內,將彼類型之彼等熱點內的具有最高劑量敏感性之複數個熱點包括至該組經選擇特徵中。
根據一實施例,被包括至該組經選擇特徵中的來自每一類型的若干熱點並不相同。
根據一實施例,該方法進一步包含:識別該區中之所有熱點;將該等熱點分組為複數個類型;在該等類型中之每一者內,識別彼類型之所有該等熱點內的具有一最高劑量敏感性之一熱點;選擇具有該最高劑量敏感性之各別熱點在該複數個類型中最高的類型之一子集;及在該等類型之每一子集內,將彼類型之彼等熱點內的具有最高劑量敏感性之複數個熱點包括至該組經選擇特徵中。
根據一實施例,選擇該類型子集包含依據該複數個類型之各別熱點的最高劑量敏感性對該複數個類型排序。
根據一實施例,該方法進一步包含:判定該區中之該等熱點中的任一者在用於該區之該目標劑量下是否有缺陷;若該區中之該等熱點中之至少一者有缺陷,則調整自該組經選擇特徵之該特性判定用於該區之該目標劑量的方式且重新判定用於該區之該目標劑量。
根據一實施例,該目標劑量為用於該組經選擇特徵之該特性的目標劑量之一加權平均值。
根據一實施例,判定一目標劑量包含藉由調整該組經選擇特徵之該特性之一函數的一組參數來最佳化該函數。
根據一實施例,調整該組參數係藉由最小化或最大化一成本函數,該成本函數為該組參數之一函數且其值受用於該區之該目標劑量影響。
根據一實施例,調整如何判定用於該區之該目標劑量包含:將一或多個錨定物特徵添加至該組經選擇特徵;自該組經選擇特徵移除一或多個錨定物特徵;將該組經選擇特徵中之一或多個錨定物特徵指定為一非錨定物特徵;或將該組經選擇特徵中之一或多個非錨定物特徵指定為一錨定物特徵。
本文中揭示一種電腦程式產品,其包含上面記錄有指令之一電腦可讀媒體,該等指令在由一電腦執行時實施本文中之方法中的任一者。
10‧‧‧微影投影設備
12‧‧‧照明源
14‧‧‧光學件
16a‧‧‧光學件
16b‧‧‧光學件
16c‧‧‧透射光學件
18‧‧‧圖案化裝置
20‧‧‧濾光器/孔徑
21‧‧‧輻射光束
22‧‧‧基板平面/琢面化場鏡面裝置
24‧‧‧琢面化光瞳鏡面裝置
26‧‧‧經圖案化光束
28‧‧‧反射元件
30‧‧‧反射元件
31‧‧‧源模型
32‧‧‧投影光學件模型
33‧‧‧設計佈局模型
36‧‧‧空中影像
37‧‧‧抗蝕劑模型
38‧‧‧抗蝕劑影像
100‧‧‧電腦系統
102‧‧‧匯流排
104‧‧‧處理器
105‧‧‧處理器
106‧‧‧主記憶體
108‧‧‧唯讀記憶體
110‧‧‧儲存裝置
112‧‧‧顯示器
114‧‧‧輸入裝置
116‧‧‧游標控制件
118‧‧‧通信介面
120‧‧‧網路連結
122‧‧‧區域網路
124‧‧‧主機電腦
126‧‧‧網際網路服務業者(ISP)
128‧‧‧全球封包資料通信網路/網際網路
130‧‧‧伺服器
210‧‧‧熱電漿
211‧‧‧源腔室
212‧‧‧收集器腔室
220‧‧‧圍封結構
221‧‧‧開口
230‧‧‧污染物截留器
240‧‧‧光柵光譜濾光器
251‧‧‧上游輻射收集器側
252‧‧‧下游輻射收集器側
253‧‧‧掠入射反射器
254‧‧‧掠入射反射器
255‧‧‧掠入射反射器
300‧‧‧圖案化裝置之區域
301‧‧‧區之陣列
310‧‧‧曲線
320‧‧‧曲線
321‧‧‧輻射阻斷指形件對
402‧‧‧步驟
404‧‧‧步驟
406‧‧‧步驟
501‧‧‧等值線圖
502‧‧‧等值線圖
503‧‧‧等值線圖
601‧‧‧映射
602‧‧‧等值線圖
603‧‧‧等值線圖
801‧‧‧設計佈局
802‧‧‧微影模型
803‧‧‧微影製程之參數
804‧‧‧微影設備之組態
805‧‧‧步驟
806‧‧‧標稱劑量
807‧‧‧劑量之擾動
808‧‧‧光罩CD之擾動
809‧‧‧步驟
810‧‧‧CD相對於劑量之敏感性
811‧‧‧光罩偏置敏感性
812‧‧‧步驟
813‧‧‧劑量校正引擎
814‧‧‧映射及度量衡資料
1000‧‧‧微影投影設備
1210‧‧‧經選擇特徵
1310‧‧‧經選擇特徵
1320‧‧‧最佳化方法
1330‧‧‧目標劑量
B‧‧‧輻射光束
C‧‧‧目標部分
CO‧‧‧收集器
IF‧‧‧虛擬源點
IL‧‧‧照明系統(照明器)
LA‧‧‧雷射
M1‧‧‧圖案化裝置對準標記
M2‧‧‧圖案化裝置對準標記
MA‧‧‧圖案化裝置
MT‧‧‧支撐結構
O‧‧‧光軸
P1‧‧‧基板對準標記
P2‧‧‧基板對準標記
PM‧‧‧第一定位器
PW‧‧‧第二定位器
PS‧‧‧投影系統
PS1‧‧‧位置感測器
PS2‧‧‧位置感測器
SO‧‧‧源收集器模組
W‧‧‧基板
WT‧‧‧基板台
對於一般熟習此項技術者而言,上述態樣及其他態樣及特徵將在結合隨附圖式檢閱特定實施例之以下描述後變得顯而易見,其中:圖1為根據一實施例的微影系統之各種子系統的方塊圖;圖2為對應於圖1中之子系統之模擬模型的方塊圖;圖3示意性地說明涉及圖案化裝置之區域中的劑量之位置相依調整的一個特定類型之RET; 圖4展示用於判定目標劑量之方法的流程圖;圖5示意性地展示自CD之值獲得目標劑量的一個實例;圖6示意性地展示自光罩誤差之值獲得目標劑量的另一實例;圖7示意性地展示如何在圖案化裝置之區域中的區大於圖案化裝置上之特徵時獲得用於該等區之目標劑量的實例;圖8展示併有本文中之實施例中之一些的流程;圖9示意性地展示用於獲得一組經選擇特徵的流程,目標劑量係自該群組之特性判定;圖10示意性地展示用於獲得一組特徵的流程,目標劑量係自該群組之特性判定;圖11示意性地展示用於獲得一組特徵的流程,目標劑量係自該群組之特性判定;圖12示意性地展示用於判定用於區之目標劑量的流程;圖13示意性地展示用於判定用於區之目標劑量的流程;圖17為可供實施實施例之實例電腦系統的方塊圖;圖15為另一微影投影設備之示意圖;圖16為圖15中之設備的更詳細視圖;圖17為圖15及圖16之設備之源收集器模組SO的更詳細視圖。
現在將參看圖式詳細地描述實施例,該等圖式被提供為說明性實例以便使熟習此項技術者能夠實踐該等實施例。值得注意地,以下之諸圖及實例不意謂將範疇限於單一實施例,而是借助於所描述或所說明元件中之一些或全部之互換而使其他實施例係可能的。在任何方便之處,將貫穿圖式使用相同參考數字以指相同或相似部件。在可使用已知組件來部分地或完全地實施此等實施例之某些元件的情況下,將僅描述理解該等實施例所必需之此等已知組件的彼等部分,且將省 略此等已知組件之其他部分的詳細描述以便不混淆該等實施例之描述。在本說明書中,展示單個組件之實施例不應被視為限制性的;實情為,除非本文中另有明確陳述,否則範疇意欲涵蓋包括複數個相同組件之其他實施例,且反之亦然。此外,申請人不意欲使本說明書或申請專利範圍中之任何術語歸結於不常見或特定涵義,除非如此明確闡述。另外,範疇涵蓋借助於說明而在本文所提及之組件的當前及未來已知等效者。
隨著半導體製造過程繼續進步,幾十年來,功能元件之尺寸已不斷地縮減,而每裝置的諸如電晶體之功能元件之量已在穩固地增加,此遵循通常被稱作「莫耳定律(Moore's law)」之趨勢。在當前先進技術下,使用微影投影設備來製造裝置層,微影投影設備使用來自深紫外線照明源之照明將設計佈局投影至基板上,從而產生尺寸充分地低於100nm,亦即,小於來自該照明源(例如,193nm照明源)之輻射之波長的一半之個別功能元件。
供印刷尺寸小於微影投影設備之經典解析度限制之特徵的此製程根據解析度公式CD=k1×λ/NA而通常被稱為低k1微影,其中λ為所使用輻射之波長(當前在大多數狀況下為248nm或193nm),NA為微影投影設備中之投影光學件之數值孔徑,CD為「臨界尺寸(critical dimension)」(通常為所印刷之最小特徵大小),且k1為經驗解析度因數。一般而言,k1愈小,在基板上再生類似於由電路設計者規劃之形狀及尺寸以便達成特定電氣功能性及效能的圖案變得愈困難。為了克服此等困難,將複雜微調步驟應用於微影投影設備及/或設計佈局。此等步驟包括(例如,但不限於)NA及光學相干設定之最佳化、定製照明方案、相移圖案化裝置之使用、設計佈局中之光學近接校正(OPC,有時亦被稱作「光學及程序校正」),或通常被定義為「解析度增強技術」(RET)之其他方法。如本文中所使用之術語「投影光學 件」應被廣泛地解譯為涵蓋各種類型之光學系統,包括(例如)折射光學件、反射光學件、孔隙及反射折射光學件。術語「投影光學件」亦可包括根據此等設計類型中任一者而操作以用於集體地或單個地引導、塑形或控制投影輻射光束的組件。術語「投影光學件」可包括微影投影設備中的任何光學組件,無論光學組件定位於微影投影設備之光學路徑中的地方。投影光學件可包括用於在來自源之輻射通過圖案化裝置之前塑形、調整及/或投影該輻射的光學組件,及/或用於在該輻射通過圖案化裝置之後塑形、調整及/或投影該輻射的光學組件。投影光學件通常排除源及圖案化裝置。
作為實例,OPC處理如下事實:投影於基板上之設計佈局之影像的最終大小及置放將不相同於或簡單地僅取決於該設計佈局在圖案化裝置上之大小及置放。應注意,術語「光罩」、「比例光罩」、「圖案化裝置」在本文中可互換地利用。又,熟習此項技術者將認識到,尤其在微影模擬/最佳化之內容背景中,術語「光罩」、「圖案化裝置」及「設計佈局」可互換地使用,此係因為:在微影模擬/最佳化中,未必使用實體圖案化裝置,而可使用設計佈局以表示實體圖案化裝置。對於存在於某一設計佈局上之小特徵大小及高特徵密度,給定特徵之特定邊緣之位置將在某種程度上受到其他鄰近特徵之存在或不存在影響。此等近接效應起因於自一個特徵耦合至另一特徵的微小量之輻射及/或諸如繞射及干涉之非幾何光學效應。相似地,近接效應可起因於在通常跟在微影之後的曝光後烘烤(PEB)、抗蝕劑顯影及蝕刻期間之擴散及其他化學效應。
為了確保設計佈局之經投影影像係根據給定目標電路設計之要求,需要使用設計佈局之複雜數值模型、校正或預失真來預測及補償近接效應。論文「Full-Chip Lithography Simulation and Design Analysis-How OPC Is Changing IC Design」(C.Spence,Proc.SPIE, 第5751卷,第1至14頁(2005年))提供當前「以模型為基礎」之光學近接校正程序的綜述。在典型高階設計中,設計佈局之幾乎每一特徵皆具有某一修改,以便達成經投影影像至目標設計之高保真度。此等修改可包括邊緣位置或線寬之移位或偏置,以及意欲輔助其他特徵之投影之「輔助」特徵的應用。
在一晶片設計中通常存在數百萬個特徵的情況下,將以模型為基礎之OPC應用於目標設計涉及良好的程序模型及相當多的計算資源。然而,應用OPC通常不為「嚴正科學(exact science)」,而為並不總是補償所有可能近接效應之經驗反覆程序。因此,需要藉由設計檢測(亦即,使用經校準數值程序模型之密集型全晶片模擬)來驗證OPC之效應(例如,在應用OPC及任何其他RET之後的設計佈局),以便最小化將設計瑕疵建置至圖案化裝置圖案中的可能性。此情形係藉由如下各者驅使:製造高階圖案化裝置之巨大成本,其在數百萬美元的範圍內;以及對產品製作時程之影響,其係因重做或修復實際圖案化裝置(一旦其已被製造)而引起。
OPC及全晶片RET驗證兩者可基於如(例如)美國專利申請案第10/815,573號及Y.Cao等人之名為「Optimized Hardware and Software For Fast,Full Chip Simulation」(Proc.SPIE,第5754卷,405(2005年))之論文中描述的數值模型化系統及方法。
一個RET係關於設計佈局之全域偏置之調整。全域偏置為設計佈局中之圖案與意欲印刷於基板上之圖案之間的差。舉例而言,25nm直徑之圓形圖案可藉由設計佈局中之50nm直徑圖案或藉由設計佈局中之20nm直徑圖案但以高劑量而印刷於基板上。
除了對設計佈局或圖案化裝置之最佳化(例如,OPC)以外,亦可與圖案化裝置聯合地最佳化抑或分離地最佳化照明源,以致力於改良總微影保真度。術語「照明源」及「源」在此文件中可互換使用。自 1990年代以來,已引入諸如環形、四極及偶極之許多離軸照明源,且該等離軸照明源已提供針對OPC設計之更多自由度,藉此改良成像結果。如吾人所知,離軸照明為用以解析圖案化裝置中含有之精細結構(亦即,目標特徵)的被證實方式。然而,相比於傳統照明源,離軸照明源通常提供針對空中影像(aerial image)之較小輻射強度。因此,變得需要試圖最佳化照明源以在較精細解析度與縮減輻射強度之間達成最佳平衡。
舉例而言,可在Rosenbluth等人之名為「Optimum Mask and Source Patterns to Print A Given Shape」(Journal of Microlithography,Microfabrication,Microsystems 1(1),第13至20頁(2002年))之論文中找到眾多照明源最佳化途徑。將源分割成若干區,該等區中每一者對應於光瞳光譜之某一區。接著,將源分佈假定為在每一源區中均一,且針對製程窗來最佳化每一區之亮度。然而,源分佈在每一源區中均一之此假定並不總是有效,且結果,此途徑之有效性受損。在Granik之名為「Source Optimization for Image Fidelity and Throughput」(Journal of Microlithography,Microfabrication,Microsystems 3(4),第509至522頁(2004年))之論文中闡述的另一實例中,綜述若干現有源最佳化途徑,且提議將源最佳化問題轉換成一系列非負最小平方最佳化的基於照明器像素之方法。儘管此等方法已示範一些成就,但其通常需要多次複雜反覆以進行收斂。另外,可難以判定用於一些額外參數(諸如,Granik方法中之γ)之適當/最佳值,此情形規定在最佳化用於基板影像保真度之源與該源之平滑度要求之間的取捨。
對於低k1光微影,源及圖案化裝置兩者之最佳化有用於確保用於臨界電路圖案之投影的可行製程窗。一些演算法(例如,Socha等人之Proc.SPIE,2005年,第5853卷,第180頁)在空間頻域中將照明離散化成獨立源點且將光罩離散化成繞射階,且基於可藉由光學成像模型 自源點強度及圖案化裝置繞射階而預測之製程窗度量(諸如,曝光寬容度)來分離地公式化成本函數(其被定義為選定設計變數之函數)。如本文中所使用之術語「設計變數」包含微影投影設備之參數集合,例如,微影投影設備之使用者可調整的參數。應瞭解,微影投影程序之任何特性(包括源、圖案化裝置、投影光學件之特性,及/或抗蝕劑特性)可在最佳化中之設計變數當中。成本函數常常為設計變數之非線性函數。接著使用標準最佳化技術以最小化成本函數。
相關地,不斷地減低設計規則之壓力已驅使半導體晶片製造者在現有193nm ArF微影的情況下更深入於低k1微影時代。朝向較低k1之微影施予對RET、曝光工具及針對微影親和設計之需要的大量需求。未來可使用1.35ArF超數值孔徑(NA)曝光工具。為了幫助確保電路設計可以可工作製程窗而產生至基板上,源-圖案化裝置最佳化(在本文中被稱作源-光罩最佳化(source-mask optimization)或SMO)正變成用於2×nm節點之顯著RET。
2009年11月20日申請且被公開為WO2010/059954之標題為「Fast Freeform Source and Mask Co-Optimization Method」的共同讓渡之國際專利申請案第PCT/US2009/065359號中描述允許在無約束之情況下且在可實行之時間量內使用成本函數來同時地最佳化源及圖案化裝置的源及圖案化裝置(設計佈局)最佳化方法及系統,該專利申請案之全文在此以引用方式併入。
2010年6月10日申請且被公開為美國專利申請公開案第2010/0315614號之名為「Source-Mask Optimization in Lithographic Apparatus」的共同讓渡之美國專利申請案第12/813456號中描述涉及藉由調整源之像素來最佳化源的另一源及圖案化裝置最佳化方法及系統,該專利申請案之全文在此以引用方式併入。
儘管可在本文中特定參考在IC之製造中之實施例之使用,但應 明確理解,該等實施例具有許多其他可能應用。舉例而言,該等實施例可用於整合式光學系統之製造中、用於磁疇記憶體之導引及偵測圖案、液晶顯示面板、薄膜磁頭等。熟習此項技術者應瞭解,在此等替代應用之內容背景中,本文中對術語「比例光罩」、「晶圓」或「晶粒」之任何使用應被認為分別可與更一般之術語「光罩」、「基板」及「目標部分」互換。
在本文件中,術語「輻射」及「光束」用以涵蓋所有類型之電磁輻射,包括紫外線輻射(例如,具有365nm、248nm、193nm、157nm或126nm之波長),及極紫外線輻射(EUV,例如,具有在5nm至20nm之範圍內之波長)。
如本文中所使用之術語「最佳化」意謂調整設備或製程(例如,微影設備或光學微影處理步驟),使得(例如,微影之)圖案化及/或裝置製造結果及/或製程具有一或多個所要特性,諸如基板上之設計佈局之投影的較高精確性、較大製程窗等。
另外,微影投影設備可屬於具有兩個或兩個以上基板台(及/或兩個或兩個以上圖案化裝置台)之類型。在此等「多載物台」裝置中,可並行地使用額外台,或可在一或多個台上進行預備步驟,同時將一或多個其他台用於曝光。舉例而言,以引用方式併入本文中之US 5,969,441中描述雙載物台微影投影設備。
上文所提及之圖案化裝置包含設計佈局。可利用電腦輔助設計(CAD)程式來產生設計佈局,此程序常常被稱作EDA(電子設計自動化)。大多數CAD程式遵循預定設計規則集合,以便產生功能設計佈局/圖案化裝置。藉由處理及設計限制來設定此等規則。舉例而言,設計規則定義電路裝置(諸如,閘、電容器等)或互連線之間的空間容許度,以便確保電路裝置或線不會以不理想方式彼此相互作用。設計規則限制通常被稱作「臨界尺寸」(CD)。可將電路之臨界尺寸定義為 線或孔之最小寬度,或兩個線或兩個孔之間的最小空間。因此,CD判定所設計電路之總大小及密度。積體電路製造中之目標中之一者係在基板上如實地再生原始電路設計(經由圖案化裝置)。
如本文中所使用之術語圖案化裝置可被廣泛地解譯為指可用以向入射輻射光束賦予經圖案化截面之通用圖案化裝置,經圖案化截面對應於待在基板之目標部分中產生之圖案;術語「光閥」亦可用於此內容背景中。除了經典光罩(透射或反射;二元、相移、混合式等)以外,其他此等圖案化裝置之實例亦包括:
-可程式化鏡面陣列。此裝置之一實例為具有黏彈性控制層及反射表面之矩陣可定址表面。此設備所隱含之基本原理為(例如):反射表面之經定址區域使入射輻射反射為繞射輻射,而未經定址區域使入射輻射反射為非繞射輻射。使用適當濾光器,可自經反射光束濾出該非繞射輻射,從而僅留下繞射輻射;以此方式,光束根據矩陣可定址表面之定址圖案而變得圖案化。可使用合適電子件來執行矩陣定址。可(例如)自以引用方式併入本文中之美國專利第5,296,891號及第5,523,193號搜集到關於此等鏡面陣列之更多資訊。
-可程式化LCD陣列。在以引用方式併入本文中之美國專利第5,229,872號中給出此建構之一實例。
作為簡要介紹,圖1說明例示性微影投影設備10。主要組件為:照明源12,其可為深紫外線準分子雷射源或包括極紫外線(EUV)源之其他類型之源;照明光學件,其定義部分相干性(被表示為均方偏差)且可包括塑形來自源12之輻射之光學件14、16a及16b;圖案化裝置(例如,光罩或比例光罩)18;及透射光學件16c,其將圖案化裝置圖案之影像投影至基板平面22上。投影光學件之光瞳平面處之可調整濾光器或孔徑20可限定照射於基板平面22上之光束角之範圍,其中最大可能角定義投影光學件之數值孔徑NA=sin(Θmax)。
在一系統之最佳化程序中,可將該系統之優值(figure of merit)表示為成本函數。最佳化程序歸結為尋找最小化成本函數的系統之參數(設計變數)集合的程序。成本函數可取決於最佳化之目標而具有任何合適形式。舉例而言,成本函數可為系統之某些特性(評估點)相對於此等特性之預期值(例如,理想值)之偏差的加權均方根(RMS);成本函數亦可為此等偏差之最大值。本文中之術語「評估點」應被廣泛地解譯為包括系統之任何特性。歸因於系統之實施之實務性,系統之設計變數可限於有限範圍及/或可相互相依。在微影投影設備之狀況下,約束常常與硬體之物理性質及特性(諸如,可調諧範圍,及/或圖案化裝置可製造性設計規則)相關聯,且評估點可包括基板上之抗蝕劑影像上之實體點,以及諸如劑量及焦點之非物理特性。
在微影投影設備中,源提供照明(亦即,輻射);投影光學件經由圖案化裝置而引導及塑形照明且將照明引導及塑形至基板上。此處,術語「投影光學件」被廣泛地定義為包括可變更輻射光束之波前的任何光學組件。舉例而言,投影光學件可包括組件14、16a、16b及16c中之至少一些。空中影像(AI)為基板上之輻射強度分佈。曝光基板上之抗蝕劑層,且將空中影像轉印至抗蝕劑層以在其中作為潛伏「抗蝕劑影像」(RI)。可將抗蝕劑影像(RI)定義為抗蝕劑層中之抗蝕劑之溶解度的空間分佈。可使用抗蝕劑模型以自空中影像計算抗蝕劑影像,可在揭示內容之全文據此以引用方式併入的共同讓渡之美國專利申請案第12/315,849號中找到此情形之實例。抗蝕劑模型係僅關於抗蝕劑層之性質(例如,在曝光、PEB及顯影期間發生之化學程序之效應)。微影投影設備之光學性質(例如,源、圖案化裝置及投影光學件之性質)規定空中影像。因為可改變微影投影設備中所使用之圖案化裝置,所以需要使圖案化裝置之光學性質與至少包括源及投影光學件的微影投影設備之其餘部分之光學性質分離。
圖2中說明用於模擬微影投影設備中之微影的例示性流程圖。源模型31表示源之光學特性(包括輻射強度分佈及/或相位分佈)。投影光學件模型32表示投影光學件之光學特性(包括由投影光學件造成的輻射強度分佈及/或相位分佈之改變)。投影光學件模型32可包括由各種因素造成的像差,該等因素例如,投影光學件之組件之發熱,藉由投影光學件之組件之機械連接造成的應力。源模型31及投影光學件模型32可組合成透射交叉係數(TCC)模型。設計佈局模型33表示設計佈局之光學特性(包括由給定設計佈局造成的輻射強度分佈及/或相位分佈之改變),該設計佈局為圖案化裝置之特徵之配置的表示。空中影像36可自源模型31、投影光學件模型32及設計佈局模型33模擬。抗蝕劑影像38可使用抗蝕劑模型37自空中影像36模擬。微影之模擬可(例如)預測抗蝕劑影像中之輪廓及CD。
更具體言之,應注意,源模型31可表示源之光學特性,該等光學特性包括但不限於NA-均方偏差(s)設定,以及任何特定照明源形狀(例如,離軸輻射源,諸如環形、四極及偶極等)。投影光學件模型32可表示投影光學件之光學特性,該等光學特性包括像差、失真、折射率、實體大小、實體尺寸、吸收率等。設計佈局模型33亦可表示實體圖案化裝置之物理性質,如(例如)全文以引用方式併入之美國專利第7,587,704號中所描述。模擬之目標為準確地預測(例如)邊緣置放及CD,可接著比較該等邊緣置放及CD與預期設計。預期設計通常被定義為可以諸如GDSII或OASIS或其他檔案格式之標準化數位檔案格式提供之預OPC設計佈局。
自此設計佈局,可識別被稱作「剪輯(clip)」之一或多個部分。在一特定實施例中,提取剪輯集合,其表示設計佈局中之複雜圖案(通常為約50個至1000個剪輯,但可使用任何數目個剪輯)。熟習此項技術者應瞭解,此等圖案或剪輯表示設計之小部分(亦即,電路、格 胞或圖案),且特別地,該等剪輯表示需要特定關注及/或驗證之小部分。換言之,剪輯可為設計佈局之部分,或可相似或具有臨界特徵係藉由體驗而識別(包括由客戶提供之剪輯)、藉由試誤法而識別或藉由執行全晶片模擬而識別的設計佈局之部分的相似行為。剪輯通常含有一或多個測試圖案或量規圖案。
可由客戶基於設計佈局中需要特定影像最佳化之已知臨界特徵區域而先驗地提供剪輯之較大初始集合。替代地,在另一實施例中,可藉由使用識別臨界特徵區域之某種自動化(諸如,機器視覺)或手動演算法而自整個設計佈局提取剪輯之較大初始集合。
可(例如)在2010年10月28日申請之美國專利申請案第12/914,946號中找到最佳化方法之實例,該專利申請案之揭示內容之全文據此以引用方式併入。
圖3示意性說明涉及圖案化裝置之區域300中的劑量之位置相依調整的一個特定類型之RET。來自源之光束可遍及圖案化裝置橫跨掃描方向y以各種速度掃描,且因此在沿掃描方向y之每一位置處停留不同時間量t,由此調整每一線沿垂直於掃描方向y之一方向x接收的總劑量。沿垂直於掃描方向y之一線的所有位置內被同時曝光。曲線310為隨y而變之停留時間的例示性曲線。亦可調整沿著沿方向x之每一線的輻射強度i。曲線320為隨x而變之輻射強度i的例示性曲線。舉例而言,輻射阻斷指形件對321可沿隙縫安置。藉由調整每一對指形件之間的間隙,沿著沿方向x之每一線的輻射強度i可改變。舉例而言,指形件對在沿x之位置處具有較寬間隙以允許更多輻射通過該等間隙,由此增大彼等位置處的i;指形件對在沿x之位置處具有較窄間隙以允許較少輻射通過該等間隙,由此減小彼等位置處的i。歸因於掃描控制之精確性及指形件之大小,此調整可能或可能不具有足夠精細粒度以允許用於圖案化裝置上之每一個別特徵之劑量的調整。因此,區域 300可被劃分成區之陣列301,其中劑量在每一區中係可調整但均一的。該等區之最小大小可受微影設備之硬體限制。該等區可能無需為正方形或大小均一。舉例而言,該等區可具有自100至1000微米之線性大小。每一區可包括許多特徵。
不管區之大小,若難以判定每一區之目標劑量或個別特徵之目標劑量,則極少使用劑量之位置相依調整。術語「目標劑量」意謂形成某一優點或所要性質的劑量。目標劑量可受許多因素影響,諸如設計佈局中之特徵的某些特性。在一實例中,該等特性包括區中之CD。在另一實例中,該等特性可包括許多因素之組合,諸如CD、光罩誤差(圖案化裝置上之特徵的形狀及大小的誤差)、CD均一性(CDU)、製程窗、散焦、良率、缺陷之存在機率、隨機效應等。該等特性可為區域的(亦即,可藉由單一特徵判定),諸如CD及散焦;或非區域的(亦即,不可藉由單一特徵判定),諸如CDU、重疊製程窗及良率。
為判定目標劑量,瞭解特性與目標劑量之間的關係及特性之值將極為有利。在一實例中,該關係可為特性相對於劑量之敏感性。在數學術語中,敏感性為特性相對於劑量之偏導數。
圖4展示用於判定目標劑量之方法的流程圖。在步驟402中,獲得一設計佈局之一部分中之一或多個特徵之一特性相對於劑量的關係。獲得該關係之一種方法為藉由模擬。舉例而言,在不同劑量下的特性之值可使用諸如圖2中之方法的合適方法進行模擬,且自彼等值判定該關係。在步驟404中,獲得該特性之一值。可藉由任何合適方法獲得該值,諸如藉由使用度量衡工具或使用設計佈局之規格(例如,本說明書中之CD值)的使用者之指定。步驟402及404可同時執行、一者在另一者之後執行,或反之亦然。可自一資料庫或自一經驗模型獲得該值及該關係。在步驟406中,基於該關係及該特性之該值 而獲得目標劑量。特性之值可為該設計佈局之該部分中的該一或多個特徵之位置的函數。複數個位置處的特性之值可表示為特性之值的映射。該關係可為該設計佈局之該部分中的該一或多個特徵之位置的函數。複數個位置處的關係可表示為關係之映射。類似的,目標劑量可為該設計佈局之該部分中的該一或多個特徵之位置的函數。複數個位置處的目標劑量可表示為劑量之映射。
圖5示意性地展示自CD之值獲得目標劑量的一個實例。在此實例中,CD之值來自設計佈局之規格,即吾人所需的CD值。隨位置而變的CD之值表示於例示性等值線圖501中。隨位置而變的CD相對於劑量之敏感性表示於例示性等值線圖502中。隨位置而變的目標劑量可藉由在相同位置處用敏感性分割CD之值獲得,且表示於等值線圖503中。
圖6示意性地展示自光罩誤差之值獲得目標劑量的另一實例。隨位置而變的光罩誤差之值可自光罩檢測工具獲得。隨位置而變的光罩誤差之值表示於例示性映射601中。隨位置而變的光罩誤差相對於劑量之敏感性表示於例示性等值線圖602中。隨位置而變的光罩誤差相對於劑量之敏感性可藉由用隨位置而變的光罩誤差增強因數(MEEF)分割隨位置而變的CD相對於劑量之敏感性來獲得。隨位置而變的目標劑量可藉由於相同位置處用光罩誤差相對於劑量之敏感性分割光罩誤差之值來獲得且表示於等值線圖603中。
圖7示意性地展示如何在圖案化裝置之區域中的區大於該圖案化裝置上的特徵時獲得該等區之目標劑量的實例。即,當歸因於微影設備之限制,劑量之調整不具有足夠精細粒度以允許用於每一個別特徵之劑量之調整時。用於區之目標劑量可自該區內的特徵之目標劑量及屬性(例如,CD、寬度、間距、相對於劑量之敏感性等)獲得。在一實例中,用於區之目標劑量可藉由計算該區中之所有或一些個別特徵之 目標劑量的加權平均值來獲得。基於模擬或經驗模型,某些特徵可相比其他特徵載運較小權重,或自加權平均值中省略。在另一實例中,用於區之目標劑量可藉由計算該區中之所有或一些個別特徵相對於劑量之關係的加權平均值來獲得。
圖8展示併有上文中所揭示方法中之一些的流程。設計佈局801、微影模型802、微影製程之參數803(例如,標稱光罩偏置、標稱劑量)、微影設備之組態804可用於步驟805中以選擇一區中之特徵以供計算用於該區之目標劑量。標稱劑量806、劑量之擾動807、光罩CD之擾動808可用於步驟809中以計算敏感性(諸如CD相對於劑量之敏感性810及光罩偏置敏感性(如MEEF中所示)811),該等敏感性隨後用於步驟812中以產生映射,諸如目標劑量之映射(亦即,隨位置而變之目標劑量)及MEEF之映射。該等映射及度量衡資料814(例如,CD誤差、光罩誤差)隨後被饋入至劑量校正引擎813中,以產生有待藉由微影設備執行以實施目標劑量的配方或程式。
如參考圖7所解釋的,用於由圖案化裝置之一區域所劃分成的區之陣列中之一區的目標劑量無需自彼區中之所有特徵之特性判定。在一實施例中,用於區之目標劑量係自該區中之一組經選擇特徵之一特性判定。該等經選擇特徵可包括一或多個「錨定物特徵」。錨定物特徵為用於下游以監視微影製程的特徵。區無需包括錨定物特徵。該等經選擇特徵可包括該區中之一或多個「熱點」,但無需包括該區中之所有熱點。概念「熱點」與概念製程窗密切相關聯。用於特徵之製程窗為處理參數之空間,特徵將於該空間下在規格內產生。一區中之各種特徵可具有不同製程窗。一區中之所有特徵的製程窗可藉由合併(例如,重疊)彼區中之每一個別特徵之製程窗來獲得。所有特徵之製程窗之邊界含有個別特徵中之一些的製程窗之邊界。換言之,此等個別特徵限制該區中之所有特徵之製程窗。此等特徵可被稱作「熱 點」。當關於一區控制微影製程時,集中於該區中之熱點係可能的且係經濟的。當該區中之熱點沒有缺陷時,該區中之所有特徵最可能沒有缺陷。
圖9示意性地展示用於獲得一組經選擇特徵之流程。在一實施例中,自群組之特性判定目標劑量。在910中,識別區中之所有熱點。在920中,在區中之所有熱點中,選擇對光學參數具有最高敏感性之若干熱點(亦即,熱點之特性關於光學參數的敏感性,例如,相對於劑量之敏感性(在下文中為劑量敏感性);此後為方便起見,論述集中於劑量及劑量敏感性,但可擴展至其他光學參數),且將該等熱點包括至該組經選擇特徵中。所選擇的若干熱點可由微影製程之操作者指定。
圖10示意性地展示用於獲得一組特徵之流程。在一實施例中,自群組之特性判定目標劑量。在1010中,識別該區中之所有熱點。在1020中,該等熱點被分組成若干「類型」。不同類型之熱點在一準則下係不同的;同一類型之熱點在該準則下係類似的。舉例而言,該準則為:兩個特徵必須具有相同形狀,但可具有不同定向。舉例而言,準則為兩個特徵必須具有相同形狀及相同環境。在1030中,在每一類型內,選擇彼類型之彼等熱點內的具有最高劑量敏感性之若干熱點,且將該等熱點包括至經選擇特徵之群組中。被包括至該組經選擇特徵中的來自每一類型的若干熱點無需相同。
圖11示意性地展示用於獲得一組特徵之流程。在一實施例中,自該群組之一特性判定一目標劑量。在1110中,識別該區中之所有熱點。在1120中,將該等熱點分組成若干「類型」。在1130中,在每一類型內,識別彼類型之所有熱點內的具有最高劑量敏感性之熱點。在1140中,選擇該最高劑量敏感性在所有類型中最高的各別熱點的類型之一子集。舉例而言,該等類型可按照其各別熱點之最高劑量敏感性 以降序排序,且選擇如此排序之第一若干類型(例如,按照數目(例如,選自0-50、0-100、0-200、0-300、0-500或0-1000的最高數目)或百分比(例如,選自0-5%、0-10%、0-15%或0-20%的最高百分比))。在1150中,在每一經選擇類型內,選擇彼經選擇類型之彼等熱點內的具有最高劑量敏感性之若干熱點,且將其包括至經選擇特徵之群組中。
圖12示意性地展示用於判定用於區之目標劑量的流程。在1220中,自區中之經選擇特徵1210之一特性判定目標劑量。用於該區之目標劑量可為經選擇特徵1210之特性的目標劑量之加權平均值。經選擇特徵之特性的目標劑量為彼經選擇特徵之特性具有一目標值所在的劑量。可自設計佈局判定該特性之目標值。舉例而言,當該特性為CD時,該特性之目標值為意欲尺寸。加權平均值中的經選擇特徵1210之權重可為相同的。加權平均值中的經選擇特徵1210之權重可為不同的。舉例而言,若經選擇特徵1210包括錨定物特徵,則用於錨定物特徵之權重可高於用於所有其他經選擇特徵之權重。舉例而言,經選擇特徵1210中具有較高劑量敏感性的彼等特徵可給予較高權重。在可選步驟1230中,判定該區中之任何熱點在用於該區之目標劑量下是否有缺陷(例如,藉由執行實際曝光及量測熱點之CD)。在可選步驟1240中,若該區中無熱點有缺陷,則流程在1250結束;若該區中之熱點中的至少一者有缺陷,則流程轉至可選步驟1260,其中調整自經選擇特徵1210之特性判定用於該區之目標劑量的方式(例如,調整權重);且流程返回至1220。在可選步驟1260中,可將一或多個錨定物特徵添加至經選擇特徵,可自經選擇特徵移除一或多個錨定物特徵,可將經選擇特徵中之一或多個錨定物特徵指定為非錨定物特徵,或可將經選擇特徵中之一或多個非錨定物特徵指定為錨定物特徵。
圖13示意性地展示用於判定用於區之目標劑量的流程,其中使 用最佳化方法1320自該區中之經選擇特徵1310之特性判定用於該區之目標劑量1330。目標劑量1330可由T表示,且經選擇特徵1310中的經選擇特徵i之特性可由C i 表示。在數學上,T=f({C};{P}),其中f為{C}={C i i=1,2,...}與參數集合{P}之函數。舉例而言,該參數集合{P}可包括關於圖12所描述之權重。可藉由最佳化方法1320最佳化函數f,其中以迭代方式調整參數集合{P}之值。可藉由最小化或最大化成本函數CF(其為參數集合{P}之函數且其值受用於該區之目標劑量影響)來調整參數集合{P}之值。舉例而言,成本函數F可在用於該區之目標劑量T下受經選擇特徵1310之特性之目標值的偏差影響。舉例而言,CF i [γ i (T i -T)]2,其中γ i 為經選擇特徵i之劑量敏感性,T i 為用於經選擇特徵i之目標劑量,且γ i (T i -T)為經選擇特徵i之特性之目標值的偏差。在此實例中,CF為參數集合{P}之函數,此係因為T為參數集合{P}之函數。用於該區之目標劑量可受到諸如由微影設備之硬體之限制引起的彼等約束的約束。
關於目標劑量之各種量可表示為映射。此等量之實例可包括:一區中之經選擇特徵之目標劑量與用於該區之目標劑量之偏差的平均 值,其中△T=(T i -T);一區中之經選擇特徵之目標劑量 與用於該區之目標劑量之偏差的標準偏差;及正 規化特性之平均值及標準偏差。此等映射可呈現至微影製程之操作者。
因此,在一實施例中,圖案化裝置欄位被劃分成像素(例如,在0.1-1mm2之範圍內),且經由橫跨該欄位之模擬發現劑量敏感特徵。若關於圖案化裝置之資訊係已知的(例如,該圖案化裝置上之此等劑量敏感特徵的CD),則模擬可藉由補償(例如)圖案化裝置上的增量CD(亦即,實際CD與預期CD之間的差)來判定每一特徵之目標劑量。若 關於圖案化裝置之資訊並非已知的,則可能需要用圖案化裝置使用經曝光基板進行驗證以使最後劑量校正達到最佳。為有效地支援此基板驗證,可使用模擬產生微影設備參數以啟用多個條件之曝光(FEM或PWQ狀佈局),以測試廣泛範圍之條件,且可使用快速度量衡(例如,電子束CD度量衡)來改良回饋之循環時間。
返回至判定劑量敏感特徵,相對於標稱劑量(E0)判定有待成為目標之每一特徵的增量劑量(△Ei),該增量劑量可經內嵌反饋控制以用於錨定物(若存在)。隨後針對每一像素之所有劑量敏感特徵計算增量劑量之平均值及偏差。隨後使用諸如微影設備約束(諸如隙縫及掃描擬合等)之圖案化程序約束進一步調整平均增量劑量,以產生供曝光使用之增量劑量。接著可使用每一特徵之劑量斜率計算每一特徵之經預測CD。
此外,每一特徵之正規化CD至CD目標可被計算為NCDi。獲得每一像素之NCD的平均值及偏差,且因此可產生對應映射。使用者可應用特徵之NCD之平均值及偏差兩者的規格,以避免校正過度及校正不足。當NCD之平均值及偏差兩者均在規格內時,隨後限定對應劑量校正以供曝光。
在一實施例中,使用者可指定任何特徵為精確目標,且自標稱移位之額外劑量被應用於增量劑量計算。
本文中之描述為方便起見集中於劑量、劑量敏感性等,但可視需要擴展至其他光學參數(例如,焦點、透射率、強度、像差等)。
圖14為說明可輔助實施本文中所揭示之方法及流程之電腦系統100的方塊圖。電腦系統100包括用於傳達資訊之匯流排102或其他通信機構,及與匯流排102耦接以用於處理資訊之處理器104(或多個處理器104及105)。電腦系統100亦包括耦接至匯流排102以用於儲存待由處理器104執行之資訊及指令的主記憶體106,諸如隨機存取記憶體 (RAM)或其他動態儲存裝置。主記憶體106亦可用於在待由處理器104執行之指令之執行期間儲存暫時性變數或其他中間資訊。電腦系統100進一步包括耦接至匯流排102以用於儲存用於處理器104之靜態資訊及指令的唯讀記憶體(ROM)108或其他靜態儲存裝置。提供諸如磁碟或光碟之儲存裝置110,且儲存裝置110耦接至匯流排102以用於儲存資訊及指令。
電腦系統100可經由匯流排102耦接至用於向電腦使用者顯示資訊之顯示器112,諸如陰極射線管(CRT)或平板顯示器或觸控面板顯示器。包括文數字按鍵及其他按鍵之輸入裝置114耦接至匯流排102以用於將資訊及命令選擇傳達至處理器104。另一類型之使用者輸入裝置為用於將方向資訊及命令選擇傳達至處理器104且用於控制顯示器112上之游標移動的游標控制件116,諸如滑鼠、軌跡球或游標方向按鍵。此輸入裝置通常具有在兩個軸線(第一軸線(例如,x)及第二軸線(例如,y))上之兩個自由度,其允許該裝置指定在平面中之位置。觸控面板(螢幕)顯示器亦可用作輸入裝置。
根據一個實施例,可由電腦系統100回應於處理器104執行主記憶體106中所含有之一或多個指令之一或多個序列而執行最佳化程序之部分。可將此等指令自另一電腦可讀媒體(諸如,儲存裝置110)讀取至主記憶體106中。主記憶體106中所含有之指令序列之執行使處理器104執行本文中所描述之處理步驟。呈多處理配置之一或多個處理器亦可用以執行主記憶體106中所含有之指令序列。在替代實施例中,可代替或結合軟體指令而使用硬連線電路。因此,實施例不限於硬體電路及軟體之任何特定組合。
如本文中所使用之術語「電腦可讀媒體」指參與將指令提供至處理器104以供執行之任何媒體。此媒體可採取許多形式,包括但不限於非揮發性媒體、揮發性媒體及傳輸媒體。非揮發性媒體包括(例 如)光碟或磁碟,諸如儲存裝置110。揮發性媒體包括動態記憶體,諸如主記憶體106。傳輸媒體包括同軸纜線、銅線及光纖,包括包含匯流排102之電線。傳輸媒體亦可採取聲波或光波之形式,諸如在射頻(RF)及紅外線(IR)資料通信期間所產生之聲波或光波。電腦可讀媒體之常見形式包括例如軟碟、可撓性碟、硬碟、磁帶、任何其他磁性媒體、CD-ROM、DVD、任何其他光學媒體、打孔卡、紙帶、具有孔圖案之任何其他實體媒體、RAM、PROM及EPROM、FLASH-EPROM、任何其他記憶體晶片或卡匣、如下文所描述之載波,或可供電腦讀取之任何其他媒體。
可在將一或多個指令之一或多個序列載運至處理器104以供執行時涉及各種形式之電腦可讀媒體。舉例而言,最初可將該等指令承載於遠端電腦之磁碟上。遠端電腦可將指令載入至其動態記憶體中,且使用數據機經由電話線發送指令。在電腦系統100本端之數據機可接收電話線上之資料,且使用紅外線傳輸器以將資料轉換成紅外線信號。耦接至匯流排102之紅外線偵測器可接收紅外線信號中所載運之資料且將該資料置放於匯流排102上。匯流排102將資料載運至主記憶體106,處理器104自主記憶體擷取指令且執行指令。由主記憶體106接收之指令可視情況在供處理器104執行之前或之後儲存於儲存裝置110上。
電腦系統100亦可包括耦接至匯流排102之通信介面118。通信介面118提供至網路連結120之雙向資料通信耦合,網路連結連接至區域網路122。舉例而言,通信介面118可為整合式服務數位網路(ISDN)卡或數據機以提供至對應類型之電話線之資料通信連接。作為另一實例,通信介面118可為區域網路(LAN)卡以提供至相容LAN之資料通信連接。亦可實施無線連結。在任何此實施中,通信介面118發送及接收載運表示各種類型之資訊之數位資料串流的電氣信號、電磁信號 或光學信號。
網路連結120通常經由一或多個網路而向其他資料裝置提供資料通信。舉例而言,網路連結120可經由區域網路122而向主機電腦124或向由網際網路服務業者(ISP)126操作之資料設備提供連接。ISP 126又經由全球封包資料通信網路(現在通常被稱作「網際網路」128)而提供資料通信服務。區域網路122及網際網路128皆使用載運數位資料串流之電氣信號、電磁信號或光學信號。經由各種網路之信號及在網路連結120上且經由通信介面118之信號(該等信號將數位資料載運至及來自電腦系統100之數位資料)為輸送資訊之載波的例示性形式。
電腦系統100可經由該(該等)網路、網路連結120及通信介面118而發送訊息且接收資料(包括程式碼)。在網際網路實例中,伺服器130可能經由網際網路128、ISP 126、區域網路122及通信介面118而傳輸用於應用程式之所請求程式碼。根據一或多個實施例,一個此經下載應用程式提供(例如)實施例之照明最佳化。經接收程式碼可在其被接收時由處理器104執行,及/或儲存於儲存裝置110或其他非揮發性儲存器中以供稍後執行。以此方式,電腦系統100可獲得呈載波之形式的應用程式碼。
圖15示意性地描繪可利用本文中所描述之方法最佳化照明源的另一例示性微影投影設備1000。
微影投影設備1000包括:
-源收集器模組SO
-照明系統(照明器)IL,其經組態以調節輻射光束B(例如,EUV輻射)。
-支撐結構(例如,光罩台)MT,其經建構以支撐圖案化裝置(例如,光罩或比例光罩)MA且連接至經組態以準確地定位該圖案化裝置之第一定位器PM;
-基板台(例如,晶圓台)WT,其經建構以固持基板(例如,經抗蝕劑塗佈之晶圓)W且連接至經組態以準確地定位基板之第二定位器PW;及
-投影系統(例如,反射投影系統)PS,其經組態以將由圖案化裝置MA賦予至輻射光束B之圖案投影至基板W之目標部分C(例如,包含一或多個晶粒)上。
如此處所描繪,設備1000屬於反射類型(例如,使用反射光罩)。應注意,因為大多數材料在EUV波長範圍內具吸收性,所以光罩可具有包含(例如)鉬與矽之多堆疊的多層反射器。在一個實例中,多堆疊反射器具有鉬與矽之40個層對,其中每一層之厚度為四分之一波長。可運用X射線微影來產生更小波長。因為大多數材料在EUV及x射線波長下具吸收性,所以圖案化裝置構形(topography)上之經圖案化吸收材料之薄片(例如,多層反射器之上的TaN吸收器)界定特徵將印刷(正型抗蝕劑)或不印刷(負型抗蝕劑)之處。
參看圖15,照明器IL自源收集器模組SO接收極紫外線輻射光束。用以產生EUV輻射之方法包括(但未必限於)用在EUV範圍內之一或多種發射譜線將具有至少一個元素(例如,氙、鋰或錫)之材料轉換成電漿狀態。在一個此方法(常常被稱為雷射產生電漿「LPP」)中,可藉由運用雷射光束輻照燃料(諸如,具有譜線發射元素之材料的小滴、串流或叢集)而產生電漿。源收集器模組SO可為包括雷射(圖15中未圖示)之EUV輻射系統之部件,該雷射用於提供激發燃料之雷射光束。所得電漿發射輸出輻射,例如,EUV輻射,該輻射係使用安置於源收集器模組中之輻射收集器來收集。舉例而言,當使用CO2雷射以提供用於燃料激發之雷射光束時,雷射與源收集器模組可為分離實體。
在此等狀況下,不認為雷射形成微影設備之部件,且輻射光束 係憑藉包含(例如)合適引導鏡面及/或光束擴展器之光束遞送系統而自雷射傳遞至源收集器模組。在其他狀況下,例如,當源為放電產生電漿EUV產生器(常常被稱為DPP源)時,源可為源收集器模組之整體部件。
照明器IL可包含用於調整輻射光束之角強度分佈之調整器。通常,可調整照明器之光瞳平面中之強度分佈之至少外部徑向範圍及/或內部徑向範圍(通常分別被稱作σ外部及σ內部)。另外,照明器IL可包含各種其他組件,諸如琢面化場鏡裝置及琢面化光瞳鏡裝置。照明器可用以調節輻射光束,以在其截面中具有所要均一性及強度分佈。
輻射光束B入射於被固持於支撐結構(例如,光罩台)MT上之圖案化裝置(例如,光罩)MA上,且係由該圖案化裝置圖案化。在自圖案化裝置(例如,光罩)MA反射之後,輻射光束B穿過投影系統PS,投影系統將該輻射光束聚焦至基板W之目標部分C上。憑藉第二定位器PW及位置感測器PS2(例如,干涉量測裝置、線性編碼器或電容性感測器),可準確地移動基板台WT,例如以便使不同目標部分C定位於輻射光束B之路徑中。相似地,第一定位器PM及另一位置感測器PS1可用以相對於輻射光束B之路徑準確地定位圖案化裝置(例如,光罩)MA。可使用圖案化裝置對準標記M1、M2及基板對準標記P1、P2來對準圖案化裝置(例如,光罩)MA及基板W。
所描繪設備1000可在以下模式中之至少一者中使用:
1.在步進模式中,在將被賦予至輻射光束之整個圖案一次性投影至目標部分C上時,使支撐結構(例如,光罩台)MT及基板台WT保持基本上靜止(亦即,單次靜態曝光)。接著,使基板台WT在X及/或Y方向上移位,使得可曝光不同目標部分C。
2.在掃描模式中,在將被賦予至輻射光束之圖案投影至目標部分C上時,同步地掃描支撐結構(例如,光罩台)MT及基板台WT(亦 即,單次動態曝光)。可藉由投影系統PS之放大率(縮小率)及影像反轉特性來判定基板台WT相對於支撐結構(例如,光罩台)MT之速度及方向。
3.在另一模式中,在將被賦予至輻射光束之圖案投影至目標部分C上時,使支撐結構(例如,光罩台)MT保持基本上靜止,從而固持可程式化圖案化裝置,且移動或掃描基板台WT。在此模式中,通常使用脈衝式輻射源,且在基板台WT之每一移動之後或在掃描期間的順次輻射脈衝之間根據需要而更新可程式化圖案化裝置。此操作模式可易於應用於利用可程式化圖案化裝置(諸如,上文所提及之類型之可程式化鏡陣列)之無光罩微影。
圖16更詳細地展示設備1000,其包括源收集器模組SO、照明系統IL及投影系統PS。源收集器模組SO經建構及配置成使得可將真空環境維持於源收集器模組SO之圍封結構220中。可藉由放電產生電漿源而形成EUV輻射發射電漿210。可藉由氣體或蒸汽(例如,Xe氣體、Li蒸汽或Sn蒸汽)而產生EUV輻射,其中產生極熱電漿210以發射在電磁光譜之EUV範圍內之輻射。極熱電漿210係藉由(例如)造成至少部分離子化電漿之放電而產生。為了輻射之有效率產生,可需要(例如)10帕斯卡之分壓的Xe、Li、Sn蒸汽或任何其他合適氣體或蒸汽。在一實施例中,提供受激發錫(Sn)電漿以產生EUV輻射。
由熱電漿210發射之輻射係經由定位於源腔室211中之開口中或後方的可選氣體障壁或污染物截留器230(在一些狀況下,亦被稱作污染物障壁或箔片截留器)而自源腔室211傳遞至收集器腔室212中。污染物截留器230可包括通道結構。污染物截留器230亦可包括氣體障壁,或氣體障壁與通道結構之組合。如此項技術中已知的,本文中進一步所指示之污染物截留器或污染物障壁230至少包括通道結構。
收集器腔室211可包括可為所謂掠入射收集器之輻射收集器CO。 輻射收集器CO具有上游輻射收集器側251及下游輻射收集器側252。橫穿收集器CO之輻射可自光柵光譜濾光器240反射離開以沿著由點虛線「O」指示之光軸而聚焦於虛擬源點IF中。虛擬源點IF通常被稱作中間焦點,且源收集器模組經配置成使得中間焦點IF位於圍封結構220中之開口221處或附近。虛擬源點IF為輻射發射電漿210之影像。
隨後,輻射橫穿照明系統IL,照明系統可包括琢面化場鏡面裝置22及琢面化光瞳鏡面裝置24,該等鏡面裝置經配置以提供在圖案化裝置MA處的輻射光束21之所要角分佈,以及在圖案化裝置MA處的輻射強度之所要均一性。在由支撐結構MT固持之圖案化裝置MA處的輻射光束21之反射後,隨即形成經圖案化光束26,且由投影系統PS將經圖案化光束26經由反射元件28、30而成像至由基板台WT固持之基板W上。
比所展示元件多的元件通常可存在於照明光學件單元IL及投影系統PS中。取決於微影設備之類型,可視情況存在光柵光譜濾光器240。另外,可存在比諸圖所展示之鏡面多的鏡面,例如,在投影系統PS中可存在比圖16所展示之反射元件多的1至6個額外反射元件。
如圖16所說明之收集器光學件CO被描繪為具有掠入射反射器253、254及255之巢套式收集器,僅僅作為收集器(或收集器鏡面)之實例。掠入射反射器253、254及255經安置成圍繞光軸O軸向地對稱,且此類型之收集器光學件CO較佳結合放電產生電漿源(常常被稱為DPP源)而使用。
或者,源收集器模組SO可為如圖17所示之LPP輻射系統之部件。雷射LA經配置以將雷射能量沈積至諸如氙(Xe)、錫(Sn)或鋰(Li)之燃料中,從而產生具有數十電子伏特之電子溫度之高度離子化電漿210。在此等離子之去激發及再結合期間所產生之高能輻射係自電漿發射、由近正入射收集器光學件CO收集且聚焦至圍封結構220中之開 口221上。
本文中所揭示之概念可模擬或數學上模型化用於成像次波長特徵之任何通用成像系統,且可尤其有用於能夠產生具有愈來愈小之大小之波長的新興成像技術。已經在使用中之新興技術包括極紫外線(EUV)微影,其能夠藉由使用ArF雷射產生193nm波長且甚至能夠藉由使用氟雷射產生157nm波長。此外,EUV微影能夠藉由使用同步加速器或藉由運用高能電子來撞擊材料(固體抑或電漿)而產生在20nm至5nm之範圍內之波長,以便產生在此範圍內之光子。
雖然本文中所揭示之概念可用於在諸如矽晶圓之基板上之成像,但應理解,所揭示概念可用於任何類型之微影成像系統,例如,用於在不同於矽晶圓之基板上之成像的微影成像系統。
可使用以下條款來進一步描述本發明:
1.一種用於改良使用一微影設備將一設計佈局之一部分成像至一基板上之一微影製程的方法,該方法包含:獲得該部分中之一或多個特徵之一特性相對於劑量的一關係;獲得該特性之一值;及使用一電腦,基於該特性之該值及該關係獲得一目標劑量。
2.如條款1之方法,其中該關係係藉由模擬獲得。
3.如條款1之方法,該關係係藉由以不同劑量模擬該特性之值獲得。
4.如條款1至3中任一者之方法,其中該特性之該值係藉由使用一度量衡工具或使用該設計佈局之一規格的一使用者之指定來獲得。
5.如條款1至4中任一者之方法,其中該特性選自由臨界尺寸(CD)、光罩誤差、CD均一性(CDU)、製程窗、散焦、良率、缺陷之存在機率及隨機效應組成之群組。
6.如條款1至5中任一者之方法,其中該特性可藉由一單一特徵 判定。
7.如條款1至6中任一者之方法,其中該關係為該特性相對於該劑量之一敏感性。
8.如條款1至7中任一者之方法,其中該特性為CD且其中獲得該關係包含獲得該CD相對於該劑量之一敏感性。
9.如條款1至7中任一者之方法,其中該特性為光罩誤差且其中獲得該關係包含獲得CD相對於該劑量之一敏感性及光罩誤差增強因數(MEEF)之一值。
10.如條款1至9中任一者之方法,其中該特性之該值為該設計佈局之該部分中的該一或多個特徵之位置的一函數。
11.如條款1至10中任一者之方法,其中該關係為該設計佈局之該部分中的該一或多個特徵之位置的一函數。
12.如條款1至11中任一者之方法,其中該目標劑量為該設計佈局之該部分中的該一或多個特徵之位置的一函數。
13.如條款1至12中任一者之方法,其中複數個位置處的該特性之值表示為一映射。
14.如條款1至13中任一者之方法,其中複數個位置處的該特性相對於該劑量之關係表示為一映射。
15.如條款1至14中任一者之方法,其中複數個位置處的目標劑量表示為一映射。
16.如條款1至15中任一者之方法,其中該目標劑量為該一或多個特徵之一個別特徵之一目標劑量。
17.如條款1至16中任一者之方法,其中該目標劑量為該設計佈局之一區的一目標劑量,該區於其中具有多個特徵。
18.如條款17之方法,其中該區之該目標劑量獲自該區中之所有或一些個別特徵之目標劑量及屬性。
19.如條款18之方法,其中該目標劑量係藉由計算該區中之所有或一些個別特徵之目標劑量的一加權平均值而獲得。
20.如條款18之方法,其中該目標劑量係藉由計算該區中之所有或一些個別特徵相對於劑量之關係的一加權平均值而獲得。
21.一種方法,其包含:基於來自一圖案化裝置之一區的一特徵對一光學參數之一敏感性,將該特徵選擇至一組經選擇特徵中;及自該區中之該組經選擇特徵的一特性判定用於該區之一目標光學參數。
22.如條款21之方法,其中該組經選擇特徵包含一錨定物特徵。
23.如條款21或條款22之方法,其中該組經選擇特徵包含該區中之一熱點。
24.如條款21至23中任一者之方法,其中該組經選擇特徵並不包含該區中之所有熱點。
25.如條款21至24中任一者之方法,其進一步包含:識別該區中之所有熱點;及將該區中之所有該等熱點中的具有最高光學參數敏感性之複數個熱點包括至該組經選擇特徵中。
26.如條款21至24中任一者之方法,其進一步包含:識別該區中之所有熱點;將該等熱點分組為複數個類型;及在該等類型中之每一者內,將彼類型之彼等熱點內的具有最高光學參數敏感性之複數個熱點包括至該組經選擇特徵中。
27.如條款26之方法,其中被包括至該組經選擇特徵中的來自每一類型的若干熱點並不相同。
28.如條款21至24中任一者之方法,其進一步包含: 識別該區中之所有熱點;將該等熱點分組為複數個類型;在該等類型中之每一者內,識別彼類型之所有該等熱點內的具有一最高光學參數敏感性之一熱點;選擇具有該最高光學參數敏感性之各別熱點在該複數個類型中最高的類型之一子集;及在該等類型之每一子集內,將彼類型之彼等熱點內的具有最高光學參數敏感性之複數個熱點包括至該組經選擇特徵中。
29.如條款28之方法,選擇該類型子集包含依據該複數個類型之各別熱點的最高劑量敏感性對該複數個類型排序。
30.如條款21至29中任一者之方法,其進一步包含:判定該區中之該等熱點中的任一者在用於該區之該目標光學參數下是否有缺陷;及若該區中之該等熱點中之至少一者有缺陷,則調整自該組經選擇特徵之該特性判定用於該區之該目標光學參數的方式且重新判定用於該區之該目標光學參數。
31.如條款21至30中任一者之方法,其中該目標光學參數為該組經選擇特徵之該特性的目標光學參數之一加權平均值。
32.如條款21至29中任一者之方法,其中判定該目標光學參數包含藉由調整該組經選擇特徵之該特性之一函數的一組參數來最佳化該函數。
33.如條款32之方法,其中調整該組參數係藉由最小化或最大化一成本函數,該成本函數為該組參數之一函數且其值受用於該區之該目標光學參數影響。
34.如條款30之方法,其中調整如何判定用於該區之該目標光學參數包含:將一錨定物特徵添加至該組經選擇特徵;自該組經選擇特 徵移除一錨定物特徵;將該組經選擇特徵中之一錨定物特徵指定為一非錨定物特徵;或將該組經選擇特徵中之一非錨定物特徵指定為一錨定物特徵。
35.如條款21至34中任一者之方法,其中該光學參數包含劑量。
36.一種電腦程式產品,其包含上面記錄有指令之一電腦可讀媒體,該等指令在由一電腦執行時實施如以上條款中任一者之方法。
本發明之態樣可以任何方便形式實施。舉例而言,一實施例可由一或多個適當電腦程式實施,該一或多個適當電腦程式可載運於可為有形載體媒體(例如,磁碟)或無形載體媒體(例如,通信信號)之適當載體媒體上。可使用可特定採取可程式化電腦之形式的合適設備來實施本發明之實施例,該可程式化電腦執行經配置以實施如本文中所描述之方法之電腦程式。
以上描述意欲為說明性而非限制性的。因此,對於熟習此項技術者將顯而易見,可在不脫離下文所闡明之申請專利範圍之範疇的情況下對所描述之實施例進行修改。
501‧‧‧等值線圖
502‧‧‧等值線圖
503‧‧‧等值線圖

Claims (15)

  1. 一種用於改良使用一微影設備將一設計佈局之一部分成像至一基板上之一微影製程的方法,該方法包含:獲得該部分中之一或多個特徵之一特性相對於劑量的一關係;獲得該特性之一值;及藉由一電腦,基於該特性之該值及該關係獲得一目標劑量。
  2. 如請求項1之方法,其中該關係係藉由模擬獲得。
  3. 如請求項1之方法,其中該特性選自由臨界尺寸(CD)、光罩誤差、CD均一性(CDU)、製程窗、散焦、良率、缺陷之存在機率及隨機效應組成之群組。
  4. 如請求項1之方法,其中該關係為該特性相對於該劑量之一敏感性。
  5. 如請求項1之方法,其中該特性為CD且其中獲得該關係包含獲得該CD相對於該劑量之一敏感性,或其中該特性為光罩誤差且其中獲得該關係包含獲得CD相對於該劑量之一敏感性及光罩誤差增強因數(MEEF)之一值。
  6. 如請求項1之方法,其中該關係為該設計佈局之該部分中的該一或多個特徵之位置的一函數。
  7. 如請求項1之方法,其中複數個位置處的該特性之值表示為一映射。
  8. 如請求項1之方法,其中複數個位置處的目標劑量表示為一映射。
  9. 如請求項1之方法,其中該目標劑量為該設計佈局之一區的一目標劑量,該區於其中具有多個特徵。
  10. 如請求項9之方法,其中該區之該目標劑量獲自該區中之所有或一些個別特徵之目標劑量及屬性,及/或,其中該目標劑量係藉由計算該區中之所有或一些個別特徵之目標劑量的一加權平均值而獲得。
  11. 一種方法,其包含:基於來自一圖案化裝置之一區的一特徵對一光學參數之一敏感性,將該特徵選擇至一組經選擇特徵中;及自該區中之該組經選擇特徵的一特性判定用於該區之一目標光學參數。
  12. 如請求項11之方法,其中該組經選擇特徵包含一錨定物特徵,及/或其中該組經選擇特徵包含該區中之一熱點,及/或其中該組經選擇特徵並不包含該區中之所有熱點。
  13. 如請求項11之方法,其進一步包含:識別該區中之熱點;及將該區中之所有該等熱點中的具有最高光學參數敏感性之複數個熱點包括至該組經選擇特徵中。
  14. 如請求項11之方法,其進一步包含:判定該區中之任何熱點在用於該區之該目標光學參數下是否有缺陷;及若該區中之該等熱點中之至少一者有缺陷,則調整自該組經選擇特徵之該特性判定用於該區之該目標光學參數的方式且重新判定用於該區之該目標光學參數。
  15. 一種電腦程式產品,其包含上面記錄有指令之一電腦可讀媒體,該等指令在由一電腦執行時實施如請求項1之方法。
TW104142332A 2014-12-17 2015-12-16 用於改良微影製程的方法及電腦程式產品 TWI590006B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201462093281P 2014-12-17 2014-12-17
US201562265867P 2015-12-10 2015-12-10

Publications (2)

Publication Number Publication Date
TW201633006A true TW201633006A (zh) 2016-09-16
TWI590006B TWI590006B (zh) 2017-07-01

Family

ID=54884018

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104142332A TWI590006B (zh) 2014-12-17 2015-12-16 用於改良微影製程的方法及電腦程式產品

Country Status (3)

Country Link
US (1) US10372043B2 (zh)
TW (1) TWI590006B (zh)
WO (1) WO2016096668A1 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI692007B (zh) * 2016-12-30 2020-04-21 荷蘭商Asml荷蘭公司 微影製程和設備及檢測製程和設備
TWI749355B (zh) * 2018-08-17 2021-12-11 荷蘭商Asml荷蘭公司 用於校正圖案化程序之度量衡資料之方法及相關的電腦程式產品

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6491677B2 (ja) 2014-06-10 2019-03-27 エーエスエムエル ネザーランズ ビー.ブイ. 計算的ウェーハ検査
CN107077077B (zh) 2014-09-22 2019-03-12 Asml荷兰有限公司 过程窗口识别符
WO2016142169A1 (en) * 2015-03-06 2016-09-15 Asml Netherlands B.V. Focus-dose co-optimization based on overlapping process window
KR102124896B1 (ko) 2015-10-12 2020-06-22 에이에스엠엘 네델란즈 비.브이. 처리 파라미터의 간접 결정
WO2017080729A1 (en) * 2015-11-13 2017-05-18 Asml Netherlands B.V. Methods for identifying a process window boundary
EP3290911A1 (en) * 2016-09-02 2018-03-07 ASML Netherlands B.V. Method and system to monitor a process apparatus
KR102328439B1 (ko) 2016-10-26 2021-11-17 에이에스엠엘 네델란즈 비.브이. 리소그래피 프로세스의 최적화 방법
CN115877673A (zh) 2017-12-22 2023-03-31 Asml荷兰有限公司 基于缺陷概率的过程窗口
WO2019121491A1 (en) * 2017-12-22 2019-06-27 Asml Netherlands B.V. Patterning process improvement involving optical aberration
EP3531205A1 (en) 2018-02-22 2019-08-28 ASML Netherlands B.V. Control based on probability density function of parameter
US11087065B2 (en) * 2018-09-26 2021-08-10 Asml Netherlands B.V. Method of manufacturing devices
EP3629087A1 (en) * 2018-09-26 2020-04-01 ASML Netherlands B.V. Method of manufacturing devices
TW202020577A (zh) * 2018-09-28 2020-06-01 荷蘭商Asml荷蘭公司 基於晶圓量測判定熱點排序
US11181830B2 (en) * 2018-12-28 2021-11-23 Qoniac Gmbh Lithographic apparatus and method of controlling a lithographic apparatus
US11086230B2 (en) * 2019-02-01 2021-08-10 Asml Netherlands B.V. Method and apparatus for source mask optimization configured to increase scanner throughput for a patterning process
US10921716B1 (en) 2019-10-08 2021-02-16 International Business Machines Corporation Lithographic dose characterization
US11651135B2 (en) * 2020-07-28 2023-05-16 Synopsys, Inc. Dose optimization techniques for mask synthesis tools

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5523193A (en) 1988-05-31 1996-06-04 Texas Instruments Incorporated Method and apparatus for patterning and imaging member
EP0527166B1 (de) 1990-05-02 1995-06-14 Fraunhofer-Gesellschaft Zur Förderung Der Angewandten Forschung E.V. Belichtungsvorrichtung
US5229872A (en) 1992-01-21 1993-07-20 Hughes Aircraft Company Exposure device including an electrically aligned electronic mask for micropatterning
EP0824722B1 (en) 1996-03-06 2001-07-25 Asm Lithography B.V. Differential interferometer system and lithographic step-and-scan apparatus provided with such a system
EP0890136B9 (en) 1996-12-24 2003-12-10 ASML Netherlands B.V. Two-dimensionally balanced positioning device with two object holders, and lithographic device provided with such a positioning device
US7003758B2 (en) 2003-10-07 2006-02-21 Brion Technologies, Inc. System and method for lithography simulation
US7853920B2 (en) * 2005-06-03 2010-12-14 Asml Netherlands B.V. Method for detecting, sampling, analyzing, and correcting marginal patterns in integrated circuit manufacturing
KR100982135B1 (ko) 2005-09-09 2010-09-14 에이에스엠엘 네델란즈 비.브이. 개별 마스크 오차 모델을 사용하는 마스크 검증 방법 및시스템
NL1036189A1 (nl) 2007-12-05 2009-06-08 Brion Tech Inc Methods and System for Lithography Process Window Simulation.
JP5629691B2 (ja) 2008-11-21 2014-11-26 エーエスエムエル ネザーランズ ビー.ブイ. 高速自由形式ソース・マスク同時最適化方法
US8786824B2 (en) 2009-06-10 2014-07-22 Asml Netherlands B.V. Source-mask optimization in lithographic apparatus
NL2005522A (en) 2009-10-28 2011-05-02 Asml Netherlands Bv Pattern selection for full-chip source and mask optimization.

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI692007B (zh) * 2016-12-30 2020-04-21 荷蘭商Asml荷蘭公司 微影製程和設備及檢測製程和設備
TWI749355B (zh) * 2018-08-17 2021-12-11 荷蘭商Asml荷蘭公司 用於校正圖案化程序之度量衡資料之方法及相關的電腦程式產品
TWI824334B (zh) * 2018-08-17 2023-12-01 荷蘭商Asml荷蘭公司 非暫時性電腦可讀媒體

Also Published As

Publication number Publication date
US10372043B2 (en) 2019-08-06
US20180259858A1 (en) 2018-09-13
TWI590006B (zh) 2017-07-01
WO2016096668A1 (en) 2016-06-23

Similar Documents

Publication Publication Date Title
TWI590006B (zh) 用於改良微影製程的方法及電腦程式產品
TWI564674B (zh) 用於計算任意圖案中之隨機變異之模型
TWI467321B (zh) 用以減少機率效應之光源光罩最佳化
TWI567508B (zh) 圖案置放誤差感知之最佳化
TWI596422B (zh) 用於改良微影處理程序之電腦實施方法及相關電腦程式產品
TWI620980B (zh) 影像對數斜率(ils)最佳化
TW201539226A (zh) 用於微影程序之最佳化流程
TWI749522B (zh) 用於判定光罩之特徵校正之方法
TWI806002B (zh) 用於判定遮罩圖案及訓練機器學習模型之非暫時性電腦可讀媒體
TWI615684B (zh) 具剖面感知之源光罩最佳化
TWI614567B (zh) 用以改良圖案化程序之電腦實施方法及電腦程式產品
TW202303264A (zh) 使用目標圖案及參考層圖案以判定用於光罩之光學接近校正的機器學習模型
TWI651760B (zh) 基於位移之疊對或對準
TW201702757A (zh) 使用源輻射之角分佈之多重取樣的微影模擬
TWI725325B (zh) 缺陷預測
TW201732451A (zh) 用於製程窗特性之裝置及方法
TWI752652B (zh) 在裝置製程中的方法、非暫態電腦可讀媒體、及組態以執行該方法的系統
TWI822578B (zh) 用於基於缺陷而判定圖案化程序之特性以減少熱點的方法
TWI687781B (zh) 用於減少光阻模型預測錯誤之系統及方法
TW201945834A (zh) 用於改進抗蝕劑模型預測的系統及方法
TW202316203A (zh) 在圖案化程序中判斷圖案之方法
TW201837613A (zh) 在製程中導引程序模型及檢測之方法