TW201631617A - Sub-pulsing during a state - Google Patents

Sub-pulsing during a state Download PDF

Info

Publication number
TW201631617A
TW201631617A TW104127257A TW104127257A TW201631617A TW 201631617 A TW201631617 A TW 201631617A TW 104127257 A TW104127257 A TW 104127257A TW 104127257 A TW104127257 A TW 104127257A TW 201631617 A TW201631617 A TW 201631617A
Authority
TW
Taiwan
Prior art keywords
signal
state
generator
power
mhz
Prior art date
Application number
TW104127257A
Other languages
Chinese (zh)
Other versions
TWI673753B (en
Inventor
小約翰 C 微寇爾
哈密特 席恩
布萊佛 J 琳戴克
Original Assignee
蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US14/466,724 external-priority patent/US9390893B2/en
Application filed by 蘭姆研究公司 filed Critical 蘭姆研究公司
Publication of TW201631617A publication Critical patent/TW201631617A/en
Application granted granted Critical
Publication of TWI673753B publication Critical patent/TWI673753B/en

Links

Abstract

A method for achieving sub-pulsing during a state is described. The method includes receiving a clock signal from a clock source, the clock signal having two states and generating a pulsed signal from the clock signal. The pulsed signal has sub-states within one of the states. The sub-states alternate with respect to each other at a frequency greater than a frequency of the states. The method includes providing the pulsed signal to control power of a radio frequency (RF) signal that is generated by an RF generator. The power is controlled to be synchronous with the pulsed signal.

Description

一狀態期間中的次脈動Secondary pulsation during a state

本發明實施例係關於在射頻(RF)產生器的一狀態期間產生複數次脈動。Embodiments of the invention relate to generating a plurality of pulsations during a state of a radio frequency (RF) generator.

電漿室係用以進行各種製程,如蝕刻、沉積等。例如,當將能量供給至電漿室時,便將氣體供應至電漿室。當氣體處於電漿室時同時供給能量,便會擊發電漿。電漿係用以蝕刻基板或清理電漿室。又,使用液體或氣體流入電漿室中,可將材料沉積至基板上。The plasma chamber is used for various processes such as etching, deposition, and the like. For example, when energy is supplied to the plasma chamber, gas is supplied to the plasma chamber. When the gas is in the plasma chamber and the energy is supplied at the same time, the slurry is hit. The plasma is used to etch the substrate or to clean the plasma chamber. Also, using a liquid or gas to flow into the plasma chamber, the material can be deposited onto the substrate.

然而,控制製程是困難的。例如,基板上的材料可能會被過度蝕刻或蝕刻不足。又例如,沉積在基板上的複數膜層的厚度可能比期望厚度更厚或比期望厚度更薄。However, controlling the process is difficult. For example, the material on the substrate may be over-etched or under-etched. As another example, the thickness of the plurality of film layers deposited on the substrate may be thicker than the desired thickness or thinner than the desired thickness.

本申請案中的實施例係於此背景下所產生。The examples in this application are produced in this context.

本發明的實施例提供在一狀態期間內之次脈動用的設備、方法及電腦程式。應明白,可以各種方式如製程、設備、系統、裝置、或電腦可讀媒體上之方法實施本發明的實施例。下面說明數個實施例。Embodiments of the present invention provide apparatus, methods, and computer programs for secondary pulsations during a state. It will be appreciated that embodiments of the invention may be implemented in various ways, such as in a process, apparatus, system, apparatus, or computer readable medium. Several embodiments are described below.

在某些實施例中,揭露一種在一狀態期間達成次脈動的方法。該方法包含自一時脈源接收一時脈訊號、並自該時脈訊號產生一脈動訊號,該時脈訊號具有兩狀態。該脈動訊號在該複數狀態之一狀態內具有複數次狀態。該複數次狀態在大於該些狀態之一頻率的一頻率下相對於彼此交替。該方法包含提供該脈動訊號以控制藉由一RF產生器所產生之一射頻(RF)訊號的一功率。該功率係受到控制以與該脈動訊號同步。In certain embodiments, a method of achieving a secondary pulsation during a state is disclosed. The method includes receiving a clock signal from a clock source and generating a pulse signal from the clock signal, the clock signal having two states. The pulsation signal has a plurality of states in one of the states of the complex state. The plurality of states alternate with respect to each other at a frequency greater than one of the states. The method includes providing the pulsation signal to control a power of a radio frequency (RF) signal generated by an RF generator. The power is controlled to be synchronized with the pulsation signal.

在各種實施例中,揭露一種RF產生器。該RF產生器包含一處理器。該處理器自一時脈源接收一時脈訊號。該時脈訊號具有兩狀態。該處理器自該時脈訊號產生一脈動訊號。該脈動訊號在該複數狀態之一狀態內具有複數次狀態。該複數次狀態具有大於該些狀態之一頻率的一頻率。該處理器提供該脈動訊號以控制一RF訊號的一功率。該功率受到控制以與該脈動訊號同步。該RF產生器包含耦合至該處理器之一RF電源。該RF電源產生具有該功率的該RF訊號,以藉由一阻抗匹配電路將該RF訊號提供至一電漿室。In various embodiments, an RF generator is disclosed. The RF generator includes a processor. The processor receives a clock signal from a clock source. The clock signal has two states. The processor generates a pulse signal from the clock signal. The pulsation signal has a plurality of states in one of the states of the complex state. The plurality of states have a frequency greater than one of the states. The processor provides the pulse signal to control a power of an RF signal. This power is controlled to synchronize with the pulsation signal. The RF generator includes an RF power source coupled to the processor. The RF power source generates the RF signal having the power to provide the RF signal to a plasma chamber by an impedance matching circuit.

在各種實施例中,揭露一種電漿系統。該電漿系統包含一處理器,該處理器自一時脈源接收一時脈訊號。該時脈訊號具有兩狀態。該處理器自該時脈訊號產生一脈動訊號。該脈動訊號在該複數狀態之一狀態內具有複數次狀態且該複數次狀態具有大於該些狀態之一頻率的一頻率。該處理器提供一脈動訊號以控制一射頻(RF)訊號的一功率。該功率受到控制以與該脈動訊號同步。該電漿系統更包含用以產生具有該功率之該RF訊號的一RF電源。該電漿系統亦包含耦合至該RF電源之一RF纜線。該電漿系統包含耦合至該RF電源的一阻抗匹配電路,該阻抗匹配電路係用以藉由該RF纜線接收該RF訊號。該阻抗匹配電路匹配耦合至該阻抗匹配電路之一負載的一阻抗與耦合至該阻抗匹配電路之一源的一阻抗,以自該RF訊號產生一經修改的RF訊號。該電漿系統包含耦合至該阻抗匹配電路的一電漿室,該電漿室係用以接收該經修改的RF訊號以改變一電漿的一阻抗。In various embodiments, a plasma system is disclosed. The plasma system includes a processor that receives a clock signal from a clock source. The clock signal has two states. The processor generates a pulse signal from the clock signal. The pulsation signal has a plurality of states in one of the states of the complex state and the plurality of states have a frequency greater than a frequency of the states. The processor provides a pulse signal to control a power of a radio frequency (RF) signal. This power is controlled to synchronize with the pulsation signal. The plasma system further includes an RF power source for generating the RF signal having the power. The plasma system also includes an RF cable coupled to one of the RF power sources. The plasma system includes an impedance matching circuit coupled to the RF power source for receiving the RF signal by the RF cable. The impedance matching circuit matches an impedance coupled to a load of one of the impedance matching circuits and an impedance coupled to a source of the impedance matching circuit to generate a modified RF signal from the RF signal. The plasma system includes a plasma chamber coupled to the impedance matching circuit for receiving the modified RF signal to change an impedance of a plasma.

上述實施例的某些優點方法在一狀態使用次脈動以在該狀態內產生複數次狀態。當該次脈動係受到低頻RF產生器(如2 MHz RF產生器等)所用時,能粗略控制晶圓處理,晶圓例如是基板、其上沉積有一或多種材料之一或多層膜層的基板等。例如,相較於未被次脈動的RF訊號,當低頻RF產生器所產生之RF訊號在一狀態內被次脈動時,可更進一步粗略控制基板上的材料蝕刻或沉積。又,當次脈動係受到高頻RF產生器如60 MHz RF產生器等所用時,能精細控制晶圓處理。例如,例如,相較於未被次脈動的RF訊號,當高頻RF產生器所產生之RF訊號在一狀態內被次脈動時,可更進一步細緻地控制基板上的材料蝕刻或沉積。應注意,在某些實施例中,細緻控制是為達到落在與粗略控制相關之速率範圍內的速率範圍。Some of the advantages of the above embodiments use a secondary pulsation in a state to produce a plurality of states within the state. When the pulsation system is used by a low frequency RF generator (such as a 2 MHz RF generator, etc.), the wafer processing can be roughly controlled, such as a substrate, a substrate on which one or more materials or one or more layers are deposited. Wait. For example, when the RF signal generated by the low frequency RF generator is pulsed in a state compared to the RF signal that is not pulsed, the material etching or deposition on the substrate can be further roughly controlled. Also, when the secondary pulsation system is used by a high frequency RF generator such as a 60 MHz RF generator, the wafer processing can be finely controlled. For example, when the RF signal generated by the high frequency RF generator is pulsed in a state, the material etching or deposition on the substrate can be further finely controlled, for example, compared to the RF signal that is not pulsed. It should be noted that in some embodiments, the meticulous control is to achieve a range of rates that fall within a range of rates associated with coarse control.

由隨附之圖示及下列的詳細說明當可明白本發明的其他態樣。Other aspects of the invention will be apparent from the accompanying drawings and appended claims.

下面的實施例說明一狀態內之次脈動用的系統與方法。當明白,在缺乏某些或全部此些特定細節的情況下仍可施行本發明實施例。在其他情況下,不詳細說明習知的製程操作以免不必要地模糊本發明實施例。The following embodiments illustrate systems and methods for secondary pulsations in a state. It will be appreciated that embodiments of the invention may be practiced without some or all of the specific details. In other instances, well-known process operations are not described in detail to avoid unnecessarily obscuring embodiments of the invention.

圖1顯示射頻(RF)產生器100的一實施例,用以例示一狀態內的次脈動。RF產生器100接收時脈訊號(如電晶體-電晶體邏輯(TTL)訊號等)或產生時脈訊號。例如,RF產生器100自時脈源接收時脈訊號或包含能產生時脈訊號的時脈源。時脈源的實例包含振盪器(如石英振盪器等)或與鎖相迴路耦合的振盪器。時脈訊號具有狀態Sm,其中m為1或0。例如,時脈訊號具有高狀態與低狀態,低狀態係低於高狀態。又例如,時脈訊號具有邏輯位準1與邏輯位準0。1 shows an embodiment of a radio frequency (RF) generator 100 for illustrating a secondary pulsation within a state. The RF generator 100 receives a clock signal (such as a transistor-transistor logic (TTL) signal, etc.) or generates a clock signal. For example, the RF generator 100 receives a clock signal from a clock source or includes a clock source capable of generating a clock signal. Examples of clock sources include oscillators (such as quartz oscillators, etc.) or oscillators coupled to a phase-locked loop. The clock signal has a state Sm, where m is 1 or 0. For example, the clock signal has a high state and a low state, and the low state is lower than the high state. For another example, the clock signal has a logic level 1 and a logic level 0.

RF產生器100自具有狀態Sm的時脈訊號產生脈動訊號。例如,RF產生器100產生脈動訊號,脈動訊號自狀態Sm轉換至狀態 Sna然後更進一步地轉換至狀態 Snb,其中n為0或1。RF產生器100所產生的脈動訊號的頻率係高於具有狀態Sm的時脈訊號的頻率。例如,脈動訊號在狀態S1或S0期間所具有的頻率係高於時脈訊號在狀態S1與狀態S0期間所具有的頻率。又例如,脈動訊號在狀態S1與S0期間所具有的頻率係高於時脈訊號在狀態S1與狀態S0期間所具有的頻率。The RF generator 100 generates a pulsation signal from a clock signal having a state Sm. For example, RF generator 100 generates a pulsation signal that is switched from state Sm to state Sna and then further to state Snb, where n is 0 or 1. The frequency of the pulsation signal generated by the RF generator 100 is higher than the frequency of the clock signal having the state Sm. For example, the frequency signal of the pulsation signal during the state S1 or S0 is higher than the frequency that the clock signal has during the state S1 and the state S0. For another example, the frequency signal of the pulsation signal during the states S1 and S0 is higher than the frequency that the clock signal has during the state S1 and the state S0.

在某些實施例中,狀態S1所具有的功率位準係高於狀態S0的功率位準。例如,具有狀態S1之RF訊號的功率位準為2000瓦,狀態S0期間RF訊號的功率位準為0瓦。又例如,具有狀態S1之RF訊號的功率位準係高於0瓦,狀態S0期間RF訊號的功率位準為0瓦。又更例如,狀態S0期間RF訊號的功率位準係高於0瓦,狀態S1期間RF訊號的功率位準係高於狀態S0期間RF訊號的功率位準。In some embodiments, state S1 has a power level that is higher than the power level of state S0. For example, the power level of the RF signal with state S1 is 2000 watts, and the power level of the RF signal during state S0 is 0 watts. For another example, the power level of the RF signal having state S1 is higher than 0 watts, and the power level of the RF signal during state S0 is 0 watt. For example, the power level of the RF signal during the state S0 is higher than 0 watts, and the power level of the RF signal during the state S1 is higher than the power level of the RF signal during the state S0.

狀態Sna與Snb係嵌於時脈訊號的狀態S1或狀態S0內。例如,狀態Sna與Snb佔據複數狀態Sm中的一者。又例如,狀態S1a與S1b佔據狀態S1但不佔據狀態S0。又更例如,狀態S0a與S0b佔據狀態S0但不佔據狀態S1。The states Sna and Snb are embedded in the state S1 or the state S0 of the clock signal. For example, states Sna and Snb occupy one of the complex states Sm. For another example, states S1a and S1b occupy state S1 but do not occupy state S0. Still more, for example, states S0a and S0b occupy state S0 but do not occupy state S1.

如圖102所例示,具有狀態Sna與Snb的脈動訊號自狀態Sna轉換至狀態Snb,然後更進一步地自狀態Snb轉換至狀態Sna,接著自狀態Sna轉換至狀態Snb,然後轉換至狀態Sm。As illustrated in FIG. 102, the pulsation signal having the states Sna and Snb transitions from the state Sna to the state Snb, and then further transitions from the state Snb to the state Sna, then from the state Sna to the state Snb, and then to the state Sm.

圖2A為圖200的一實施例,圖200係用以例示x百萬赫茲(MHz)RF產生器之一狀態內的次脈動,其中x為2。在某些實施例中x係落在預定範圍2內。例如,x係落在2個MHz內。又例如,x為2.5。又更例如,x為1.5。2A is an embodiment of FIG. 200, and is used to illustrate a secondary pulsation in one of the states of an x-megahertz (MHz) RF generator, where x is two. In some embodiments the x system falls within the predetermined range 2. For example, x is falling within 2 MHz. As another example, x is 2.5. Still more, for example, x is 1.5.

在各種實施例中,x為27。在各種實施例中,x係落在預定範圍27內。例如,x係落在27的2 MHz內。又例如,x為25.5。又更例如,x為29。又更例如,x係落在27的5 MHz內。In various embodiments, x is 27. In various embodiments, the x system falls within a predetermined range 27. For example, x is falling within 2 MHz of 27. As another example, x is 25.5. Still more, for example, x is 29. Still more, for example, the x system falls within 5 MHz of 27.

圖200繪示脈動訊號202等之邏輯位準對時間的關係,時間的單位為秒。脈動訊號202係由如TTL1訊號等的時脈訊號204所產生。例如,脈動訊號202係藉著以如TTL2訊號等之調變訊號來調變時脈訊號204而自時脈訊號204產生。又例如,當時脈訊號204的振幅(如功率位準)乘以和脈動訊號202之振幅相同的一訊號振幅時,產生脈動訊號202。脈動訊號202為數位脈動訊號TTL3的一實例。The graph 200 shows the relationship between the logic level of the pulse signal 202 and the like, and the time unit is seconds. The pulsation signal 202 is generated by a clock signal 204 such as a TTL1 signal. For example, the pulsation signal 202 is generated from the clock signal 204 by modulating the clock signal 204 with a modulation signal such as a TTL2 signal. For another example, when the amplitude (such as the power level) of the pulse signal 204 is multiplied by the same signal amplitude as the amplitude of the pulsation signal 202, the pulsation signal 202 is generated. The pulsation signal 202 is an example of the digital pulsation signal TTL3.

在時脈訊號 204的狀態S0期間,脈動訊號202具有一邏輯位準,如邏輯位準0、邏輯位準0.5、邏輯位準0.2等。在時脈訊號 204的狀態S1期間,脈動訊號202具有複數邏輯位準,如邏輯位準1與邏輯位準0、邏輯位準0.5與邏輯位準1、邏輯位準0.9與邏輯位準0等。在時脈訊號 204的狀態S1期間,脈動訊號202在狀態S1a與S1b之間轉換,例如交替。在脈動訊號202之狀態S1a與S1b之間的轉換頻率係高於在時脈訊號 204之狀態S1與S0之間的轉換頻率。例如,在狀態S1b與S1a之間的轉換頻率為在狀態S0與S1之間的轉換頻率的四倍。又例如,在狀態S1b與S1a之間的轉換頻率為在狀態S0與S1之間的轉換頻率的五倍。又更例如,在狀態S1b與S1a之間的轉換頻率為在狀態S0與S1之間的轉換頻率的兩倍至100倍之間。During the state S0 of the clock signal 204, the pulsation signal 202 has a logic level such as a logic level 0, a logic level 0.5, a logic level 0.2, and the like. During the state S1 of the clock signal 204, the pulsation signal 202 has a complex logic level, such as logic level 1 and logic level 0, logic level 0.5 and logic level 1, logic level 0.9, logic level 0, etc. . During state S1 of clock signal 204, pulsation signal 202 transitions between states S1a and S1b, for example, alternate. The switching frequency between states S1a and S1b of the pulsation signal 202 is higher than the switching frequency between states S1 and S0 of the clock signal 204. For example, the switching frequency between states S1b and S1a is four times the switching frequency between states S0 and S1. For another example, the switching frequency between states S1b and S1a is five times the switching frequency between states S0 and S1. Still more, for example, the switching frequency between states S1b and S1a is between two and 100 times the switching frequency between states S0 and S1.

應注意,在各種實施例中,在狀態S1a與S1b之間的訊號202的脈動會促進化學僵局(如氣體進入的時間等)發生於電漿室內、或使電漿室內達到一壓力、或達到使電漿室內達到一溫度、或使電漿室之下電極與上電極之間達到一間隙。又,在某些實施例中,進行在狀態S1a 與S1b之間之訊號202的脈動以控制基板的蝕刻或基板上的膜層沉積。在數個實施例中,在狀態S1a與S1b之間之訊號202的脈動能降低會對晶圓之沉積膜層、矽、線路等或其上具有積體電路之基板的特徵部(如電路元件)造成損害之能量的產生機會。又,在某些實施例中,狀態S1a能促進電漿室內產生離子之能量的產生,而狀態S1b能促進電漿室內離子的移動以增進如蝕刻、清理、降低沉積率(和狀態S0期間相比)等製程。It should be noted that in various embodiments, the pulsation of the signal 202 between the states S1a and S1b may promote chemical deadlock (such as the time of gas entry, etc.) to occur in the plasma chamber, or to achieve a pressure within the plasma chamber, or to reach The plasma chamber is brought to a temperature or a gap is formed between the electrode below the plasma chamber and the upper electrode. Again, in some embodiments, pulsing of the signal 202 between states S1a and S1b is performed to control etching of the substrate or film deposition on the substrate. In several embodiments, the pulsation energy of the signal 202 between the states S1a and S1b is reduced to the features of the deposited film layer, germanium, wiring, etc. of the wafer or the substrate having the integrated circuit thereon (eg, circuit components) The opportunity to create energy that causes damage. Also, in some embodiments, state S1a can promote the generation of ions in the plasma chamber, while state S1b can promote the movement of ions within the plasma chamber to enhance, for example, etching, cleaning, and reducing deposition rates (and phase S0). Than) and other processes.

應注意,x MHz RF產生器在時脈訊號 204的狀態S0期間所產生之功率量係少於在脈動訊號 204之狀態S1a與S1b期間所產生之功率量。較少的功率量會導致電漿之離子之離子能量少於狀態S1a與S1b 期間所產生之離子能量及/或離子密度少於狀態S1a與S1b期間所產生之離子密度。It should be noted that the amount of power generated by the x MHz RF generator during state S0 of clock signal 204 is less than the amount of power generated during states S1a and S1b of pulse signal 204. A smaller amount of power causes the ion energy of the plasma ions to be less than the ion energy and/or ion density generated during the states S1a and S1b less than the ion density generated during the states S1a and S1b.

圖2B為圖210之一實施例,圖210係用以例示x MHz RF產生器與y MHz RF產生器之使用。y的實例包含27與60。在某些實施例中,y係落在預定範圍27之內。例如,y係介於25與29 MHz之間。又例如,y係介於57與63 MHz之間。又更例如,y係介於24與30 MHz之間。又例如,y係介於55 與65 MHz之間。2B is an embodiment of FIG. 210 illustrating the use of an x MHz RF generator and a y MHz RF generator. Examples of y include 27 and 60. In certain embodiments, the y system falls within a predetermined range 27. For example, the y system is between 25 and 29 MHz. As another example, the y system is between 57 and 63 MHz. Still more, for example, the y system is between 24 and 30 MHz. As another example, the y is between 55 and 65 MHz.

在某些實施例中,當x為2時、y為27。在各種實施例中,當x為27時、y為60。在數個實施例中,當x為2時、y為60。In certain embodiments, when x is 2, y is 27. In various embodiments, when x is 27, y is 60. In several embodiments, when x is 2, y is 60.

圖210繪示 RF產生器所產生之RF訊號的經輸送的功率與時間之間的關係。應注意,經輸送的功率為前饋功率與反射功率之間的差。在某些實施例中,前饋功率為RF產生器所產生並供給至電漿室的功率,反射功率為自電漿室朝向RF產生器反射的功率。Figure 210 illustrates the relationship between the delivered power of the RF signal generated by the RF generator and time. It should be noted that the delivered power is the difference between the feed forward power and the reflected power. In some embodiments, the feed forward power is the power generated by the RF generator and supplied to the plasma chamber, the reflected power being the power reflected from the plasma chamber toward the RF generator.

圖210包含RF訊號212,其係類似於脈動訊號202(圖2A)。例如,RF訊號212具有狀態S0、S1a與S1b且以脈動訊號202在此些狀態之間轉換的方式在狀態S0、S1a與S1b之間轉換。RF訊號212所具有的頻率係和脈動訊號202的頻率與TTL3訊號的頻率相同。RF訊號212係自經輸送的功率所產生,經輸送的功率係基於x MHz RF產生器所供給之RF訊號及朝向x MHz RF產生器反射之RF訊號所產生。The map 210 includes an RF signal 212 that is similar to the pulsation signal 202 (Fig. 2A). For example, RF signal 212 has states S0, S1a, and S1b and transitions between states S0, S1a, and S1b in a manner that pulsation signal 202 transitions between such states. The frequency of the RF signal 212 and the frequency of the pulsation signal 202 are the same as the frequency of the TTL3 signal. The RF signal 212 is generated from the delivered power, and the transmitted power is generated based on the RF signal supplied by the x MHz RF generator and the RF signal reflected toward the x MHz RF generator.

在RF訊號212的狀態S0期間,y MHz產生器供給一RF訊號。當y MHz RF產生器供給RF訊號時,功率自電漿室朝向y MHz RF產生器反射以更進一步地產生經輸送的功率 RF訊號 214。RF訊號214具有狀態S0且所具有之頻率係與TTL1訊號的頻率相同。又,在RF訊號212的狀態S1a與S1b期間,RF訊號214具有狀態S1。RF訊號212在狀態S1與S0之間轉換。例如,當RF訊號212在狀態S0、S1a與S1b之間轉換時, RF訊號214在狀態S1與S0之間轉換。During state S0 of RF signal 212, the y MHz generator supplies an RF signal. When the y MHz RF generator supplies the RF signal, power is reflected from the plasma chamber toward the y MHz RF generator to further produce the delivered power RF signal 214. The RF signal 214 has a state S0 and has a frequency that is the same as the frequency of the TTL1 signal. Also, during states S1a and S1b of RF signal 212, RF signal 214 has state S1. The RF signal 212 is switched between states S1 and S0. For example, when RF signal 212 transitions between states S0, S1a, and S1b, RF signal 214 transitions between states S1 and S0.

圖2C為圖220之一實施例,圖220係用以例示在狀態S1b期間具有非零邏輯位準的脈動訊號222。除了脈動訊號222在狀態S1b期間具有非零邏輯位準外,脈動訊號222係類似於脈動訊號202(圖2B)。例如,除了脈動訊號222從狀態S1a掉落至狀態S1b(狀態S1b的位準係高於脈動訊號204之狀態S0的位準)外,脈動訊號222係以類似於脈動訊號202的方式產生。接著,脈動訊號222自狀態S1b的位準掉落至狀態S0的位準以自狀態S1b轉換至狀態S0。脈動訊號222具有之頻率係與數位脈動訊號TTL3之頻率相同。2C is an embodiment of FIG. 220, and FIG. 220 is used to illustrate a pulse signal 222 having a non-zero logic level during state S1b. The pulsation signal 222 is similar to the pulsation signal 202 (FIG. 2B) except that the pulsation signal 222 has a non-zero logic level during state S1b. For example, the pulsation signal 222 is generated in a manner similar to the pulsation signal 202 except that the pulsation signal 222 drops from the state S1a to the state S1b (the level of the state S1b is higher than the state of the sway signal 204 state S0). Then, the pulsation signal 222 is dropped from the level of the state S1b to the level of the state S0 to transition from the state S1b to the state S0. The pulsation signal 222 has a frequency that is the same as the frequency of the digital pulsation signal TTL3.

圖2D為圖230之一實施例,圖230係用以例示與y MHz RF產生器所產生的脈動訊號214協同使用之在狀態S1b期間具有非零邏輯位準的脈動訊號232。除了脈動訊號232在狀態S1b期間具有非零邏輯位準外,脈動訊號232係類似於脈動訊號212(圖2B)。例如,除了脈動訊號232從狀態S1a轉換至高於脈動訊號214之狀態S0之經輸送的功率位準的一位準外,脈動訊號232係以類似於脈動訊號212的方式產生。較高位準係於狀態S1b期間達到。在狀態S1b期間達到較高位準後,脈動訊號232在狀態S0期間轉換至脈動訊號214的位準。脈動訊號 232所具有之頻率係與數位脈動訊號TTL3的頻率相同。2D is an embodiment of FIG. 230, and is used to illustrate a pulse signal 232 having a non-zero logic level during state S1b in conjunction with the pulse signal 214 generated by the y MHz RF generator. The pulsation signal 232 is similar to the pulsation signal 212 (FIG. 2B) except that the pulsation signal 232 has a non-zero logic level during state S1b. For example, the pulsation signal 232 is generated in a manner similar to the pulsation signal 212, except that the pulsation signal 232 transitions from the state S1a to a higher level than the transmitted power level of the state S0 of the pulsation signal 214. The higher level is reached during state S1b. After reaching a higher level during state S1b, the pulsation signal 232 transitions to the level of the pulsation signal 214 during state S0. The pulsation signal 232 has the same frequency as the digital pulsation signal TTL3.

應注意,雖然將基於y MHz RF產生器所供給之RF訊號所產生的脈動訊號214顯示為具有約100瓦的高量經輸送的功率位準及約10瓦的低量經輸送的功率位準,但在某些實施例中,脈動訊號214在在狀態S1期間具有介於60瓦至160瓦的高功率位準,並在狀態S0期間具有介於1瓦至55瓦的低功率位準。在各種實施例中,在狀態S1a期間基於x MHz RF產生器所供給之RF訊號所產生之脈動經輸送的功率訊號的最高功率位準係高於在狀態S1期間基於y MHz RF產生器所供給之RF訊號所產生之脈動經輸送的功率訊號的最高功率位準。在某些實施例中,在狀態S0期間基於x MHz RF產生器所供給之RF訊號所產生之經輸送的功率脈動訊號的最低功率位準係低於在狀態S0期間基於y MHz RF產生器所供給之RF訊號所產生之經輸送的功率脈動訊號的最低功率位準。It should be noted that although the pulsation signal 214 generated based on the RF signal supplied by the y MHz RF generator is shown to have a high amount of delivered power level of about 100 watts and a low amount of delivered power level of about 10 watts. However, in some embodiments, the pulsation signal 214 has a high power level between 60 watts and 160 watts during state S1 and a low power level between 1 watt and 55 watts during state S0. In various embodiments, the highest power level of the pulsating transmitted power signal generated by the RF signal supplied by the x MHz RF generator during state S1a is higher than that provided by the y MHz RF generator during state S1. The pulsation generated by the RF signal is the highest power level of the transmitted power signal. In some embodiments, the lowest power level of the transmitted power pulsation signal generated based on the RF signal supplied by the x MHz RF generator during state S0 is lower than the y MHz based RF generator during state S0. The lowest power level of the delivered power pulsation signal generated by the supplied RF signal.

在各種實施例中,狀態S0佔據的時間期間係與狀態S1a與S1b兩者佔據的時間期間相同。例如,狀態S0佔據時脈訊號TTL1的半個時脈週期,狀態S1a與S1b佔據剩下的半個時脈週期。在數個實施例中,狀態S0佔據的時間期間係小於或大於時脈訊號TTL1之半個時脈週期,狀態S1a與S1b佔據時脈週期的剩餘期間。In various embodiments, the period of time occupied by state S0 is the same as the period of time occupied by both states S1a and S1b. For example, state S0 occupies half a clock cycle of clock signal TTL1, and states S1a and S1b occupy the remaining half of the clock cycle. In several embodiments, the period of time occupied by state S0 is less than or greater than half of the clock period of clock signal TTL1, and states S1a and S1b occupy the remainder of the clock period.

圖2E顯示圖240的一實施例,圖240係用以例示在狀態S1期間非50%工作週期的一相異工作週期。圖240繪示2 MHz RF產生器所輸送之功率對時間t的關係。經輸送的功率係顯示為脈動訊號242。應注意,訊號242在狀態S1期間的工作週期係大於50%,且狀態S1期間所佔據的時間係等於狀態S0期間所佔據的時間。例如,訊號242在狀態S1a期間所佔據的時間係多於在狀態S1b期間所佔據的時間。在某些實施例中,訊號242在狀態S1期間的工作週期係小於50%。例如,經輸送之RF訊號在狀態S1a期間所佔據的時間係少於在狀態S1b期間所佔據的時間。2E shows an embodiment of FIG. 240, which is used to illustrate a different duty cycle for a non-50% duty cycle during state S1. Figure 240 illustrates the power delivered by a 2 MHz RF generator versus time t. The delivered power is shown as a pulsation signal 242. It should be noted that the duty cycle of signal 242 during state S1 is greater than 50%, and the time occupied during state S1 is equal to the time occupied during state S0. For example, signal 242 occupies more time during state S1a than during state S1b. In some embodiments, the duty cycle of signal 242 during state S1 is less than 50%. For example, the transmitted RF signal occupies less time during state S1a than during state S1b.

更應注意,每一訊號 202、212、222與232 (圖2A 至2D)在狀態S1期間的工作週期為50%。It should be noted that each of the signals 202, 212, 222, and 232 (Figs. 2A through 2D) has a duty cycle of 50% during the state S1.

在數個實施例中,x MHz RF產生器所輸送之功率的狀態S1佔據時間係少於x MHz RF產生器所輸送之功率的狀態S0佔據時間。在此些實施例中,經輸送的功率在狀態S1期間的工作週期為50%。In several embodiments, the state S1 of the power delivered by the x MHz RF generator occupies a state in which the time is less than the power delivered by the x MHz RF generator. In such embodiments, the delivered power has a duty cycle of 50% during state S1.

在各種實施例中,x MHz RF產生器所輸送之功率的狀態S1佔據時間係少於或多於x MHz RF產生器所輸送之功率的狀態S0佔據時間。在此些實施例中,經輸送的功率在狀態S1期間的工作週期係大於或小於50%。In various embodiments, state S1 of the power delivered by the x MHz RF generator occupies a state in which the time is less than or more than the power delivered by the x MHz RF generator. In such embodiments, the duty cycle of the delivered power during state S1 is greater than or less than 50%.

在某些實施例中,TTL訊號所具有之頻率係等於脈動訊號 242在狀態S1期間所具有的頻率。TTL訊號係由產生TTL3訊號的裝置所產生。例如,下面將說明的x MHz RF產生器的數位訊號處理器(DSPx)自TTL1訊號及調變訊號產生TTL訊號。調變訊號對TTL1訊號進行調變以產生TTL訊號。In some embodiments, the TTL signal has a frequency equal to the frequency that the pulsation signal 242 has during state S1. The TTL signal is generated by a device that generates a TTL3 signal. For example, the digital signal processor (DSPx) of the x MHz RF generator described below generates a TTL signal from the TTL1 signal and the modulated signal. The modulation signal modulates the TTL1 signal to generate a TTL signal.

圖3A顯示系統300的一實施例,系統300係用以控制TTL1訊號的狀態S1期間的離子能量。系統300包含x MHz RF產生器與y MHz RF產生器。系統300更包含阻抗匹配電路302、電漿室304及工具使用者界面(UI)系統306。工具UI系統306的實例包含桌上型電腦、伺服器、虛擬機器、筆記型電腦、平板電腦、手機、智慧手機等。在各種實施例中,工具UI系統306包含處理器及記憶體裝置,下面將提供其實例。在某些實施例中,工具UI系統306係藉由如廣域網路(WAN)、區域網路(LAN)、網際網路、內部網路等的電腦網路耦合至x與y MHz RF產生器。3A shows an embodiment of a system 300 for controlling ion energy during a state S1 of a TTL1 signal. System 300 includes an x MHz RF generator and a y MHz RF generator. System 300 further includes an impedance matching circuit 302, a plasma chamber 304, and a tool user interface (UI) system 306. Examples of the tool UI system 306 include a desktop computer, a server, a virtual machine, a notebook computer, a tablet computer, a mobile phone, a smart phone, and the like. In various embodiments, the tool UI system 306 includes a processor and a memory device, examples of which are provided below. In some embodiments, the tool UI system 306 is coupled to the x and y MHz RF generators by a computer network such as a wide area network (WAN), a local area network (LAN), the Internet, an internal network, and the like.

阻抗匹配電路302係藉由RF纜線308耦合至x MHz RF產生器的輸出。類似地,阻抗匹配電路302係藉由RF纜線310耦合至y MHz RF產生器的輸出 。阻抗匹配網路302匹配耦合至阻抗匹配網路302一側之電漿系統 304之一負載的阻抗與耦合至阻抗匹配網路302另一側之一源的阻抗。例如,阻抗匹配網路302匹配RF傳輸線312與電漿系統304的阻抗與x MHz RF產生器、y MHz RF產生器、RF纜線308、及RF纜線310的阻抗。Impedance matching circuit 302 is coupled to the output of the x MHz RF generator by RF cable 308. Similarly, impedance matching circuit 302 is coupled to the output of the y MHz RF generator by RF cable 310. The impedance matching network 302 matches the impedance of one of the plasma systems 304 coupled to one side of the impedance matching network 302 to the impedance coupled to one of the sources of the other side of the impedance matching network 302. For example, impedance matching network 302 matches the impedance of RF transmission line 312 and plasma system 304 with the impedance of the x MHz RF generator, y MHz RF generator, RF cable 308, and RF cable 310.

電漿室304係藉由RF傳輸線312耦合至阻抗匹配電路302。電漿室304包含夾頭314、上電極316及其他部件(未顯示),如圍繞上電極316的上介電環、圍繞上介電環的上電極延伸件、圍繞夾頭314之下電極的下介電環、圍繞下介電環的下電極延伸件、上電漿排除區(PEZ)環、下PEZ環等。上電極316係面對夾頭314並與其相望。晶圓318(如虛置基板、半導體晶圓等)在夾頭314的上表面320上受到其支撐。在製造期間於半導體晶圓上進行各種製程,如化學氣相沉積、清理、沉積、濺射、蝕刻、離子植入、光阻剝除等。在半導體晶圓上建構積體電路,如特殊應用積體電路(ASIC)、可程式化邏輯裝置(PLD)等,且積體電路係用於各種電子裝置,如手機、平板、智慧型手機、電腦、筆記型電腦、網路設備等中。The plasma chamber 304 is coupled to the impedance matching circuit 302 by an RF transmission line 312. The plasma chamber 304 includes a collet 314, an upper electrode 316, and other components (not shown), such as an upper dielectric ring surrounding the upper electrode 316, an upper electrode extension surrounding the upper dielectric ring, and an electrode surrounding the lower electrode of the collet 314. The lower dielectric ring, the lower electrode extension surrounding the lower dielectric ring, the upper plasma exclusion zone (PEZ) ring, the lower PEZ ring, and the like. The upper electrode 316 faces the collet 314 and faces it. Wafer 318 (eg, dummy substrate, semiconductor wafer, etc.) is supported on upper surface 320 of chuck 314. Various processes are performed on the semiconductor wafer during fabrication, such as chemical vapor deposition, cleaning, deposition, sputtering, etching, ion implantation, photoresist stripping, and the like. Integral circuits are built on semiconductor wafers, such as special application integrated circuits (ASICs), programmable logic devices (PLDs), etc., and integrated circuits are used in various electronic devices, such as mobile phones, tablets, smart phones, Computers, notebook computers, network devices, etc.

下電極與上電極316的每一者係由金屬(如鋁、鋁合金、銅等)所製成。夾頭314可為靜電夾頭 (ESC)或磁性夾頭。Each of the lower electrode and the upper electrode 316 is made of a metal such as aluminum, aluminum alloy, copper, or the like. The collet 314 can be an electrostatic chuck (ESC) or a magnetic chuck.

工具UI系統306包含能產生時脈訊號(如數位脈動訊號、TTL1訊號等)的時脈源,時脈訊號係藉由纜線313而供給予x MHz RF產生器的DSPx。文中所指的處理器可以是中央處理單元(CPU)、微處理器、ASIC、PLD、控制器等。工具UI系統306亦藉由纜線314將時脈訊號TTL1供給予y MHz RF產生器的DSP(DSPy)。纜線313與314的每一者皆包含通用序列匯流排(USB)纜線、串接纜線、平行纜線、乙太網纜線等。The tool UI system 306 includes a clock source capable of generating a clock signal (e.g., a digital pulse signal, a TTL1 signal, etc.), and the clock signal is supplied to the DSPx of the x MHz RF generator by a cable 313. The processor referred to herein may be a central processing unit (CPU), a microprocessor, an ASIC, a PLD, a controller, or the like. The tool UI system 306 also provides the clock signal TTL1 to the DSP (DSPy) of the y MHz RF generator via cable 314. Each of the cables 313 and 314 includes a universal serial bus (USB) cable, a serial cable, a parallel cable, an Ethernet cable, and the like.

工具UI系統306將包含了效能參數的配方(如數據檔案等)提供予x與y MHz RF產生器的每一者,效能參數例如是一狀態的工作週期、佔據存在的持續時間區間、功率位準、頻率位準等。例如,工具UI系統306將用以操作x MHz RF產生器的配方提供予DSPx、並將用以操作y MHz RF產生器的配方提供予DSPy。配方係儲存在DSPx與DSPy每一者中。The tool UI system 306 provides recipes (eg, data files, etc.) containing performance parameters to each of the x and y MHz RF generators, such as a state duty cycle, an occupation duration interval, and power bits. Quasi, frequency level, etc. For example, the tool UI system 306 provides a recipe to operate the x MHz RF generator to the DSPx and provides a recipe to operate the y MHz RF generator to the DSPy. The recipe is stored in each of DSPx and DSPy.

DSPx接收時脈訊號TTL1並自時脈訊號TTL1產生數位脈動訊號如TTL3訊號。例如,DSPx接收時脈訊號TTL1並修改狀態S1期間的時脈訊號TTL1、以在TTL1訊號的狀態S1期間增加次脈動。又例如,DSPx接收時脈訊號TTL1並修改狀態S1期間的時脈訊號TTL1、以增加狀態S1期間之時脈訊號TTL1的頻率而產生數位脈動訊號TTL3。在此實例中,DSPx不會修改狀態S0期間的時脈訊號TTL1。又更例如,DSPx接收時脈訊號TTL1並包含可產生時脈訊號TTL2的時脈源。時脈訊號TTL2所具有的頻率係與數位脈動訊號TTL3在狀態S1期間所具有的頻率相同。又,時脈訊號TTL1所具有的頻率係與時脈訊號TTL3在狀態S0期間所具有的頻率相同。DSPx將時脈訊號TTL1乘以時脈訊號TTL2而產生時脈訊號TTL3。The DSPx receives the clock signal TTL1 and generates a digital pulse signal such as a TTL3 signal from the clock signal TTL1. For example, the DSPx receives the clock signal TTL1 and modifies the clock signal TTL1 during the state S1 to increase the secondary pulsation during the state S1 of the TTL1 signal. For another example, the DSPx receives the clock signal TTL1 and modifies the clock signal TTL1 during the state S1 to increase the frequency of the clock signal TTL1 during the state S1 to generate the digital pulse signal TTL3. In this example, DSPx does not modify the clock signal TTL1 during state S0. For example, the DSPx receives the clock signal TTL1 and includes a clock source that can generate the clock signal TTL2. The clock signal TTL2 has a frequency that is the same as the frequency of the digital pulse signal TTL3 during the state S1. Moreover, the clock signal TTL1 has a frequency that is the same as the frequency of the clock signal TTL3 during the state S0. The DSPx multiplies the clock signal TTL1 by the clock signal TTL2 to generate the clock signal TTL3.

在數個實施例中,DSPx包含能產生時脈訊號TTL1的時脈源,而非自工具UI系統306接收時脈訊號TTL1。在各種實施例中,x MHz RF產生器包含能產生時脈訊號TTL1的時脈源,而非自工具UI系統306接收時脈訊號TTL1。In several embodiments, the DSPx includes a clock source capable of generating the clock signal TTL1, rather than receiving the clock signal TTL1 from the tool UI system 306. In various embodiments, the x MHz RF generator includes a clock source capable of generating a clock signal TTL1, rather than receiving a clock signal TTL1 from the tool UI system 306.

在各種實施例中,時脈訊號TTL2係由位於工具UI系統306內的時脈源所接收。在某些實施例中時脈訊號TTL2係由x MHz RF產生器內的時脈源所產生。In various embodiments, the clock signal TTL2 is received by a clock source located within the tool UI system 306. In some embodiments the clock signal TTL2 is generated by a clock source within the x MHz RF generator.

在狀態S1b期間,自DSPx將針對狀態S1b的數位脈動訊號TTL3與時脈訊號TTL1 提供予功率控制器PWRS1bx、並將針對狀態S1b的數位脈動訊號TTL3與時脈訊號TTL1提供予自動頻率調整器(AFT)AFTS1bx。例如,自DSPx 將TTL3訊號具有狀態S1b的部分提供予功率控制器PWRS1bx及調整器AFTS1bx。During the state S1b, the digital pulse signal TTL3 and the clock signal TTL1 for the state S1b are supplied from the DSPx to the power controller PWRS1bx, and the digital pulse signal TTL3 and the clock signal TTL1 for the state S1b are supplied to the automatic frequency adjuster ( AFT) AFTS1bx. For example, the portion of the TTL3 signal having the state S1b from the DSPx is supplied to the power controller PWRS1bx and the regulator AFTS1bx.

在某些實施例中,RF產生器的功率控制器及RF產生器的AFT皆為RF產生器之DSP的部件。例如,x MHz RF產生器的自動頻率調整器AFTS0x、AFTS1ax與AFTS1bx及功率控制器PWRS1ax、PWRS1bx與PWRS0x皆為被整合至DSPx之電路內的電路。又例如,調整器AFTS0x、AFTS1ax與AFTS1bx及功率控制器PWRS1ax、PWRS1bx與PWRS0x皆為DSPx所執行之電腦程式的一部分。In some embodiments, both the power controller of the RF generator and the AFT of the RF generator are components of the DSP of the RF generator. For example, the auto frequency adjusters AFTS0x, AFTS1ax and AFTS1bx of the x MHz RF generator and the power controllers PWRS1ax, PWRS1bx and PWRS0x are all circuits integrated into the circuitry of the DSPx. For another example, the adjusters AFTS0x, AFTS1ax, and AFTS1bx and the power controllers PWRS1ax, PWRS1bx, and PWRS0x are all part of the computer program executed by the DSPx.

功率控制器PWRS1bx接收針對狀態S1b的數位脈動訊號TTL3並接收針對狀態S1的時脈訊號TTL1,並決定或辨識欲被x MHz RF產生器產生並供給的RF訊號的功率位準。欲被x MHz RF產生器產生並供給的RF訊號的功率位準所具有的頻率係與數位脈動訊號TTL3在狀態S1b期間的頻率相同。在某些實施例中,對應至、映射至、連結至TTL3訊號之狀態S1b及對應至TTL1時脈訊號之狀態S1的功率位準係儲存在功率控制器PWRS1bx之記憶體裝置中。記憶體裝置的實例包含唯讀記憶體(ROM)、隨機存取記憶體(RAM)、或其組合。在某些實施例中,記憶體裝置為快閃記憶體、獨立磁碟冗餘陣列(RAID)、硬碟等。The power controller PWRS1bx receives the digital ripple signal TTL3 for state S1b and receives the clock signal TTL1 for state S1 and determines or identifies the power level of the RF signal to be generated and supplied by the x MHz RF generator. The power level of the RF signal to be generated and supplied by the x MHz RF generator has a frequency that is the same as the frequency of the digital ripple signal TTL3 during state S1b. In some embodiments, the power level corresponding to, mapped to, coupled to the TTL3 signal state S1b and to the TTL1 clock signal state S1 is stored in the memory device of the power controller PWRS1bx. Examples of memory devices include read only memory (ROM), random access memory (RAM), or a combination thereof. In some embodiments, the memory device is a flash memory, a redundant array of independent disks (RAID), a hard disk, or the like.

在各種實施例中,TTL3訊號之狀態S1b及TTL1訊號的狀態S1的功率位準係基於欲達到的處理速率(如欲達到的蝕刻率、欲達到的沉積率、欲達到的清理率、欲達到的濺射率等)所決定。蝕刻率為晶圓318的蝕刻率。沉積率為在晶圓318上沉積如聚合物、光遮罩、單體等材料的沉積率。清理率為例如藉由蝕刻、藉由沉積、藉由沉積與蝕刻等清理晶圓318的清理率。濺射率為濺射晶圓318或濺射沉積在晶圓318上之材料的濺射率。In various embodiments, the power level of the state S1b of the TTL3 signal and the state S1 of the TTL1 signal is based on the processing rate to be achieved (eg, the etch rate to be achieved, the deposition rate to be achieved, the cleaning rate to be achieved, the desired cleaning rate). The sputtering rate, etc. is determined. The etch rate is the etch rate of the wafer 318. The deposition rate is the deposition rate of materials such as polymers, photomasks, monomers, etc. deposited on the wafer 318. The cleaning rate is, for example, the cleaning rate of the wafer 318 by etching, by deposition, by deposition and etching, and the like. The sputtering rate is the sputtering rate of the sputter wafer 318 or the material sputter deposited on the wafer 318.

又,調整器AFTS1bx接收針對狀態S1b的數位脈動訊號TTL3並接收針對狀態S1的時脈訊號TTL1,並決定或辨識欲被x MHz RF產生器產生的RF訊號的一射頻頻率的量或一系列射頻頻率的複數量。在某些實施例中,對應至TTL3訊號之狀態S1b及對應至TTL1時脈訊號之狀態S1的一射頻頻率的量或一系列射頻頻率的複數量係儲存在調整器AFTS1bx的記憶體裝置中。Moreover, the adjuster AFTS1bx receives the digital pulse signal TTL3 for the state S1b and receives the clock signal TTL1 for the state S1, and determines or identifies the amount of a radio frequency or a series of radio frequencies of the RF signal to be generated by the x MHz RF generator. The number of complex frequencies. In some embodiments, the amount of a radio frequency corresponding to the state S1b of the TTL3 signal and the state S1 corresponding to the TTL1 clock signal or a complex number of radio frequency frequencies is stored in the memory device of the adjuster AFTS1bx.

對應至TTL3訊號之狀態S1b及TTL1時脈訊號之狀態S1的功率位準係自功率控制器PWRS1bx提供至x MHz RF產生器的RF電源322。又,一射頻頻率的量或一系列射頻頻率的複數量係由調整器AFTS1bx提供至RF電源322。在接收到TTL3訊號之狀態S1b與TTL1時脈訊號之狀態S1的功率位準以及一射頻頻率的量或一系列射頻頻率之複數量後,RF電源322產生具有該功率位準以及該射頻頻率的量或該系列射頻頻率之複數量的RF訊號。RF電源322所產生之RF訊號係藉由RF纜線308而供給至阻抗匹配電路302。The power level corresponding to the state S1b of the TTL3 signal and the state S1 of the TTL1 clock signal is supplied from the power controller PWRS1bx to the RF power source 322 of the x MHz RF generator. Again, an amount of radio frequency or a complex number of radio frequency frequencies is provided by the regulator AFTS1bx to the RF power source 322. After receiving the power level of the state S1b of the TTL3 signal and the state S1 of the TTL1 clock signal and the amount of a radio frequency or a complex number of radio frequency frequencies, the RF power source 322 generates the power level and the radio frequency. A quantity or a number of RF signals of the series of RF frequencies. The RF signal generated by the RF power source 322 is supplied to the impedance matching circuit 302 via the RF cable 308.

又,在TTL1訊號的狀態S1期間,y MHz RF產生器的DSPy將時脈訊號TTL1提供予y MHz RF產生器的功率控制器PWRS1y。又,y MHz RF產生器的DSPy將時脈訊號TTL1提供予y MHz RF產生器的調整器AFTS1y。在接收到時脈訊號TTL1後,功率控制器PWRS1y決定或辨識y MHz RF產生器欲產生之RF訊號的功率位準。例如,時脈訊號TTL1之一狀態與y MHz RF產生器欲產生之RF訊號之一功率位準之間的對應(如匹配、連結、一對一關係等)係儲存在功率控制器PWRS1y的記憶體裝置中。Also, during state S1 of the TTL1 signal, DSPy of the y MHz RF generator supplies the clock signal TTL1 to the power controller PWRS1y of the y MHz RF generator. In addition, the DSPy of the y MHz RF generator provides the clock signal TTL1 to the regulator AFTS1y of the y MHz RF generator. After receiving the clock signal TTL1, the power controller PWRS1y determines or recognizes the power level of the RF signal to be generated by the y MHz RF generator. For example, the correspondence between the state of one of the clock signals TTL1 and the power level of one of the RF signals to be generated by the y MHz RF generator (such as matching, linking, one-to-one relationship, etc.) is stored in the memory of the power controller PWRS1y. In the body device.

又,在接收到時脈訊號TTL1後,調整器AFTS1y決定或辨識y MHz RF產生器欲產生之RF訊號的一射頻頻率的量或一系列射頻頻率的複數量。例如,時脈訊號TTL1之一狀態與y MHz RF產生器欲產生之RF訊號的一射頻頻率的量或一系列射頻頻率的複數量之間的對應係儲存在調整器AFTS1y的記憶體裝置中。Moreover, after receiving the clock signal TTL1, the adjuster AFTS1y determines or recognizes the amount of a radio frequency or a complex number of radio frequency frequencies of the RF signal to be generated by the y MHz RF generator. For example, the correspondence between the state of one of the clock signals TTL1 and the amount of a radio frequency of the RF signal to be generated by the y MHz RF generator or the complex number of radio frequency frequencies is stored in the memory device of the adjuster AFTS1y.

對應至狀態S1的功率位準係自功率控制器PWRS1y提供至y MHz RF產生器的RF電源324。又,一射頻頻率的量或一系列射頻頻率的複數量係由調整器AFTS1y提供至RF電源324。在接收到狀態S1的功率位準以及該射頻頻率的量或該系列射頻頻率的複數量之後,RF電源324產生具有該功率位準以及該射頻頻率的量或該系列射頻頻率的複數量的RF訊號。RF電源324所產生的RF訊號係藉由RF纜線310而供給至阻抗匹配電路302。The power level corresponding to state S1 is provided from power controller PWRS1y to RF power source 324 of the y MHz RF generator. Again, an amount of radio frequency or a complex number of radio frequency frequencies is provided by the regulator AFTS1y to the RF power source 324. Upon receiving the power level of state S1 and the amount of the radio frequency or the complex number of the series of radio frequencies, RF power source 324 generates a plurality of RFs having the power level and the amount of the radio frequency or the series of radio frequencies. Signal. The RF signal generated by the RF power source 324 is supplied to the impedance matching circuit 302 by the RF cable 310.

應注意,在某些實施例中DSPx藉由一纜線將TTL3訊號提供至DSPy。在狀態S1期間,DSPy基於TTL3訊號決定自狀態S1a轉換至狀態S1b的轉換時間以及自狀態S1b轉換至狀態S1a的轉換時間。又,在狀態S1期間,DSPy發送一訊號至功率控制器PWRS1y,以調整在自狀態S1a轉換至狀態S1b的轉換時間處或自狀態S1b轉換至狀態S1a的轉換時間處由功率控制器PWRS1y所決定的功率。所決定的功率係基於x MHz RF產生器所輸送或供給之功率在狀態S1a與S1b之間轉換時所發生的電漿阻抗變化來進行調整。為了補償x MHz RF產生器所輸送或供給之功率在狀態S1a與S1b之間轉換時的調整,自DSPx發送TTL3訊號至DSPy。x MHz RF產生器所輸送或供給的功率的調整會造成電漿阻抗的變化。It should be noted that in some embodiments DSPx provides TTL3 signals to DSPy by a cable. During state S1, DSPy determines the transition time from state S1a to state S1b and the transition time from state S1b to state S1a based on the TTL3 signal. Also, during state S1, DSPy sends a signal to power controller PWRS1y to adjust at the transition time from state S1a to state S1b or at transition time from state S1b to state S1a, as determined by power controller PWRS1y Power. The determined power is adjusted based on the change in plasma impedance that occurs when the power delivered or supplied by the x MHz RF generator transitions between states S1a and S1b. To compensate for the adjustment of the power delivered or supplied by the x MHz RF generator during transitions between states S1a and S1b, a TTL3 signal is sent from DSPx to DSPy. The adjustment of the power delivered or supplied by the x MHz RF generator causes a change in the impedance of the plasma.

又,在狀態S1期間,DSPy發送一訊號至調整器AFTS1y以調整在自狀態S1a轉換至狀態S1b的轉換時間處或自狀態S1b轉換至狀態S1a的轉換時間處由調整器AFTS1y所決定的頻率。所決定的頻率係基於x MHz RF產生器所供給之功率在狀態S1a與S1b之間轉換時所發生的電漿阻抗變化來進行調整。為了補償x MHz RF產生器所產生之RF訊號之頻率在狀態S1a與S1b之間轉換時的調整,自DSPx發送TTL3訊號至DSPy。x MHz RF產生器所產生之RF訊號的頻率的調整會造成電漿阻抗的變化。Also, during state S1, DSPy sends a signal to adjuster AFTS1y to adjust the frequency determined by regulator AFTS1y at the transition time from state S1a to state S1b or transition time from state S1b to state S1a. The determined frequency is adjusted based on the change in plasma impedance that occurs when the power supplied by the x MHz RF generator is switched between states S1a and S1b. In order to compensate for the adjustment of the frequency of the RF signal generated by the x MHz RF generator during the transition between states S1a and S1b, a TTL3 signal is sent from DSPx to DSPy. The adjustment of the frequency of the RF signal generated by the x MHz RF generator causes a change in the impedance of the plasma.

更應注意,在某些實施例中工具UI系統306藉由纜線314或和纜線314類似的另一纜線將和TTL3訊號相關的資訊(如TTL3訊號的頻率、TTL3訊號在狀態S1期間的工作週期、在TTL3訊號中狀態S1a出現的時間、在TTL3訊號中狀態S1b出現的時間等)提供至DSPy,而非自DSPx發送TTL3訊號至DSPy。另一纜線將工具UI系統306連接至DSPy。例如,自工具UI系統306將內含和TTL3訊號相關之資訊的資料檔案提供至DSPy。DSPy包含虛擬鎖相迴路,虛擬鎖相迴路所產生的一訊號係鎖定至TTL3訊號的頻率、且用以調整功率控制器PWRS1y所決定的功率及/或用以調整調整器AFTS1y所決定的頻率。It should be further noted that in some embodiments the tool UI system 306 will correlate information related to the TTL3 signal via the cable 314 or another cable similar to the cable 314 (eg, the frequency of the TTL3 signal, the TTL3 signal during the state S1) The duty cycle, the time when the state S1a appears in the TTL3 signal, the time when the state S1b appears in the TTL3 signal, etc.) is supplied to the DSPy instead of the TTL3 signal sent from the DSPx to the DSPy. Another cable connects the tool UI system 306 to the DSPy. For example, the tool UI system 306 provides a data file containing information related to the TTL3 signal to the DSPy. The DSPy includes a virtual phase-locked loop. The signal generated by the virtual phase-locked loop is locked to the frequency of the TTL3 signal and used to adjust the power determined by the power controller PWRS1y and/or to adjust the frequency determined by the adjuster AFTS1y.

阻抗匹配電路302匹配負載與源的阻抗,以從在TTL3訊號的狀態S1b與TTL1 時脈訊號的狀態S1期間自x MHz RF產生器所接收的RF訊號以及從在狀態S1期間自y MHz RF產生器所接收的RF訊號產生經修改的RF訊號。例如,阻抗匹配電路302在TTL3訊號的狀態S1b與TTL1時脈訊號的狀態S1期間產生對應至TTL3訊號之狀態S1b與TTL1時脈訊號之狀態S1之部分經修改的RF訊號。在TTL3訊號的狀態S1b與TTL1時脈訊號的狀態S1期間所產生之經修改的RF訊號係藉由RF傳輸線312而發送至夾頭314的下電極。上電極316包含一或多個耦合至中央氣體饋送件(未顯示)的氣體入口(如孔洞等)。中央氣體饋送件自氣體儲槽(未顯示)接收一或多種製程氣體。製程氣體的實例包含含氧氣體,如O2 。製程氣體的其他實例包含含氟氣體,如四氟甲烷、六氟化硫、六氟乙烷(C2 F6 )等。上電極316係接地。夾頭314係藉由RF傳輸線312、阻抗匹配電路302及RF纜線308耦合至x MHz RF產生器。又,夾頭314係藉由RF傳輸線312、阻抗匹配電路302及RF纜線310耦合至y MHz RF產生器。The impedance matching circuit 302 matches the impedance of the load and the source to generate RF signals received from the x MHz RF generator during the state S1 of the TTL3 signal state S1b and the TTL1 clock signal and from the y MHz RF during the state S1. The RF signal received by the device produces a modified RF signal. For example, the impedance matching circuit 302 generates a partially modified RF signal corresponding to the state S1b of the TTL3 signal and the state S1 of the TTL1 clock signal during the state S1b of the TTL3 signal and the state S1 of the TTL1 clock signal. The modified RF signal generated during the state S1b of the TTL3 signal and the state S1 of the TTL1 clock signal is transmitted to the lower electrode of the chuck 314 via the RF transmission line 312. Upper electrode 316 includes one or more gas inlets (e.g., holes, etc.) coupled to a central gas feed (not shown). The central gas feed receives one or more process gases from a gas reservoir (not shown). Examples of process gases include oxygen containing gases such as O 2 . Other examples of process gases include fluorine-containing gases such as tetrafluoromethane, sulfur hexafluoride, hexafluoroethane (C 2 F 6 ), and the like. The upper electrode 316 is grounded. Collet 314 is coupled to the x MHz RF generator by RF transmission line 312, impedance matching circuit 302, and RF cable 308. Again, the collet 314 is coupled to the y MHz RF generator by an RF transmission line 312, an impedance matching circuit 302, and an RF cable 310.

在某些實施例中,當在上電極316與夾頭314之間供給製程氣體且當x MHz RF產生器及/或y MHz RF產生器藉由阻抗匹配電路302與RF傳輸線312將狀態S1b的RF訊號提供至夾頭314時,電漿室304內電漿的阻抗會受到影響,如增加、減少等。在TTL3訊號的狀態S1b與TTL1時脈訊號的狀態S1期間受到影響的電漿具有電漿離子的離子能量。在TTL3訊號的狀態S1b與TTL1時脈訊號的狀態S1期間的離子能量係用以增加相較於狀態S0或狀態S1a期間之沉積率的沉積率、或用以在狀態S0期間進行蝕刻而非進行沉積、或用以在狀態S0期間蝕刻晶圓318而非不處理晶圓318、或用以降低相較於狀態S1a期間之蝕刻率的蝕刻率、或用以在狀態S1a期間進行沉積相較於進行蝕刻。In some embodiments, the process gas is supplied between the upper electrode 316 and the chuck 314 and when the x MHz RF generator and/or the y MHz RF generator is in the state S1b by the impedance matching circuit 302 and the RF transmission line 312 When the RF signal is supplied to the chuck 314, the impedance of the plasma in the plasma chamber 304 is affected, such as increase, decrease, and the like. The plasma affected during the state S1b of the TTL3 signal and the state S1 of the TTL1 clock signal has ion energy of the plasma ions. The ion energy during the state S1b of the TTL3 signal and the state S1 of the TTL1 clock signal is used to increase the deposition rate of the deposition rate during the state S0 or the state S1a, or to perform etching during the state S0 instead of performing Depositing, or etching the wafer 318 during state S0 rather than not processing wafer 318, or to reduce the etch rate compared to the etch rate during state S1a, or to deposit during state S1a Etching is performed.

又,在TTL3訊號的狀態S1a與TTL1訊號的狀態S1期間,DSPx將數位脈動訊號TTL3與時脈訊號TTL1提供予x MHz RF產生器的功率控制器PWRS1ax。例如,DSPx將針對狀態S1a 之一部分數位脈動訊號TTL3及針對狀態S1的時脈訊號TTL1提供予功率控制器PWRS1ax。在接收到狀態S1a的數位脈動訊號TTL3與狀態S1的時脈訊號TTL1後,功率控制器PWRS1ax 決定或辨識欲被x MHz RF產生器所產生之RF訊號的功率位準。對應至TTL3訊號之狀態S1a與時脈訊號TTL1之狀態S1之RF訊號的功率位準係儲存在功率控制器PWRS1ax的記憶體裝置中。在數位脈動訊號TTL3的狀態S1a與時脈訊號TTL1的狀態S1期間將功率位準提供予RF電源322。Moreover, during the state S1 of the TTL3 signal and the state S1 of the TTL1 signal, the DSPx supplies the digital pulse signal TTL3 and the clock signal TTL1 to the power controller PWRS1ax of the x MHz RF generator. For example, DSPx provides a partial digital pulse signal TTL3 for state S1a and a clock signal TTL1 for state S1 to power controller PWRS1ax. After receiving the digital pulsation signal TTL3 of the state S1a and the clock signal TTL1 of the state S1, the power controller PWRS1ax determines or identifies the power level of the RF signal to be generated by the x MHz RF generator. The power level of the RF signal corresponding to the state S1a of the TTL3 signal and the state S1 of the clock signal TTL1 is stored in the memory device of the power controller PWRS1ax. The power level is supplied to the RF power source 322 during the state S1a of the digital pulse signal TTL3 and the state S1 of the clock signal TTL1.

又,在TTL3訊號的狀態S1a與TTL1訊號的狀態S1期間,DSPx將數位脈動訊號TTL3與時脈訊號TTL1提供至x MHz RF產生器的調整器AFTS1ax。在接收到狀態S1a的數位脈動訊號TTL3與狀態S1的時脈訊號TTL1後,頻率控制器AFTS1ax決定或辨識對應至數位脈動訊號TTL3之狀態S1a 與時脈訊號TTL1之狀態S1之一射頻頻率的量或一系列射頻頻率的複數量。例如,數位脈動訊號TTL3之狀態S1a、時脈訊號TTL1之狀態S1與一射頻頻率的量或一系列射頻頻率之複數量之間的對應係儲存在調整器AFTS1ax的記憶體裝置中。Moreover, during the state S1 of the TTL3 signal and the state S1 of the TTL1 signal, the DSPx supplies the digital pulse signal TTL3 and the clock signal TTL1 to the adjuster AFTS1ax of the x MHz RF generator. After receiving the digital pulsation signal TTL3 of the state S1a and the clock signal TTL1 of the state S1, the frequency controller AFTS1ax determines or recognizes the amount of the radio frequency of one of the state S1a corresponding to the digital pulsation signal TTL3 and the state S1 of the clock signal TTL1. Or a complex number of RF frequencies. For example, the state S1a of the digital pulse signal TTL3, the state S1 of the clock signal TTL1 and the amount of a radio frequency or a complex number of radio frequency frequencies are stored in the memory device of the adjuster AFTS1ax.

調整器AFTS1ax將該射頻頻率的量或該系列射頻頻率的複數量提供予RF電源322。在接收到數位脈動訊號TTL3之狀態S1a與時脈訊號TTL1之狀態S1的該功率位準以及在接收到數位脈動訊號TTL3之狀態S1a與時脈訊號TTL1之狀態S1的該射頻頻率的量或該系列射頻頻率的複數量之後,RF電源322產生具有數位脈動訊號TTL3之狀態S1a與時脈訊號TTL1之狀態S1的該功率位準以及該射頻頻率的量或該系列射頻頻率的複數量的RF訊號。The adjuster AFTS1ax provides the amount of radio frequency or a complex number of the series of radio frequencies to the RF power source 322. The power level of the state S1 of the state S1a and the clock signal TTL1 of the digital pulse signal TTL3 and the state of the radio frequency of the state S1 of the state signal S1a and the clock signal TTL1 of the digital pulse signal TTL3 or After the complex number of series RF frequencies, the RF power source 322 generates the power level with the state S1a of the digital pulse signal TTL3 and the state S1 of the clock signal TTL1 and the amount of the RF frequency or the complex number of RF signals of the series of RF frequencies. .

阻抗匹配電路302接收x MHz RF產生器針對數位脈動訊號TTL3之狀態S1a 與時脈訊號TTL1之狀態S1所產生的RF訊號並接收y MHz RF產生器針對狀態S1所產生的RF訊號,並且匹配狀態S1a期間負載與源的阻抗以從狀態S1a 的RF訊號產生經修改的RF訊號。例如,阻抗匹配電路302在狀態S1a期間產生對應至TTL3訊號之狀態S1a與TTL1時脈訊號的狀態S1之部分經修改的RF訊號。與數位脈動訊號TTL3的狀態S1a與時脈訊號TTL1的狀態S1相關之經修改的RF訊號係藉由RF傳輸線312而發送至夾頭314。The impedance matching circuit 302 receives the RF signal generated by the x MHz RF generator for the state S1a of the digital pulse signal TTL3 and the state S1 of the clock signal TTL1 and receives the RF signal generated by the y MHz RF generator for the state S1, and matches the state. The impedance of the load and source during S1a produces a modified RF signal from the RF signal of state S1a. For example, the impedance matching circuit 302 generates a partially modified RF signal corresponding to the state S1a of the TTL3 signal and the state S1 of the TTL1 clock signal during the state S1a. The modified RF signal associated with the state S1a of the digital pulse signal TTL3 and the state S1 of the clock signal TTL1 is transmitted to the chuck 314 via the RF transmission line 312.

在接收到對應至數位脈動訊號TTL3之狀態S1a與時脈訊號TTL1之狀態S1之經修改的RF訊號後,電漿室304內的電漿離子受到激發以進行處理,如增加相較於狀態S0或狀態S1b期間之蝕刻率的蝕刻率、減少相較於狀態S0或狀態S1b期間之沉積率的沉積率、增加相較於狀態S0或狀態S1b期間之清理率的清理率、增加相較於狀態S0或狀態S1b期間在晶圓318上之濺射率的濺射率。After receiving the modified RF signal corresponding to the state S1a of the digital pulse signal TTL3 and the state S1 of the clock signal TTL1, the plasma ions in the plasma chamber 304 are excited for processing, such as increasing compared to the state S0. Or the etching rate of the etching rate during the state S1b, the deposition rate of the deposition rate compared to the state S0 or the state S1b, the cleaning rate of the cleaning rate during the period S0 or the state S1b, the increase compared to the state The sputtering rate of the sputtering rate on the wafer 318 during S0 or state S1b.

在狀態S0期間,DSPx提供數位脈動訊號TTL3予x MHz RF產生器的功率控制器PWRS0x。例如,DSPx將對應至狀態S0的一部分數位脈動訊號TTL3發送至功率控制器PWRS0x。應注意,在狀態S0期間,TTL3訊號係與TTL1訊號相同。在接收到與狀態S0相關之數位脈動訊號TTL3後,功率控制器PWRS0x 決定或辨識狀態S0的功率位準。例如,對應至狀態S0的功率位準係儲存在功率控制器PWRS0x的記憶體裝置中並自記憶體裝置受到辨識。功率位準係由功率控制器PWRS0x提供予RF電源322。在接收到狀態S0的功率位準後,RF電源322產生具有和狀態S0相關之功率位準的RF訊號。 【00100】 又,在狀態S0期間,DSPx將數位脈動訊號TTL3提供予x MHz RF產生器的調整器AFTS0x。例如,DSPx將具有狀態S0的一部分數位脈動訊號TTL3提供予調整器AFTS0x。在接收到對應至狀態S0的數位脈動訊號TTL3後,調整器AFTS0x 決定或辨識一射頻頻率的量或一系列射頻頻率的複數量。例如,調整器AFTS0x自調整器AFTS0x的記憶體裝置辨識該射頻頻率的量或該系列射頻頻率的複數量。調整器AFTS0x將該射頻頻率的量或該系列射頻頻率的複數量提供予RF電源322。 【00101】 在狀態S0期間,在接收到與狀態S0相關之該功率的量以及該射頻頻率的量或該系列射頻頻率的複數量後,RF電源322產生對應至狀態S0的RF訊號。對應至狀態S0的RF訊號具有與狀態S0相關之該功率位準以及該射頻頻率的量或該系列射頻頻率的複數量。 【00102】 又,在狀態S0期間,DSPy提供時脈訊號TTL1予y MHz RF產生器的功率控制器PWRS0y及調整器AFTS0y。例如,DSPy發送具有狀態S0的一部分時脈訊號TTL1予功率控制器PWRS0x及調整器AFTS0y。在接收到和狀態S0相關的時脈訊號TTL1之後,功率控制器PWRS0y 決定或辨識y MHz RF產生器欲產生之RF訊號的功率位準,且調整器AFTS0y 決定或辨識RF訊號的一射頻頻率的量或一系列射頻頻率的複數量。功率控制器PWRS0y將和狀態S0相關的功率位準提供予RF電源324,且調整器AFTS0y將該射頻頻率的量或該系列射頻頻率的複數量提供予RF電源324。在自功率控制器PWRS0y 接收到狀態S0的功率位準並自調整器AFTS0y接收到該射頻頻率的量或該系列射頻頻率的複數量之後,RF電源324產生具有該功率位準及該射頻頻率之量或該系列射頻頻率之複數量的RF訊號。 【00103】 阻抗匹配電路302接收RF電源322在狀態S0期間藉由RF纜線308所供給之RF訊號、並接收RF電源324在狀態S0期間藉由RF纜線310所供給之RF訊號,且基於此些RF訊號匹配負載與源的阻抗以產生狀態S0之經修改的RF訊號。與狀態S0相關之經修改的RF訊號係藉由RF傳輸線312而提供予夾頭304。 【00104】 在某些實施例中,相較於狀態S1a或狀態S1b期間晶圓318上的沉積率,對應至狀態S0之經修改的RF訊號能增加在晶圓318上沉積材料的沉積率。在各種實施例中,相較於狀態S1a或狀態S1b期間晶圓318之膜層或晶圓318上之膜層的蝕刻率,對應至狀態S0之經修改的RF訊號能減少晶圓318之膜層或晶圓318上之膜層的蝕刻率。在數個實施例中,對應至狀態S0之經修改的RF訊號係用以在晶圓318上沉積材料,在狀態S1a期間所產生之經修改的RF訊號或在狀態S1b期間所產生之經修改的RF訊號係用以蝕刻晶圓318的膜層或晶圓318上的膜層。在某些實施例中,在狀態S0期間所產生之一部分經修改的RF訊號係用以在電漿室304內產生如擊發電漿等。例如,當將製程氣體供給至電漿室304且由x與y MHz RF產生器中的一或多者供給一或多個RF訊號時,製程氣體會受到點燃而在電漿室304內產生電漿。 【00105】 在各種實施例中,x MHz RF產生器的功率控制器PWRS0x、PWRS1ax與PWRS1bx係藉由開關(如多工器等)而連接至DSPx的單一相同輸出,而非將x MHz RF產生器的每一功率控制器PWRS0x、PWRS1ax與PWRS1bx耦合至DSPx的不同輸出。開關在狀態S0期間將DSPx連接至功率控制器PWRS0x,在狀態S1a期間將DSPx連接至功率控制器PWRS1ax,在狀態S1b期間將DSPx連接至功率控制器PWRS1bx。 【00106】 類似地,在數個實施例中,y MHz RF產生器的功率控制器PWRS0y與PWRS1y係藉由開關而連接至DSPy的單一相同輸出,而非將y MHz RF產生器的每一功率控制器PWRS0y與PWRS1y耦合至DSPy的不同輸出。開關在狀態S0期間將DSPy連接至功率控制器PWRS0y,在狀態S1期間將DSPy連接至功率控制器PWRS1y。 【00107】 又,在各種實施例中,x MHz RF產生器的調整器AFTS0x、AFTS1ax與AFTS1bx係藉由開關(如多工器等)而連接至DSPx的單一相同輸出而非將x MHz RF產生器的每一調整器AFTS0x、AFTS1ax與AFTS1bx耦合至DSPx的不同輸出。開關在狀態S0期間將DSPx連接至調整器AFTS0x,在狀態S1a期間將DSPx連接至調整器AFTS1ax,在狀態S1b期間將DSPx連接至調整器AFTS1bx。 【00108】 類似地,在數個實施例中,y MHz RF產生器的調整器AFTS0y與AFTS1y係藉由開關而連接至DSPy的單一相同輸出,而非將y MHz RF產生器的每一調整器AFTS0y與AFTS1y耦合至DSPy的不同輸出。開關在狀態S0期間將DSPy連接至調整器AFTS0y,在狀態S1期間將DSPy連接至調整器AFTS1y。 【00109】 圖3B為系統350之一實施例,系統350係用以控制狀態S1期間的離子能量。系統350包含x MHz RF產生器、y MHz RF產生器、阻抗匹配電路302、電漿室304及工具UI系統307。除了在系統350中DSPx產生時脈訊號TTL1與數位脈動訊號TTL3外,系統350以類似於系統300(圖3A)的方式操作。x MHz RF產生器為主RF產生器而y MHz RF產生器為副RF產生器。時脈訊號TTL1與TTL3訊號係自 x MHz RF產生器的DSPx藉由纜線而送至y MHz RF產生器的DSPy。During state S0, DSPx provides a digital pulse signal TTL3 to the power controller PWRS0x of the x MHz RF generator. For example, DSPx sends a portion of the digital pulse signal TTL3 corresponding to state S0 to power controller PWRS0x. It should be noted that during state S0, the TTL3 signal is the same as the TTL1 signal. After receiving the digital pulse signal TTL3 associated with state S0, power controller PWRS0x determines or recognizes the power level of state S0. For example, the power level corresponding to state S0 is stored in the memory device of power controller PWRS0x and is recognized from the memory device. The power level is provided by the power controller PWRS0x to the RF power source 322. Upon receiving the power level of state S0, RF power source 322 generates an RF signal having a power level associated with state S0. [00100] Also, during state S0, DSPx provides digital pulse signal TTL3 to regulator AFTS0x of the x MHz RF generator. For example, DSPx provides a portion of the digital pulse signal TTL3 with state S0 to the regulator AFTS0x. After receiving the digital pulsation signal TTL3 corresponding to state S0, the adjuster AFTS0x determines or recognizes the amount of a radio frequency or a complex number of radio frequency frequencies. For example, the adjuster AFTS0x recognizes the amount of the RF frequency or the complex number of the RF frequencies from the memory device of the adjuster AFTS0x. The adjuster AFTS0x provides the amount of radio frequency or a complex number of the series of radio frequencies to the RF power source 322. [00101] During state S0, upon receiving the amount of power associated with state S0 and the amount of the radio frequency or the complex number of radio frequencies, RF power source 322 generates an RF signal corresponding to state S0. The RF signal corresponding to state S0 has the power level associated with state S0 and the amount of the radio frequency or a complex number of the series of radio frequencies. [00102] Also, during the state S0, the DSPy provides the clock signal TTL1 to the power controller PWRS0y and the adjuster AFTS0y of the y MHz RF generator. For example, DSPy sends a portion of the clock signal TTL1 with state S0 to the power controller PWRS0x and the regulator AFTS0y. After receiving the clock signal TTL1 related to the state S0, the power controller PWRS0y determines or recognizes the power level of the RF signal to be generated by the y MHz RF generator, and the adjuster AFTS0y determines or recognizes the RF frequency of the RF signal. Quantity or a complex number of RF frequencies. The power controller PWRS0y provides the power level associated with state S0 to the RF power source 324, and the adjuster AFTS0y provides the amount of the radio frequency or the complex number of the series of radio frequencies to the RF power source 324. After receiving the power level of the state S0 from the power controller PWRS0y and receiving the amount of the radio frequency or the complex quantity of the series of radio frequencies from the adjuster AFTS0y, the RF power source 324 generates the power level and the radio frequency. A quantity or a number of RF signals of the series of RF frequencies. [00103] The impedance matching circuit 302 receives the RF signal supplied by the RF power source 322 by the RF cable 308 during the state S0, and receives the RF signal supplied by the RF power source 324 during the state S0 by the RF cable 310, and is based on These RF signals match the impedance of the load to the source to produce a modified RF signal of state S0. The modified RF signal associated with state S0 is provided to chuck 304 by RF transmission line 312. [00104] In some embodiments, the modified RF signal corresponding to state S0 can increase the deposition rate of deposited material on wafer 318 compared to the deposition rate on wafer 318 during state S1a or state S1b. In various embodiments, the modified RF signal corresponding to state S0 can reduce the film of wafer 318 compared to the etch rate of the film layer of wafer 318 or film layer 318 during state S1a or state S1b. The etch rate of the film layer on the layer or wafer 318. In several embodiments, the modified RF signal corresponding to state S0 is used to deposit material on wafer 318, the modified RF signal generated during state S1a or modified during state S1b. The RF signal is used to etch the film layer of wafer 318 or the film layer on wafer 318. In some embodiments, a portion of the modified RF signal generated during state S0 is used to generate a plasma slurry or the like within plasma chamber 304. For example, when process gas is supplied to the plasma chamber 304 and one or more of the x and y MHz RF generators are supplied with one or more RF signals, the process gas is ignited to generate electricity in the plasma chamber 304. Pulp. [00105] In various embodiments, the power controllers PWRS0x, PWRS1ax, and PWRS1bx of the x MHz RF generator are connected to a single identical output of DSPx by switches (eg, multiplexers, etc.) rather than generating x MHz RF Each of the power controllers PWRS0x, PWRS1ax, and PWRS1bx is coupled to a different output of DSPx. The switch connects DSPx to power controller PWRS0x during state S0, DSPx to power controller PWRS1ax during state S1a, and DSPx to power controller PWRS1bx during state S1b. [00106] Similarly, in several embodiments, the power controllers PWRS0y and PWRS1y of the y MHz RF generator are connected to a single identical output of DSPy by a switch instead of each power of the y MHz RF generator. Controllers PWRS0y and PWRS1y are coupled to different outputs of DSPy. The switch connects DSPy to power controller PWRS0y during state S0 and DSPy to power controller PWRS1y during state S1. [00107] Again, in various embodiments, the adjusters AFTS0x, AFTS1ax, and AFTS1bx of the x MHz RF generator are connected to a single identical output of DSPx by a switch (such as a multiplexer, etc.) rather than generating an x MHz RF. Each of the adjusters AFTS0x, AFTS1ax and AFTS1bx is coupled to a different output of DSPx. The switch connects DSPx to regulator AFTS0x during state S0, DSPx to regulator AFTS1ax during state S1a, and DSPx to regulator AFTS1bx during state S1b. [00108] Similarly, in several embodiments, the adjusters AFTS0y and AFTS1y of the y MHz RF generator are connected to a single identical output of DSPy by a switch instead of each regulator of the y MHz RF generator. AFTS0y and AFTS1y are coupled to different outputs of DSPy. The switch connects DSPy to regulator AFTS0y during state S0 and DSPy to regulator AFTS1y during state S1. [00109] FIG. 3B is an embodiment of a system 350 for controlling ion energy during state S1. System 350 includes an x MHz RF generator, a y MHz RF generator, an impedance matching circuit 302, a plasma chamber 304, and a tool UI system 307. System 350 operates in a manner similar to system 300 (FIG. 3A) except that DSPx generates clock signal TTL1 and digital ripple signal TTL3 in system 350. The x MHz RF generator is the primary RF generator and the y MHz RF generator is the secondary RF generator. The TTL1 and TTL3 signals from the clock signal are routed from the DSPx of the x MHz RF generator to the DSPy of the y MHz RF generator.

工具UI系統307將包含效能參數的對應配方提供予x與y MHz RF產生器每一者。對應的配方係儲存在DSPx與DSPy每一者中。Tool UI system 307 provides a corresponding recipe containing performance parameters to each of the x and y MHz RF generators. The corresponding recipes are stored in each of DSPx and DSPy.

在某些實施例中,x MHz RF產生器所供給之RF訊號的功率的頻率係與訊號202(圖2A)或訊號212(圖2B)或訊號222(圖2C)或訊號232(圖2D)的頻率相同。In some embodiments, the frequency of the power of the RF signal supplied by the x MHz RF generator is between signal 202 (FIG. 2A) or signal 212 (FIG. 2B) or signal 222 (FIG. 2C) or signal 232 (FIG. 2D). The frequency is the same.

在各種實施例中,將和TTL3訊號相關的訊息自DSPx藉由將DSPx 連接至DSPy的纜線提供予DSPy、而非將TTL3訊號自DSPx藉由纜線發送至DSPy。例如,自DSPx將一資料檔案內和TTL3訊號相關的訊息提供予DSPy。DSPy包含虛擬鎖相迴路而可產生鎖定至TTL3訊號之頻率的訊號,此訊號係用以調整功率控制器PWRS1y 所決定的功率及/或調整器AFTS1y 所決定的頻率。In various embodiments, the TTL3 signal related information is provided from DSPx to the DSPy by connecting the DSPx to the DSPy cable, rather than the TTL3 signal being sent from the DSPx to the DSPy by the cable. For example, from DSPx, a message related to a TTL3 signal in a data file is provided to DSPy. The DSPy includes a virtual phase-locked loop that generates a signal that is locked to the frequency of the TTL3 signal. This signal is used to adjust the power determined by the power controller PWRS1y and/or the frequency determined by the regulator AFTS1y.

圖4A為圖400的一實施例,圖400係用以例示操作在兩狀態 S1與S0的x MHz RF產生器及操作在狀態S1、狀態 S0a與狀態 S0b的y MHz RF產生器。圖400包含自x MHz RF產生器所供給之RF訊號所產生的經輸送的功率訊號402及自y MHz RF產生器所供給之RF訊號所產生的經輸送的功率訊號404。圖400繪示經輸送的功率對時間的關係。經輸送的功率訊號404所具有的頻率係與數位脈動訊號TTL3所具有的頻率相同。4A is an embodiment of a diagram 400 for illustrating an x MHz RF generator operating in two states S1 and S0 and a y MHz RF generator operating in state S1, state S0a, and state S0b. The diagram 400 includes the transmitted power signal 402 generated from the RF signal supplied by the x MHz RF generator and the transmitted power signal 404 generated from the RF signal supplied from the y MHz RF generator. Graph 400 depicts the delivered power versus time. The transmitted power signal 404 has the same frequency as the digital pulsation signal TTL3.

在經輸送的功率訊號 402處於狀態S0的時間期間,經輸送的功率訊號404在狀態S0a與S0b之間轉換,例如交替。在經輸送的功率訊號 402處於狀態S1的時間期間,經輸送的功率訊號404不會在兩狀態之間轉換。在經輸送的功率訊號 402處於狀態S1的時間期間,經輸送的功率訊號404亦處於狀態S1。During the time that the transmitted power signal 402 is in state S0, the transmitted power signal 404 transitions between states S0a and S0b, for example, alternates. During the time that the transmitted power signal 402 is in state S1, the transmitted power signal 404 does not transition between the two states. During the time that the transmitted power signal 402 is in state S1, the transmitted power signal 404 is also in state S1.

經輸送的功率訊號402在狀態S0期間的功率位準(如零功率位準、小於5瓦的功率位準等)能促進沉積率的增加、或蝕刻率的減少、或濺射率的減少等。經輸送的功率訊號402在狀態S0期間的功率位準係小於經輸送的功率訊號402在狀態S1期間的功率位準。The power level of the transmitted power signal 402 during the state S0 (such as zero power level, power level less than 5 watts, etc.) can promote the increase of the deposition rate, or the reduction of the etching rate, or the reduction of the sputtering rate. . The power level of the transmitted power signal 402 during state S0 is less than the power level of the transmitted power signal 402 during state S1.

又,在經輸送的功率訊號402的狀態S0期間經輸送的功率訊號404在狀態S0a與S0b之間的轉換能促進對電漿室304(圖3A)中所產生之電漿的阻抗的控制(如增加、減少等)。阻抗的控制能增加電漿的穩定度。例如,當x MHz RF產生器產生RF訊號以更進一步地將經輸送的功率訊號402提供予電漿室304以達到粗略的蝕刻率時,y MHz RF產生器產生RF訊號以更進一步地提供在狀態S0a與S0b之間轉換的經輸送的功率訊號404。藉著進行經輸送的功率訊號 404在狀態S0a與S0b之間的轉換可達到精細的蝕刻率。又例如,當x MHz RF產生器產生RF訊號以更進一步地將經輸送的功率訊號402提供至電漿室304以達到粗略的沉積率時,y MHz RF產生器產生RF訊號以更進一步地提供在狀態S0a與S0b之間轉換的經輸送的功率訊號404。藉著進行經輸送的功率訊號 404在狀態S0a與S0b之間的轉換可達到精細的沉積率。又例如,當x MHz RF產生器產生RF訊號以更進一步地將經輸送的功率訊號402提供至電漿室304以達到粗略的濺射率時,y MHz RF產生器產生RF訊號以更進一步地提供在狀態S0a與S0b之間轉換的經輸送的功率訊號404。藉著進行經輸送的功率訊號 404在狀態S0a與S0b之間的轉換可達到精細的濺射率。Moreover, the transition of the transmitted power signal 404 between states S0a and S0b during the state S0 of the transmitted power signal 402 can facilitate control of the impedance of the plasma generated in the plasma chamber 304 (Fig. 3A) ( Such as increase, decrease, etc.). Impedance control increases the stability of the plasma. For example, when the x MHz RF generator generates an RF signal to further provide the delivered power signal 402 to the plasma chamber 304 to achieve a coarse etch rate, the y MHz RF generator generates an RF signal to provide further The transmitted power signal 404 converted between states S0a and S0b. A fine etch rate can be achieved by switching the transmitted power signal 404 between states S0a and S0b. For another example, when the x MHz RF generator generates an RF signal to further provide the delivered power signal 402 to the plasma chamber 304 to achieve a coarse deposition rate, the y MHz RF generator generates an RF signal to provide further The transmitted power signal 404 is converted between states S0a and S0b. A fine deposition rate can be achieved by switching the transmitted power signal 404 between states S0a and S0b. For another example, when the x MHz RF generator generates an RF signal to further provide the delivered power signal 402 to the plasma chamber 304 to achieve a coarse sputtering rate, the y MHz RF generator generates an RF signal to further A delivered power signal 404 is provided that transitions between states S0a and S0b. A fine sputtering rate can be achieved by switching the transmitted power signal 404 between states S0a and S0b.

在某些實施例中,粗略的速率比精細的速率具有更大的範圍。例如,粗略的蝕刻率具有介於D埃/分鐘至E埃/分鐘之間的蝕刻率範圍,而精細的蝕刻率具有介於F埃/分鐘至G埃/分鐘之間的蝕刻率範圍。介於F埃/分鐘至G埃/分鐘之間的範圍係落在介於D埃/分鐘至E埃/分鐘之間的範圍內。在各種實施例中,介於F埃/分鐘至G埃/分鐘之間的範圍係小於介於D埃/分鐘至E埃/分鐘之間的範圍。In some embodiments, the coarse rate has a larger range than the fine rate. For example, the coarse etch rate has an etch rate range between D Å/min to E Å/min, while the fine etch rate has an etch rate range between F Å/min to G Å/min. A range between F Å/min and G Å/min falls within a range between D Å/min and E Å/min. In various embodiments, the range between F Å/min and G Å/min is less than a range between D Å/min to E Å/min.

在各種實施例中,在經輸送的功率訊號 404的狀態S0b期間,電漿室304(圖3A)內之離子能量的量係少於在經輸送的功率訊號404之狀態S0a期間電漿室304內之離子能量的量。y MHz RF產生器所產生之RF訊號所產生之較少量的離子能量能促進對電漿室304內電漿的控制,以更進一步地達到速率(蝕刻率、沉積率、清理率、濺射率等)的重覆性並達到電漿的穩定度。又,在經輸送的功率訊號402處於狀態S0之時間期間內產生較少量的離子能量,使 x與y MHz RF產生器所產生之RF訊號所供給的大部分能量能朝向產生器反射。大部分功率的反射能改善電漿室304內的電漿穩定度。In various embodiments, during the state S0b of the transmitted power signal 404, the amount of ion energy within the plasma chamber 304 (FIG. 3A) is less than the plasma chamber 304 during the state S0a of the delivered power signal 404. The amount of ion energy inside. The smaller amount of ion energy generated by the RF signal generated by the y MHz RF generator can facilitate the control of the plasma in the plasma chamber 304 to further achieve the rate (etch rate, deposition rate, cleaning rate, sputtering). Rate, etc.) Repetitive and achieve plasma stability. Again, a relatively small amount of ion energy is generated during the time that the transmitted power signal 402 is in state S0 such that most of the energy supplied by the x and y MHz RF generators produces RF energy that is reflected toward the generator. Most of the power reflection improves the plasma stability within the plasma chamber 304.

圖4B為圖410的一實施例,圖410係用以例示基於y MHz RF產生器所產生之RF訊號所衍生之經輸送的功率訊號412的位準。圖410繪示經輸送之功率對時間的關係。在狀態S0a期間經輸送的功率訊號412所具有的位準係高於在狀態S0b期間經輸送的功率訊號404(圖4A)的位準。經輸送的功率訊號412所具有的頻率係與數位脈動訊號TTL3的頻率相同。4B is an embodiment of FIG. 410, and is used to illustrate the level of the transmitted power signal 412 derived from the RF signal generated by the y MHz RF generator. Figure 410 depicts the delivered power versus time. The transmitted power signal 412 during state S0a has a higher level than the transmitted power signal 404 (Fig. 4A) during state S0b. The transmitted power signal 412 has the same frequency as the digital pulsation signal TTL3.

在各種實施例中,在狀態S0a期間經輸送的功率訊號412所具有的位準係高於經輸送的功率訊號404的位準。在各種實施例中,在狀態S0a期間經輸送的功率訊號412的位準係低於經輸送的功率訊號404的位準。In various embodiments, the transmitted power signal 412 during state S0a has a level that is higher than the level of the transmitted power signal 404. In various embodiments, the level of the transmitted power signal 412 during the state S0a is lower than the level of the transmitted power signal 404.

圖4C為圖420的一實施例,圖420係用以例示基於y MHz RF產生器所產生之RF訊號所衍生之經輸送的功率訊號422的位準。圖420繪示經輸送之功率對時間的關係。在狀態S0b期間經輸送的功率訊號422所具有的位準係低於經輸送的功率訊號404(圖4A)在狀態S0a期間所具有的位準。又,在狀態S0a期間經輸送的功率訊號422所具有的位準係低於經輸送的功率訊號422 在狀態S1期間所具有的位準。經輸送的功率訊號422所具有的頻率係與數位脈動訊號TTL3的頻率相同。4C is an embodiment of FIG. 420 for illustrating the level of the transmitted power signal 422 derived from the RF signal generated by the y MHz RF generator. Figure 420 depicts the delivered power versus time. The transmitted power signal 422 during state S0b has a level that is lower than the level that the transmitted power signal 404 (Fig. 4A) has during state S0a. Again, the transmitted power signal 422 during state S0a has a level that is lower than the level of the transmitted power signal 422 during state S1. The transmitted power signal 422 has the same frequency as the digital pulsation signal TTL3.

圖4D為圖430的一實施例,圖430係用以例示對比於圖400(圖4A)中所示的位準使用具有不同位準之經輸送的功率訊號432。經輸送的功率訊號432所具有的頻率係與 TTL3的頻率相同。經輸送的功率訊號432為下列者的函數:y MHz RF產生器所供給之RF訊號以及自電漿室304藉由RF傳輸線312、阻抗匹配電路302與RF纜線310(圖3A)朝向y MHz RF產生器反射的RF訊號。經輸送的功率訊號432在狀態S0a期間的功率位準係低於經輸送的功率訊號404(圖4A)在狀態S0a期間的功率位準。又,經輸送的功率訊號432在狀態S0a期間的功率位準係低於經輸送的功率訊號402在狀態S1期間的功率位準。又,經輸送的功率訊號432在狀態S0b期間的功率位準係高於經輸送的功率訊號402在狀態S0b期間的功率位準。經輸送的功率訊號432在狀態S0b期間的功率位準係低於經輸送的功率訊號402在狀態S1期間的功率位準並高於經輸送的功率訊號402在狀態S0期間的功率位準。4D is an embodiment of FIG. 430, which is used to illustrate the use of transmitted power signals 432 having different levels as compared to the levels shown in FIG. 400 (FIG. 4A). The transmitted power signal 432 has the same frequency as the TTL3. The transmitted power signal 432 is a function of the RF signal supplied by the y MHz RF generator and from the plasma chamber 304 to the y MHz by the RF transmission line 312, the impedance matching circuit 302 and the RF cable 310 (Fig. 3A). RF signal reflected by the RF generator. The power level of the transmitted power signal 432 during state S0a is lower than the power level of the transmitted power signal 404 (FIG. 4A) during state S0a. Moreover, the power level of the transmitted power signal 432 during the state S0a is lower than the power level of the transmitted power signal 402 during the state S1. Moreover, the power level of the transmitted power signal 432 during the state S0b is higher than the power level of the transmitted power signal 402 during the state S0b. The power level of the transmitted power signal 432 during the state S0b is lower than the power level of the transmitted power signal 402 during the state S1 and higher than the power level of the transmitted power signal 402 during the state S0.

在各種實施例中,經輸送的功率訊號402在狀態S0期間的功率位準係高於經輸送的功率訊號432在狀態S0b期間的功率位準。在某些實施例中,經輸送的功率訊號402在狀態S1期間的功率位準係低於經輸送的功率訊號432在狀態S0a期間的功率位準。In various embodiments, the power level of the transmitted power signal 402 during state S0 is higher than the power level of the transmitted power signal 432 during state S0b. In some embodiments, the power level of the transmitted power signal 402 during state S1 is lower than the power level of the transmitted power signal 432 during state S0a.

在某些實施例中,狀態S1佔據的時間期間係與狀態S0a與S0b兩者佔據的時間期間相同。例如,狀態S1佔據時脈訊號TTL1的半個時脈週期,狀態S0a與S0b佔據剩下的半個時脈週期。在數個實施例中,狀態S1佔據的時間期間係小於或大於時脈訊號TTL1之半個時脈週期,狀態S0a與S0b佔據時脈週期的剩餘期間。In some embodiments, the period of time occupied by state S1 is the same as the period of time occupied by both states S0a and S0b. For example, state S1 occupies half a clock cycle of clock signal TTL1, and states S0a and S0b occupy the remaining half of the clock cycle. In several embodiments, state S1 occupies a period of time less than or greater than half of the clock period of clock signal TTL1, and states S0a and S0b occupy the remainder of the clock period.

圖4E為圖440的一實施例,圖440係用以例示狀態S0期間的工作週期係不同於50%的工作週期。圖440繪示60 MHz RF產生器所輸送之功率對時間t的關係。經輸送的功率係顯示為脈動訊號442。應注意,訊號442在狀態S0期間的工作週期係大於50%,且狀態S1期間所佔據的時間係等於狀態S0期間所佔據的時間。例如,訊號442在狀態S0a期間所佔據的時間係多於在狀態S0b期間所佔據的時間。在某些實施例中,訊號442在狀態S0期間的工作週期係小於50%。例如,經輸送之訊號在狀態S0a期間所佔據的時間係少於在狀態S0b期間所佔據的時間。4E is an embodiment of FIG. 440, and FIG. 440 is used to illustrate that the duty cycle during state S0 is different from the 50% duty cycle. Figure 440 illustrates the power delivered by a 60 MHz RF generator versus time t. The delivered power is shown as a pulsation signal 442. It should be noted that the duty cycle of signal 442 during state S0 is greater than 50%, and the time occupied during state S1 is equal to the time occupied during state S0. For example, signal 442 occupies more time during state S0a than during state S0b. In some embodiments, the duty cycle of signal 442 during state S0 is less than 50%. For example, the transmitted signal occupies less time during state S0a than during state S0b.

更應注意,每一訊號 404、412、422與432 (圖4A 至4D)在狀態S0期間的工作週期為50%。It should be further noted that each signal 404, 412, 422, and 432 (Figs. 4A through 4D) has a duty cycle of 50% during state S0.

在數個實施例中,y MHz RF產生器所輸送之功率的狀態S0佔據時間係少於或多於y MHz RF產生器所輸送之功率的狀態S1佔據時間。在此些實施例中,經輸送的功率在狀態S0期間的工作週期為50%。In several embodiments, the state S0 of the power delivered by the y MHz RF generator occupies a state in which the time is less than or more than the power delivered by the y MHz RF generator. In such embodiments, the delivered power has a duty cycle of 50% during state S0.

在各種實施例中,y MHz RF產生器所輸送之功率的狀態S0佔據時間係少於或多於y MHz RF產生器所輸送之功率的狀態S1佔據時間。在此些實施例中,經輸送的功率在狀態S0期間的工作週期係大於或小於50%。In various embodiments, the state S0 of the power delivered by the y MHz RF generator occupies a state in which the time is less than or more than the power delivered by the y MHz RF generator. In such embodiments, the duty cycle of the delivered power during state S0 is greater than or less than 50%.

在某些實施例中,TTL訊號所具有之頻率係等於脈動訊號 442所具有的頻率。TTL訊號係由產生TTL3訊號的裝置所產生。例如,DSPx自TTL1訊號及調變訊號產生TTL訊號。調變訊號調變TTL1訊號以產生TTL 訊號。In some embodiments, the TTL signal has a frequency equal to the frequency of the pulsation signal 442. The TTL signal is generated by a device that generates a TTL3 signal. For example, DSPx generates TTL signals from TTL1 signals and modulation signals. The modulation signal modulates the TTL1 signal to generate a TTL signal.

圖5A顯示系統500的一實施例,系統500係用以例示y MHz RF產生器產生具有狀態S1、S0a與S0b 之RF訊號。系統500包含電漿室304、x MHz RF產生器、y MHz RF產生器及工具UI系統306。工具UI系統306的時脈源將時脈訊號TTL1提供予x MHz RF產生器的DSPx及y MHz RF產生器的DSPy。DSPx基於時脈訊號TTL1產生數位脈動訊號TTL3訊號並將TTL3訊號提供予DSPy。例如,DSPx將具有狀態S0b之一部分數位脈動訊號TTL3提供予DSPy。5A shows an embodiment of a system 500 for illustrating that a y MHz RF generator generates RF signals having states S1, S0a, and S0b. System 500 includes a plasma chamber 304, an x MHz RF generator, a y MHz RF generator, and a tool UI system 306. The clock source of the tool UI system 306 provides the clock signal TTL1 to the DSPx of the x MHz RF generator and the DSPy of the y MHz RF generator. The DSPx generates a digital pulsation signal TTL3 signal based on the clock signal TTL1 and provides the TTL3 signal to the DSPy. For example, DSPx provides a portion of the digital pulse signal TTL3 with state S0b to DSPy.

在某些實施例中,DSPy基於時脈訊號TTL1產生TTL3訊號,而非DSPx產生TTL3訊號並將TTL3訊號提供予DSPy。例如,DSPy自時脈訊號產生TTL3訊號,時脈訊號係自工具UI系統306的時脈源所接收或自DSPx內部的時脈源所接收。又例如,DSPy自時脈訊號TTL1產生TTL3訊號,時脈訊號TTL1係由DSPy內部的時脈源所產生。又更例如,DSPy自時脈訊號TTL1產生TTL3訊號,時脈訊號TTL1係由y MHz RF產生器內部的時脈源所產生。In some embodiments, DSPy generates a TTL3 signal based on the clock signal TTL1, while the non-DSPx generates a TTL3 signal and provides a TTL3 signal to the DSPy. For example, the DSPy generates a TTL3 signal from the clock signal, and the clock signal is received from the clock source of the tool UI system 306 or received from a clock source inside the DSPx. For example, DSPy generates a TTL3 signal from the clock signal TTL1, and the clock signal TTL1 is generated by the clock source inside the DSPy. For example, DSPy generates a TTL3 signal from the clock signal TTL1, and the clock signal TTL1 is generated by a clock source inside the y MHz RF generator.

在狀態S0b期間,DSPx藉由纜線將數位脈動訊號TTL3提供予DSPy。DSPy在狀態S0b期間將數位脈動訊號TTL3及時脈訊號TTL1提供予y MHz RF產生器的功率控制器PWRS0by。例如,DSPy提供具有狀態S0b的一部分數位脈動訊號TTL3以及具有狀態S0的時脈訊號TTL1。功率控制器PWRS0by決定或辨識y MHz RF產生器欲產生之RF訊號的功率位準以回應數位脈動訊號TTL3與時脈訊號TTL1的接收。例如,功率控制器PWRS0by辨識功率控制器PWRS0by之記憶體裝置內的一功率位準,此功率位準係映射至數位脈動訊號TTL3的狀態S0b以及時脈訊號TTL1的狀態S0。功率控制器PWRS0by將功率位準發送至RF電源324。During state S0b, DSPx provides digital pulse signal TTL3 to DSPy via a cable. The DSPy supplies the digital pulse signal TTL3 and the pulse signal TTL1 to the power controller PWRS0by of the y MHz RF generator during the state S0b. For example, DSPy provides a portion of the digital pulse signal TTL3 with state S0b and a clock signal TTL1 with state S0. The power controller PWRS0by determines or recognizes the power level of the RF signal to be generated by the y MHz RF generator in response to the reception of the digital pulse signal TTL3 and the clock signal TTL1. For example, the power controller PWRS0by identifies a power level in the memory device of the power controller PWRS0by, which is mapped to the state S0b of the digital pulse signal TTL3 and the state S0 of the clock signal TTL1. The power controller PWRS0by sends the power level to the RF power source 324.

又,在TTL3訊號的狀態S0b與TTL1訊號的狀態S0期間,DSPy將數位脈動訊號TTL3與時脈訊號TTL1提供予y MHz RF產生器的調整器AFTS0by。調整器AFTS0by決定或辨識y MHz RF產生器欲產生之RF訊號的頻率位準以回應數位脈動訊號TTL3及時脈訊號TTL1的接收。例如,調整器AFTS0by自調整器AFTS0by的記憶體裝置辨識一頻率位準,此頻率位準係映射至數位脈動訊號TTL3的狀態S0b及時脈訊號TTL1的狀態S0。調整器AFTS0by將該頻率位準提供予RF電源324。在接收到來自功率控制器PWRS0by在數位脈動訊號TTL3之狀態S0b期間之功率位準以及來自調整器AFTS0by在數位脈動訊號TTL3之狀態S0b期間以及時脈訊號TTL1之狀態S0期間之頻率位準之後,RF電源324產生具有該頻率位準與該功率位準的RF訊號。Moreover, during the state S0 of the TTL3 signal and the state S0 of the TTL1 signal, the DSPy supplies the digital pulse signal TTL3 and the clock signal TTL1 to the adjuster AFTS0by of the y MHz RF generator. The adjuster AFTS0by determines or recognizes the frequency level of the RF signal to be generated by the y MHz RF generator in response to the reception of the digital pulse signal TTL3 and the pulse signal TTL1. For example, the adjuster AFTS0by recognizes a frequency level from the memory device of the adjuster AFTS0by, and the frequency level is mapped to the state S0 of the digital pulse signal TTL3 and the state S0 of the pulse signal TTL1. The adjuster AFTS0by provides the frequency level to the RF power source 324. After receiving the power level from the power controller PWRS0by during the state S0b of the digital pulsation signal TTL3 and the frequency level from the state of the regulator AFTS0by during the state S0b of the digital pulsation signal TTL3 and the state S0 of the clock signal TTL1, The RF power source 324 generates an RF signal having the frequency level and the power level.

在數位脈動訊號TTL3之狀態S0b期間以及時脈訊號TTL1之狀態S0期間之功率位準與頻率位準係關於達成一製程率,如蝕刻率、或沉積率、或清理率、或濺射率等。例如,在數位脈動訊號TTL3之狀態S0b期間以及時脈訊號TTL1之狀態S0期間,y MHz RF產生器所產生的RF訊號能協助在蝕刻晶圓318或於晶圓318上沉積材料的精細調整期間達到複數蝕刻率之間的平衡。複數蝕刻率中的一者係與狀態S0b相關而複數蝕刻率中的另一者係與狀態S0a相關。The power level and the frequency level during the state S0b of the digital pulse signal TTL3 and the state S0 of the clock signal TTL1 are related to achieving a process rate such as an etching rate, a deposition rate, a cleaning rate, or a sputtering rate. . For example, during the state S0b of the digital pulse signal TTL3 and the state S0 of the clock signal TTL1, the RF signal generated by the y MHz RF generator can assist in the fine adjustment of the deposited material on the etched wafer 318 or on the wafer 318. A balance between the complex etch rates is achieved. One of the complex etch rates is associated with state S0b and the other of the complex etch rates is associated with state S0a.

又,在y MHz RF產生器的狀態S0b期間,x MHz RF產生器操作於狀態S0。在狀態S0期間,DSPx將時脈訊號TTL1發送至x MHz RF產生器的功率控制器PWRS0x及調整器AFTS0x。在接收到時脈訊號TTL1後,功率控制器PWRS0x 決定或辨識一功率位準。此功率位準係自功率控制器PWRS0x的記憶體裝置所辨識。此功率位準係提供至RF電源322。Again, during state S0b of the y MHz RF generator, the x MHz RF generator operates in state S0. During state S0, DSPx sends the clock signal TTL1 to the power controller PWRS0x and regulator AFTS0x of the x MHz RF generator. After receiving the clock signal TTL1, the power controller PWRS0x determines or recognizes a power level. This power level is recognized by the memory device of the power controller PWRS0x. This power level is provided to the RF power source 322.

又,在接收到時脈訊號TTL1後,調整器AFTS0x決定或辨識一頻率位準。該頻率位準係自調整器AFTS0x的記憶體裝置所辨識。調整器AFTS0x將該頻率位準提供予RF電源322。在接收到狀態S0期間的功率位準與頻率位準後,RF電源322產生具有該頻率位準與該功率位準的RF訊號。Moreover, after receiving the clock signal TTL1, the adjuster AFTS0x determines or recognizes a frequency level. This frequency level is recognized by the memory device of the regulator AFTS0x. The adjuster AFTS0x provides this frequency level to the RF power source 322. After receiving the power level and frequency level during state S0, RF power source 322 generates an RF signal having the frequency level and the power level.

應注意,在x MHz RF產生器所產生之RF訊號之狀態S0期間的頻率位準與功率位準能協助達到一製程率,如沉積率、蝕刻率、清理率、濺射率等。例如,在狀態S0期間,x MHz RF產生器產生具有該功率位準的RF訊號,該功率位準係映射至一粗略蝕刻位準及/或映射至一粗略頻率位準。It should be noted that the frequency level and power level during the state S0 of the RF signal generated by the x MHz RF generator can assist in achieving a process rate such as deposition rate, etch rate, cleaning rate, sputtering rate, and the like. For example, during state S0, the x MHz RF generator generates an RF signal having the power level, the power level being mapped to a coarse etch level and/or mapped to a coarse frequency level.

阻抗匹配電路302接收x MHz RF產生器在狀態S0期間所產生的RF訊號、並接收y MHz RF產生器在狀態S0b期間所產生的RF訊號,並且匹配負載與源的阻抗以產生經修改的RF訊號。經修改的RF訊號係由阻抗匹配電路302提供予夾頭314,以產生或修改電漿而處理晶圓318以達到一製程率。The impedance matching circuit 302 receives the RF signal generated by the x MHz RF generator during state S0 and receives the RF signal generated by the y MHz RF generator during state S0b and matches the impedance of the load to the source to produce a modified RF. Signal. The modified RF signal is provided by impedance matching circuit 302 to chuck 314 to produce or modify the plasma to process wafer 318 to achieve a process rate.

又,在狀態S0a期間,DSPx藉由纜線將數位脈動訊號TTL3提供予DSPy、並藉由纜線將時脈訊號TTL1提供予DSPy。DSPy在狀態S0a期間將數位脈動訊號TTL3與時脈訊號TTL1提供予y MHz RF產生器的功率控制器PWRS0ay。例如,DSPy提供具有狀態S0a的一部分數位脈動訊號TTL3並提供具有狀態S0的時脈訊號TTL1。功率控制器PWRS0ay決定或辨識y MHz RF產生器欲產生之RF訊號的功率位準以回應數位脈動訊號TTL3及時脈訊號TTL1的接收。例如,功率控制器PWRS0ay辨識功率控制器PWRS0ay之記憶體裝置內的一功率位準,此功率位準係映射至數位脈動訊號TTL3的狀態S0a及時脈訊號TTL1的狀態S0。功率控制器PWRS0ay將該功率位準發送至RF電源324。Moreover, during the state S0a, the DSPx supplies the digital pulse signal TTL3 to the DSPy by a cable, and supplies the clock signal TTL1 to the DSPy through the cable. The DSPy supplies the digital pulse signal TTL3 and the clock signal TTL1 to the power controller PWRS0ay of the y MHz RF generator during the state S0a. For example, DSPy provides a portion of the digital pulse signal TTL3 with state S0a and provides a clock signal TTL1 with state S0. The power controller PWRS0ay determines or recognizes the power level of the RF signal to be generated by the y MHz RF generator in response to the reception of the digital pulse signal TTL3 and the pulse signal TTL1. For example, the power controller PWRS0ay identifies a power level in the memory device of the power controller PWRS0ay, and the power level is mapped to the state S0 of the digital pulse signal TTL3 and the state S0 of the time pulse signal TTL1. The power controller PWRS0ay sends this power level to the RF power source 324.

又,在TTL3訊號的狀態S0a與TTL1訊號的狀態S0期間,DSPy將數位脈動訊號TTL3提供予y MHz RF產生器的調整器AFTS0ay。調整器AFTS0ay決定或辨識y MHz RF產生器欲產生之RF訊號的頻率位準以回應具有狀態S0a之數位脈動訊號TTL3及具有狀態S0之時脈訊號TTL1的接收。調整器AFTS0ay自調整器AFTS0ay的記憶體裝置辨識一頻率位準,此頻率位準係映射至數位脈動訊號TTL3的狀態S0a及時脈訊號TTL1的狀態S0。調整器AFTS0ay將該頻率位準提供予RF電源324。在接收到來自功率控制器PWRS0ay在狀態S0a期間之功率位準以及來自調整器AFTS0ay在狀態S0a期間的頻率位準之後,RF電源324產生具有該頻率位準與該功率位準的RF訊號。Moreover, during the state S0 of the TTL3 signal and the state S0 of the TTL1 signal, DSPy supplies the digital pulse signal TTL3 to the adjuster AFTS0ay of the y MHz RF generator. The adjuster AFTS0ay determines or recognizes the frequency level of the RF signal to be generated by the y MHz RF generator in response to the reception of the digital pulse signal TTL3 having the state S0a and the clock signal TTL1 having the state S0. The memory device of the adjuster AFTS0ay self-adjuster AFTS0ay recognizes a frequency level, which is mapped to the state S0 of the digital pulse signal TTL3 and the state S0 of the time pulse signal TTL1. The adjuster AFTS0ay provides this frequency level to the RF power source 324. Upon receiving the power level from the power controller PWRS0ay during state S0a and the frequency level from the regulator AFTS0ay during state S0a, the RF power source 324 generates an RF signal having the frequency level and the power level.

在數位脈動訊號TTL3之狀態S0a期間以及時脈訊號TTL1之狀態S0期間之功率位準與頻率位準係關於達成一製程率,如蝕刻率、或沉積率、或清理率、或濺射率等。例如,在數位脈動訊號TTL3之狀態S0a期間以及時脈訊號TTL1之狀態S0期間,y MHz RF產生器所產生的RF訊號能協助在蝕刻晶圓318或於晶圓318上沉積材料的精細調整期間達到平衡。在數位脈動訊號TTL3之狀態S0a期間以及時脈訊號TTL1之狀態S0期間,y MHz RF產生器所產生的RF訊號能協助增加蝕刻晶圓318或蝕刻沉積在晶圓318上之材料的蝕刻率,以更進一步地在狀態S0b期間達到增加蝕刻率與減少蝕刻率之間的平衡。The power level and frequency level during the state S0a of the digital pulse signal TTL3 and the state S0 of the clock signal TTL1 are related to achieving a process rate such as an etch rate, a deposition rate, or a cleaning rate, or a sputtering rate. . For example, during the state S0a of the digital pulse signal TTL3 and the state S0 of the clock signal TTL1, the RF signal generated by the y MHz RF generator can assist in the fine adjustment of the deposited material on the etched wafer 318 or on the wafer 318. Achieve balance. During the state S0a of the digital pulse signal TTL3 and the state S0 of the clock signal TTL1, the RF signal generated by the y MHz RF generator can assist in increasing the etch rate of the etched wafer 318 or etching the material deposited on the wafer 318. The balance between increasing the etching rate and decreasing the etching rate is further achieved during the state S0b.

又,在y MHz RF產生器的狀態S0a期間,x MHz RF產生器操作於狀態S0。x MHz RF產生器在狀態S0期間的操作已於前面敘述。阻抗匹配電路302接收x MHz RF產生器在狀態S0期間所產生的RF訊號、並接收y MHz RF產生器在狀態S0a期間所產生的RF訊號,並且匹配負載與源的阻抗以產生經修改的RF訊號。經修改的RF訊號係由阻抗匹配電路302提供予夾頭314以修改電漿而處理晶圓318,如蝕刻晶圓318、將材料沉積至晶圓318上、或處理沉積在晶圓318上的材料。Again, during state S0a of the y MHz RF generator, the x MHz RF generator operates in state S0. The operation of the x MHz RF generator during state S0 has been previously described. The impedance matching circuit 302 receives the RF signal generated by the x MHz RF generator during state S0 and receives the RF signal generated by the y MHz RF generator during state S0a and matches the impedance of the load to the source to produce a modified RF. Signal. The modified RF signal is supplied to the chuck 314 by the impedance matching circuit 302 to modify the plasma to process the wafer 318, such as etching the wafer 318, depositing material onto the wafer 318, or processing the deposition on the wafer 318. material.

在狀態S1期間,DSPy將TTL3訊號提供予功率控制器PWRS1y。例如,DSPy將具有狀態S1的一部分TTL3訊號提供予功率控制器PWRS1y。應注意在狀態S1期間,TTL3訊號係與TTL1訊號相同。在接收到TTL3訊號後,功率控制器PWRS1y決定或辨識一功率位準並將該功率位準提供予RF電源324。又,在狀態S1期間,DSPy將TTL3訊號提供予調整器AFTS1y。在接收到TTL3訊號後,調整器AFTS1y決定或辨識一頻率位準並將該頻率位準提供予RF電源324。RF電源324產生具有狀態S1期間之該功率位準與該頻率位準的RF訊號、並將此RF訊號提供予阻抗匹配電路302。During state S1, DSPy provides a TTL3 signal to power controller PWRS1y. For example, DSPy provides a portion of the TTL3 signal with state S1 to power controller PWRS1y. It should be noted that during state S1, the TTL3 signal is the same as the TTL1 signal. After receiving the TTL3 signal, the power controller PWRS1y determines or recognizes a power level and provides the power level to the RF power source 324. Also, during state S1, DSPy provides the TTL3 signal to the adjuster AFTS1y. After receiving the TTL3 signal, the adjuster AFTS1y determines or recognizes a frequency level and provides the frequency level to the RF power source 324. The RF power source 324 generates an RF signal having the power level and the frequency level during the state S1 and provides the RF signal to the impedance matching circuit 302.

又,在狀態S1期間,DSPx將TTL3訊號提供予功率控制器PWRS1x 及調整器AFTS1x。在接收到TTL3訊號後,功率控制器PWRS1x決定或辨識與狀態S1相關的功率位準。例如,功率控制器PWRS1x辨識儲存在功率控制器PWRS1x之記憶體裝置中的一功率位準。功率控制器PWRS1x將該功率位準提供予RF電源322。又,在接收到TTL3訊號後,調整器AFTS1x決定或辨識與狀態S1相關的一頻率位準。例如,調整器AFTS1x辨識一頻率位準,此頻率位準係映射至狀態S1且係儲存在調整器AFTS1x的記憶體裝置中。該頻率位準係自調整器AFTS1x提供至電源322。在狀態S1期間,電源322產生具有與狀態S1相關之該頻率位準與該功率位準的RF訊號。Also, during state S1, DSPx provides a TTL3 signal to power controller PWRS1x and regulator AFTS1x. After receiving the TTL3 signal, the power controller PWRS1x determines or identifies the power level associated with state S1. For example, the power controller PWRS1x identifies a power level stored in the memory device of the power controller PWRS1x. The power controller PWRS1x provides this power level to the RF power source 322. Moreover, after receiving the TTL3 signal, the adjuster AFTS1x determines or recognizes a frequency level associated with the state S1. For example, the adjuster AFTS1x recognizes a frequency level that is mapped to state S1 and stored in the memory device of the adjuster AFTS1x. This frequency level is supplied from the regulator AFTS1x to the power source 322. During state S1, power source 322 generates an RF signal having the frequency level associated with state S1 and the power level.

阻抗匹配電路302在狀態S1期間自RF電源322與324接收RF訊號,並匹配負載與源的阻抗以產生經修改的RF訊號。在某些實施例中,源的阻抗係基於阻抗匹配電路302自產生一或多個RF訊號之對應的一或多個RF產生器所接收的一或多個RF訊號。自阻抗匹配電路302藉由RF傳輸線312將在狀態S1期間所產生之經修改的RF訊號發送至夾頭314。Impedance matching circuit 302 receives RF signals from RF power sources 322 and 324 during state S1 and matches the impedance of the load to the source to produce a modified RF signal. In some embodiments, the impedance of the source is based on one or more RF signals received by impedance matching circuit 302 from one or more RF generators that generate one or more RF signals. The self-impedance matching circuit 302 transmits the modified RF signal generated during the state S1 to the chuck 314 via the RF transmission line 312.

在各種實施例中,在狀態S1期間所達到的蝕刻率係高於在狀態S0期間所達到的蝕刻率,或在狀態S1期間所達到的沉積率係低於在狀態S0期間所達到的沉積率,或在狀態S1期間所達到的濺射率係高於在狀態S0期間所達到的濺射率,或在狀態S1期間所達到的清理率係高於在狀態S0期間所達到的清理率。In various embodiments, the etch rate achieved during state S1 is higher than the etch rate achieved during state S0, or the deposition rate achieved during state S1 is lower than the deposition rate achieved during state S0. The sputtering rate achieved during the state S1 is higher than the sputtering rate achieved during the state S0, or the cleaning rate achieved during the state S1 is higher than the cleaning rate achieved during the state S0.

應注意,在某些實施例中,y MHz RF產生器的功率控制器與調整器為DSPy的部件。例如,功率控制器PWRS0ay、PWRS0by與PWRS1y及調整器AFTS1y、AFTS0ay與AFTS0by皆為DSPy所執行之電腦程式的一部分。又例如,功率控制器PWRS0ay、PWRS0by與PWRS1y及調整器AFTS1y、AFTS0ay與AFTS0by皆為整合至DSPy之電路內的電路。It should be noted that in some embodiments, the power controller and regulator of the y MHz RF generator are components of DSPy. For example, the power controllers PWRS0ay, PWRS0by and PWRS1y and the adjusters AFTS1y, AFTS0ay and AFTS0by are all part of the computer program executed by DSPy. For another example, the power controllers PWRS0ay, PWRS0by and PWRS1y and the adjusters AFTS1y, AFTS0ay and AFTS0by are circuits integrated into the circuit of DSPy.

在各種實施例中,y MHz RF產生器的功率控制器PWRS0ay、PWRS0by與PWRS1y係藉由開關(如多工器)而連接至DSPy的單一相同輸出,而非將y MHz RF產生器的每一功率控制器PWRS0ay、PWRS0by與PWRS1y耦合至DSPy的不同輸出。開關在狀態S1期間將DSPy連接至功率控制器PWRS1y,在狀態S0a期間將DSPy連接至功率控制器PWRS0ay,在狀態S0b期間將DSPy連接至功率控制器PWRS0by。In various embodiments, the power controllers PWRS0ay, PWRS0by, and PWRS1y of the y MHz RF generator are connected to a single identical output of DSPy by a switch (eg, a multiplexer) instead of each of the y MHz RF generators. Power controllers PWRS0ay, PWRS0by, and PWRS1y are coupled to different outputs of DSPy. The switch connects DSPy to power controller PWRS1y during state S1, DSPy to power controller PWRS0ay during state S0a, and DSPy to power controller PWRS0by during state S0b.

類似地,在數個實施例中,x MHz RF產生器的功率控制器PWRS0x與PWRS1x係藉由開關而連接至DSPx的單一相同輸出,而非將x MHz RF產生器的每一功率控制器PWRS0x與PWRS1x耦合至DSPx的不同輸出。開關在狀態S0期間將DSPx連接至功率控制器PWRS0x,在狀態S1期間將DSPx連接至功率控制器PWRS1x。Similarly, in several embodiments, the power controllers PWRS0x and PWRS1x of the x MHz RF generator are connected to a single identical output of DSPx by a switch instead of each power controller PWRS0x of the x MHz RF generator. A different output coupled to the DSPx with the PWRS1x. The switch connects DSPx to power controller PWRS0x during state S0 and DSPx to power controller PWRS1x during state S1.

在各種實施例中,y MHz RF產生器的調整器AFTS1y、AFTS0ay與AFTS0by係藉由開關(如多工器等)而連接至DSPy的單一相同輸出,而非將y MHz RF產生器的每一調整器AFTS1y、AFTS0ay與AFTS0by耦合至DSPy的不同輸出。開關在狀態S1期間將DSPy連接至調整器AFTS1y,在狀態S0a期間將DSPy連接至調整器AFTS0ay,在狀態S0b期間將DSPy連接至調整器AFTS0by。In various embodiments, the adjusters AFTS1y, AFTS0ay, and AFTS0by of the y MHz RF generator are connected to a single identical output of DSPy by switches (eg, multiplexers, etc.) rather than each of the y MHz RF generators. The adjusters AFTS1y, AFTS0ay and AFTS0by are coupled to different outputs of DSPy. The switch connects DSPy to regulator AFTS1y during state S1, DSPy to regulator AFTS0ay during state S0a, and DSPy to regulator AFTS0by during state S0b.

類似地,在數個實施例中,x MHz RF產生器的調整器AFTS0x與AFTS1x係藉由開關而連接至DSPx的單一相同輸出,而非將x MHz RF產生器的每一調整器AFTS0x與AFTS1x耦合至DSPx的不同輸出。開關在狀態S0期間將DSPx連接至調整器AFTS0x,在狀態S1期間將DSPx連接至調整器AFTS1x。Similarly, in several embodiments, the adjusters AFTS0x and AFTS1x of the x MHz RF generator are connected to a single identical output of DSPx by a switch instead of each of the adjusters AFTS0x and AFTS1x of the x MHz RF generator. Coupled to different outputs of DSPx. The switch connects DSPx to regulator AFTS0x during state S0 and DSPx to regulator AFTS1x during state S1.

圖5B顯示系統510的一實施例,系統510係用以例示x MHz RF產生器之DSPx產生TTL1與TTL3訊號。時脈訊號TTL1係由DSPx內部的時脈源所產生,而非自工具UI系統306的時脈源接收時脈訊號TTL1。時脈訊號TTL1係用於藉由DSPx產生數位脈動訊號TTL3。TTL3訊號與時脈訊號TTL1係由DSPx提供予DSPy。又,工具UI系統307將與x MHz RF產生器相關的配方提供予DSPx、並將與y MHz RF產生器相關的配方提供予DSPy。FIG. 5B shows an embodiment of a system 510 for illustrating that the DSPx of the x MHz RF generator generates TTL1 and TTL3 signals. The clock signal TTL1 is generated by the clock source inside the DSPx, and the clock signal TTL1 is not received from the clock source of the tool UI system 306. The clock signal TTL1 is used to generate the digital pulse signal TTL3 by DSPx. TTL3 signal and clock signal TTL1 are provided by DSPx to DSPy. Again, tool UI system 307 provides the recipe associated with the x MHz RF generator to DSPx and provides the recipe associated with the y MHz RF generator to DSPy.

例如,y MHz RF產生器所供給之RF訊號的功率所具有的頻率係與訊號404(圖4A)、或訊號412(圖4B)、或訊號432(圖4C)、或訊號432(圖4D)的頻率相同。For example, the power of the RF signal supplied by the y MHz RF generator has a frequency of 404 (FIG. 4A), or signal 412 (FIG. 4B), or signal 432 (FIG. 4C), or signal 432 (FIG. 4D). The frequency is the same.

圖6A顯示圖600之一實施例,圖600係用以例示x MHz RF產生器所產生之RF訊號在狀態S1與S0兩狀態期間的脈動。x MHz RF產生器所產生之RF訊號的脈動會在狀態S1期間造成兩個次狀態S1a與S1b、並在狀態S0期間造成兩個次狀態S0a與S0b。圖600繪示經輸送之RF訊號602的功率位準對時間的關係,此功率位準為x MHz RF產生器所產生且朝向RF產生器反射之RF訊號的函數。FIG. 6A shows an embodiment of FIG. 600 for illustrating the ripple of the RF signal generated by the x MHz RF generator during states S1 and S0. The ripple of the RF signal generated by the x MHz RF generator causes two secondary states S1a and S1b during state S1 and two secondary states S0a and S0b during state S0. 600 illustrates the power level versus time of the transmitted RF signal 602 as a function of the RF signal generated by the x MHz RF generator and reflected toward the RF generator.

在TTL1訊號的狀態S0期間,RF訊號602在狀態S0a與S0b之間變動。又,在TTL1訊號的狀態S1期間,RF訊號602在狀態S1a與S1b之間變動。During state S0 of the TTL1 signal, RF signal 602 varies between states S0a and S0b. Also, during the state S1 of the TTL1 signal, the RF signal 602 varies between states S1a and S1b.

在某些實施例中,RF訊號602在狀態S0b期間的功率位準係低於RF訊號602在狀態S1b期間的功率位準。In some embodiments, the power level of RF signal 602 during state S0b is lower than the power level of RF signal 602 during state S1b.

應注意,使用RF訊號602的狀態S0a與S0b能協助粗略調整在TTL1訊號的狀態S0期間的製程率,如蝕刻率、沉積率、濺射率、或清理率等。It should be noted that the states S0a and S0b using the RF signal 602 can assist in roughly adjusting the process rate during the state S0 of the TTL1 signal, such as the etch rate, deposition rate, sputtering rate, or cleaning rate.

圖6B顯示圖610之一實施例,圖610係用以例示使用y MHz RF產生器以及協同使用產生具有四個次狀態S0a、S0b、S1a與S1b之RF訊號602的x MHz RF產生器。當x MHz RF產生器產生RF訊號以更進一步地提供具有狀態S0a與S0b的RF訊號602時,y MHz RF產生器產生RF訊號以更進一步地提供具有狀態S0之經輸送的功率 RF訊號604。在某些實施例中,當製程率的精細控制為恆定或實質上恆定時,使用x MHz RF產生器所產生之RF訊號602的狀態S0a與S0b可粗略控制製程率,如蝕刻率、沉積率、濺射率等。在某些實施例中,當y MHz RF產生器係操作在對應至狀態S0的功率位準時,製程率的精細控制係實質上恆定。又,當x MHz RF產生器協助提供具有狀態S1a與S1b之RF訊號602時,y MHz RF產生器協助提供具有狀態S1的RF訊號604。6B shows an embodiment of FIG. 610 for illustrating an x MHz RF generator that uses the y MHz RF generator and cooperatively generates an RF signal 602 having four secondary states S0a, S0b, S1a, and S1b. When the x MHz RF generator generates an RF signal to further provide the RF signal 602 with states S0a and S0b, the y MHz RF generator generates an RF signal to further provide the transmitted power RF signal 604 with state S0. In some embodiments, when the fine control of the process rate is constant or substantially constant, the states S0a and S0b of the RF signal 602 generated using the x MHz RF generator can roughly control the process rate, such as etch rate, deposition rate. , sputtering rate, etc. In some embodiments, the fine control of the process rate is substantially constant when the y MHz RF generator is operating at a power level corresponding to state S0. Again, when the x MHz RF generator assists in providing the RF signal 602 with states S1a and S1b, the y MHz RF generator assists in providing the RF signal 604 with state S1.

圖6C顯示圖620的一實施例,圖620係用以例示在TTL1訊號之狀態S0期間之工作週期係不同於在TTL1訊號之狀態S1期間的工作週期。圖620繪示2 MHz RF產生器所輸送之功率對時間的關係。經輸送的功率係顯示為脈動訊號622。應注意,脈動訊號622在狀態S0期間的工作週期係大於50%且狀態S1的佔據期間係等於狀態S0的佔據期間。例如,訊號622在狀態S0a期間的佔據時間大於在狀態S0b期間的佔據期間。應注意,經輸送的功率訊號622在狀態S1期間的工作週期為50%。6C shows an embodiment of the diagram 620. The diagram 620 is used to illustrate that the duty cycle during the state S0 of the TTL1 signal is different from the duty cycle during the state S1 of the TTL1 signal. Figure 620 illustrates the power delivered by the 2 MHz RF generator versus time. The delivered power is shown as a pulsation signal 622. It should be noted that the duty cycle of the pulsation signal 622 during state S0 is greater than 50% and the occupation period of state S1 is equal to the occupation period of state S0. For example, the occupancy of signal 622 during state S0a is greater than during the state S0b. It should be noted that the delivered power signal 622 has a duty cycle of 50% during state S1.

在某些實施例中,訊號 622在狀態S0期間的工作週期係小於50%。例如,輸送訊號在狀態S0a期間的佔據時間係少於在狀態S0b期間的佔據時間。In some embodiments, the duty cycle of signal 622 during state S0 is less than 50%. For example, the occupancy time of the delivery signal during state S0a is less than the occupation time during state S0b.

更應注意,訊號602在狀態S0與S1每一者的工作週期(圖6A至6B)皆為50%。例如,訊號622在狀態S0a期間的佔據時間係等於其在狀態S0b期間的佔據時間。It should be noted that the signal 602 is 50% in each of the states S0 and S1 (Figs. 6A to 6B). For example, the occupancy time of signal 622 during state S0a is equal to its occupation time during state S0b.

在某些實施例中,2 MHz RF產生器在狀態S1期間所輸送之脈動功率訊號的工作週期係大於或小於50%,經輸送的脈動功率訊號在狀態S0期間的工作週期係等於50%。In some embodiments, the duty cycle of the pulsating power signal delivered by the 2 MHz RF generator during state S1 is greater than or less than 50%, and the duty cycle of the delivered pulsating power signal during state S0 is equal to 50%.

在各種實施例中,2 MHz RF產生器在狀態S1期間所輸送之脈動功率訊號的工作週期係大於或小於50%,經輸送的脈動功率訊號在狀態S0期間的工作週期係大於或小於50%。In various embodiments, the duty cycle of the pulsating power signal delivered by the 2 MHz RF generator during state S1 is greater than or less than 50%, and the duty cycle of the transmitted pulsating power signal during state S0 is greater than or less than 50%. .

在數個實施例中,x MHz RF產生器所輸送之功率的狀態S0佔據時間係少於x MHz RF產生器所輸送之功率的狀態S1佔據時間。在此些實施例中,經輸送的功率在每一狀態S0與S1期間的工作週期為50%。In several embodiments, the state S0 of the power delivered by the x MHz RF generator takes up less than the state S1 of the power delivered by the x MHz RF generator. In such embodiments, the delivered power has a duty cycle of 50% during each state S0 and S1.

在各種實施例中,x MHz RF產生器所輸送之功率的狀態S0佔據時間係少於或多於x MHz RF產生器所輸送之功率的狀態S1佔據時間。在此些實施例中,經輸送的功率在狀態S0期間的工作週期係大於或小於50%,且經輸送的功率在狀態S1期間的工作週期係等於50%。In various embodiments, the state S0 of the power delivered by the x MHz RF generator occupies a state that is less than or more than the power delivered by the x MHz RF generator. In such embodiments, the duty cycle of the delivered power during state S0 is greater than or less than 50%, and the duty cycle of the delivered power during state S1 is equal to 50%.

在某些實施例中,x MHz RF產生器所輸送之功率的狀態S0佔據時間係少於或多於x MHz RF產生器所輸送之功率的狀態S1佔據時間。在此些實施例中,經輸送的功率在狀態S0期間的工作週期係等於50%,且經輸送的功率在狀態S1期間的工作週期係大於或小於50%。In some embodiments, the state S0 of the power delivered by the x MHz RF generator occupies a state that is less than or more than the power delivered by the x MHz RF generator. In such embodiments, the duty cycle of the delivered power during state S0 is equal to 50%, and the duty cycle of the delivered power during state S1 is greater than or less than 50%.

在各種實施例中,x MHz RF產生器所輸送之功率的狀態S0佔據時間係少於或多於x MHz RF產生器所輸送之功率的狀態S1佔據時間。在此些實施例中,經輸送的功率在狀態S0期間的工作週期係大於或小於50%,且經輸送的功率在狀態S1期間的工作週期係大於或小於50%。In various embodiments, the state S0 of the power delivered by the x MHz RF generator occupies a state that is less than or more than the power delivered by the x MHz RF generator. In such embodiments, the duty cycle of the delivered power during state S0 is greater than or less than 50%, and the duty cycle of the delivered power during state S1 is greater than or less than 50%.

在某些實施例中,TTL訊號所具有之頻率係等於脈動訊號 622所具有的頻率。TTL訊號係由產生TTL5訊號的裝置所產生。例如,DSPx自TTL1訊號與調變訊號產生TTL訊號。調變訊號調變TTL1訊號以產生TTL 訊號。In some embodiments, the TTL signal has a frequency equal to the frequency of the pulse signal 622. The TTL signal is generated by a device that generates a TTL5 signal. For example, DSPx generates TTL signals from TTL1 signals and modulation signals. The modulation signal modulates the TTL1 signal to generate a TTL signal.

圖7A顯示系統700之一實施例,系統700係用以例示在x MHz RF產生器中使用四個次狀態 S0a、S0b、S1a與S1b。系統700包含電漿室304、x MHz RF產生器、y MHz RF產生器及工具UI系統306。工具UI系統306的時脈源產生時脈訊號TTL1並藉由纜線313將時脈訊號TTL1提供予DSPx及DSPy。Figure 7A shows an embodiment of a system 700 for illustrating the use of four secondary states S0a, S0b, S1a, and S1b in an x MHz RF generator. System 700 includes a plasma chamber 304, an x MHz RF generator, a y MHz RF generator, and a tool UI system 306. The clock source of the tool UI system 306 generates the clock signal TTL1 and provides the clock signal TTL1 to the DSPx and DSPy via the cable 313.

在狀態S0a期間,DSPx自TTL1訊號產生TTL5訊號、並將TTL5訊號提供予DSPy。例如,DSPx藉著利用TTL4 訊號調變TTL1訊號而產生TTL5訊號。又例如,DSPx藉著將時脈訊號TTL1的邏輯位準乘以TTL4訊號的邏輯位準而產生TTL5訊號。在各種實施例中,RF訊號602(圖6A與6B)所具有之頻率係與TTL5訊號所具有之頻率相同。在某些實施例中RF訊號602所具有之頻率係與TTL4訊號所具有之頻率相同。During state S0a, DSPx generates a TTL5 signal from the TTL1 signal and provides a TTL5 signal to DSPy. For example, DSPx generates TTL5 signals by modulating TTL1 signals with TTL4 signals. For another example, DSPx generates a TTL5 signal by multiplying the logic level of the clock signal TTL1 by the logic level of the TTL4 signal. In various embodiments, the RF signal 602 (Figs. 6A and 6B) has a frequency that is the same as the frequency of the TTL5 signal. In some embodiments, the RF signal 602 has a frequency that is the same as the frequency of the TTL4 signal.

在狀態S0b期間,DSPx將TTL5訊號及TTL1訊號提供予x MHz RF產生器的功率控制器PWRS0bx及調整器x MHz RF產生器的AFTS0bx。例如,在狀態S0b期間,DSPx將具有狀態S0b之一部分TTL5訊號及具有狀態S0的時脈訊號TTL1提供予功率控制器PWRS0bx及調整器AFTS0bx。功率控制器PWRS0bx在接收到TTL5訊號後決定或辨識對應至與TTL5訊號之狀態S0b與時脈訊號TTL1之狀態S0的功率位準。例如,功率控制器PWRS0bx自功率控制器PWRS0bx之記憶體裝置辨識一功率位準,此功率位準係映射至訊號TTL5的狀態S0b以及時脈訊號TTL1的狀態S0。功率控制器PWRS0bx將和TTL5訊號之狀態S0b與時脈訊號TTL1之狀態S0相關的功率位準提供予RF電源322。During state S0b, DSPx provides TTL5 signals and TTL1 signals to the power controller PWRS0bx of the x MHz RF generator and AFTS0bx of the regulator x MHz RF generator. For example, during state S0b, DSPx provides a portion of the TTL5 signal having state S0b and a clock signal TTL1 having state S0 to power controller PWRS0bx and regulator AFTS0bx. After receiving the TTL5 signal, the power controller PWRS0bx determines or recognizes the power level corresponding to the state S0 of the TTL5 signal state S0b and the clock signal TTL1. For example, the power controller PWRS0bx recognizes a power level from the memory device of the power controller PWRS0bx, and the power level is mapped to the state S0b of the signal TTL5 and the state S0 of the clock signal TTL1. The power controller PWRS0bx provides a power level associated with the state S0b of the TTL5 signal and the state S0 of the clock signal TTL1 to the RF power source 322.

又,在TTL5訊號的狀態S0b與TTL1訊號的狀態S0期間,調整器AFTS0bx在接收到TTL5訊號與TTL1訊號後決定或辨識一頻率位準。例如,調整器AFTS0bx自調整器AFTS0bx的記憶體裝置辨識該頻率位準,此頻率位準係映射至TTL5訊號的狀態S0b與TTL1訊號的狀態S0。調整器AFTS0bx將該頻率位準提供予 RF電源322。Moreover, during the state S0 of the TTL5 signal and the state S0 of the TTL1 signal, the adjuster AFTS0bx determines or recognizes a frequency level after receiving the TTL5 signal and the TTL1 signal. For example, the adjuster AFTS0bx recognizes the frequency level from the memory device of the adjuster AFTS0bx, and the frequency level is mapped to the state S0b of the TTL5 signal and the state S0 of the TTL1 signal. The adjuster AFTS0bx provides the frequency level to the RF power source 322.

在接收到對應至TTL5訊號的狀態S0b與TTL1訊號的狀態S0之該功率位準與該頻率位準後,RF電源322針對狀態S0b產生具有該功率位準與該頻率位準的RF訊號。在TTL5訊號的狀態S0b與TTL1訊號的狀態S0期間所產生的RF訊號係藉由RF纜線308供給至阻抗匹配電路302。After receiving the power level corresponding to the state S0b of the TTL5 signal and the state S0 of the TTL1 signal and the frequency level, the RF power source 322 generates an RF signal having the power level and the frequency level for the state S0b. The RF signal generated during the state S0b of the TTL5 signal and the state S0 of the TTL1 signal is supplied to the impedance matching circuit 302 via the RF cable 308.

應注意,在某些實施例中,在TTL5訊號的狀態S0b與TTL1訊號的狀態S0期間的該功率位準及/或該頻率位準係用以粗略控制製程率,如在晶圓318上沉積材料的沉積率、或蝕刻晶圓318或蝕刻晶圓318上之材料的蝕刻率、或濺射晶圓318或濺射沉積在晶圓318上之材料的濺射率、或清理晶圓318或清理沉積在基板上之材料的清理率等。It should be noted that in some embodiments, the power level and/or the frequency level during the state S0 of the TTL5 signal and the state S0 of the TTL1 signal are used to roughly control the process rate, such as deposition on the wafer 318. The deposition rate of the material, or the etch rate of the material on the etched wafer 318 or etched wafer 318, or the sputtering rate of the sputtered wafer 318 or material sputter deposited on the wafer 318, or the wafer 318 or Clean up the cleaning rate of the material deposited on the substrate, and the like.

又,在狀態S0期間,DSPy自工具UI系統306接收TTL1訊號並將TTL1訊號提供至功率控制器PWRS0y。y MHz RF產生器的剩餘操作係類似於上面參考用以產生RF訊號之圖3A的說明。Also, during state S0, DSPy receives the TTL1 signal from tool UI system 306 and provides the TTL1 signal to power controller PWRS0y. The remaining operation of the y MHz RF generator is similar to that described above with respect to Figure 3A for generating RF signals.

在y MHz RF產生器的狀態S0與x MHz RF產生器的狀態S0b期間,阻抗匹配電路302藉由RF纜線308與310自x與y MHz RF產生器接收RF訊號,然後匹配負載與源的阻抗以產生經修改的RF訊號。經修改的RF訊號係藉由RF傳輸線312提供予夾頭314。在某些實施例中,在狀態S0b期間所產生之經修改的RF訊號能控制製程率,如在晶圓318上沉積材料的沉積率、或蝕刻晶圓318或蝕刻晶圓318上之材料的蝕刻率、或濺射晶圓318或濺射沉積在晶圓318上之材料的濺射率、或清理晶圓318或清理沉積在基板上之材料的清理率等。During state S0 of the y MHz RF generator and state S0b of the x MHz RF generator, impedance matching circuit 302 receives RF signals from the x and y MHz RF generators via RF cables 308 and 310, and then matches the load and source. Impedance to produce a modified RF signal. The modified RF signal is provided to the chuck 314 by the RF transmission line 312. In some embodiments, the modified RF signal generated during state S0b can control the process rate, such as the deposition rate of material deposited on wafer 318, or the etched wafer 318 or the material on etched wafer 318. The etch rate, or the sputtering rate of the sputtered wafer 318 or the material sputter deposited on the wafer 318, or the cleaning of the wafer 318 or the cleaning of the material deposited on the substrate, and the like.

又,在狀態S0a期間,DSPx將TTL5訊號與TTL1訊號提供至x MHz RF產生器的功率控制器PWRS0ax並提供至x MHz RF產生器的調整器AFTS0ax。例如,在狀態S0a期間,DSPx將具有狀態S0a的一部分TTL5訊號及具有狀態S0的TTL1訊號提供予功率控制器PWRS0ax及調整器AFTS0ax。功率控制器PWRS0ax在接收到TTL5訊號與TTL1訊號後決定或辨識一功率位準。例如,功率控制器PWRS0ax自功率控制器PWRS0ax的記憶體裝置辨識該功率位準,該功率位準係映射至TTL5訊號的狀態S0a與時脈訊號TTL1的狀態S0。功率控制器PWRS0ax將該功率位準提供予RF電源322。Also, during state S0a, DSPx provides the TTL5 signal and the TTL1 signal to the power controller PWRS0ax of the x MHz RF generator and to the regulator AFTS0ax of the x MHz RF generator. For example, during state S0a, DSPx provides a portion of the TTL5 signal with state S0a and the TTL1 signal with state S0 to power controller PWRS0ax and regulator AFTS0ax. The power controller PWRS0ax determines or recognizes a power level after receiving the TTL5 signal and the TTL1 signal. For example, the power controller PWRS0ax recognizes the power level from the memory device of the power controller PWRS0ax, and the power level is mapped to the state S0a of the TTL5 signal and the state S0 of the clock signal TTL1. The power controller PWRS0ax provides this power level to the RF power source 322.

又,在TTL5訊號的狀態S0a與時脈訊號TTL1的狀態S0期間,調整器AFTS0ax在接收到TTL5訊號後決定或辨識一頻率位準。例如,調整器AFTS0ax自調整器AFTS0ax的記憶體裝置辨識該頻率位準,該頻率位準係映射至TTL5訊號的狀態S0a與時脈訊號TTL1的狀態S0。調整器AFTS0ax將該頻率位準提供予RF電源322。Moreover, during the state S0 of the TTL5 signal and the state S0 of the clock signal TTL1, the adjuster AFTS0ax determines or recognizes a frequency level after receiving the TTL5 signal. For example, the adjuster AFTS0ax recognizes the frequency level from the memory device of the adjuster AFTS0ax, and the frequency level is mapped to the state S0a of the TTL5 signal and the state S0 of the clock signal TTL1. The adjuster AFTS0ax provides this frequency level to the RF power source 322.

在接收到對應至狀態S0a 的該功率位準與該頻率位準後,RF電源322針對TTL5訊號的狀態S0a與時脈訊號TTL1的狀態S0產生具有該功率位準與該頻率位準的RF訊號。在TTL5訊號的狀態S0a與時脈訊號TTL1的狀態S0期間所產生的RF訊號係藉由RF纜線308而供給至阻抗匹配電路302。After receiving the power level corresponding to the state S0a and the frequency level, the RF power source 322 generates an RF signal having the power level and the frequency level for the state S0 of the TTL5 signal and the state S0 of the clock signal TTL1. . The RF signal generated during the state S0 of the TTL5 signal and the state S0 of the clock signal TTL1 is supplied to the impedance matching circuit 302 by the RF cable 308.

應注意,在某些實施例中在TTL5訊號的狀態S0a與時脈訊號TTL1的狀態S0期間的功率位準及/或頻率位準係用以粗略控制製程率,如在晶圓318上沉積材料的沉積率、或蝕刻晶圓318或蝕刻晶圓318上之材料的蝕刻率、或濺射晶圓318或濺射沉積在晶圓318上之材料的濺射率、或清理晶圓318或清理沉積在晶圓318上之材料的清理率等。It should be noted that in some embodiments the power level and/or frequency level during the state S0 of the TTL5 signal and the state S0 of the clock signal TTL1 is used to roughly control the process rate, such as depositing material on the wafer 318. The deposition rate, or the etch rate of the material on the etched wafer 318 or etched wafer 318, or the sputtering rate of the sputtered wafer 318 or material sputter deposited on the wafer 318, or the wafer 318 or cleaned The cleaning rate of the material deposited on the wafer 318, and the like.

又,y MHz RF產生器在狀態S0期間的操作已於上面說明。Again, the operation of the y MHz RF generator during state S0 has been described above.

在y MHz RF產生器的狀態S0與x MHz RF產生器的狀態S0a期間,阻抗匹配電路302藉由RF纜線308與310自x與y MHz RF產生器接收RF訊號,然後匹配負載與源的阻抗以產生經修改的RF訊號。經修改的RF訊號係藉由RF傳輸線312提供予夾頭314。在某些實施例中,在狀態S0b期間所產生之經修改的RF訊號能控制在晶圓318上沉積材料的沉積率、或蝕刻晶圓318或蝕刻晶圓318上之材料的蝕刻率、或濺射晶圓318或濺射沉積在晶圓318上之材料的濺射率。During state S0 of the y MHz RF generator and state S0a of the x MHz RF generator, impedance matching circuit 302 receives RF signals from the x and y MHz RF generators via RF cables 308 and 310, and then matches the load and source. Impedance to produce a modified RF signal. The modified RF signal is provided to the chuck 314 by the RF transmission line 312. In some embodiments, the modified RF signal generated during state S0b can control the deposition rate of deposited material on wafer 318, or the etch rate of material etched on wafer 318 or etched wafer 318, or The sputtering rate of the sputter wafer 318 or sputter deposited material on the wafer 318.

在狀態S0期間, DSPy發送一訊號至功率控制器PWRS0y以調整在x MHz RF產生器自狀態S0a轉換至狀態S0b的轉換時間處或x MHz RF產生器自狀態S0b轉換至狀態S0a的轉換時間處由功率控制器PWRS0y所決定的功率。所決定的功率係基於x MHz RF產生器所輸送之功率在狀態S0a與S0b 之間轉換時所發生的電漿阻抗變化來進行調整。為了補償x MHz RF產生器所輸送之功率在狀態S0a與S0b 之間轉換時的調整,自DSPx 發送TTL5訊號至DSPy。x MHz RF產生器所輸送的功率的調整會造成電漿阻抗的變化。During state S0, DSPy sends a signal to power controller PWRS0y to adjust at the transition time at which the x MHz RF generator transitions from state S0a to state S0b or at the transition time when x MHz RF generator transitions from state S0b to state S0a The power determined by the power controller PWRS0y. The determined power is adjusted based on the change in plasma impedance that occurs when the power delivered by the x MHz RF generator transitions between states S0a and S0b. To compensate for the adjustment of the power delivered by the x MHz RF generator during transitions between states S0a and S0b, a TTL5 signal is sent from DSPx to DSPy. The adjustment of the power delivered by the x MHz RF generator causes a change in the impedance of the plasma.

又,在狀態S1期間,DSPy發送一訊號至調整器AFTS0y以調整在x MHz RF產生器自狀態S0a轉換至狀態S0b的轉換時間處或x MHz RF產生器自狀態S0b轉換至狀態S0a的轉換時間處由調整器AFTS0y所決定的頻率。所決定的頻率係基於x MHz RF產生器的頻率在狀態S0a與S0b 之間轉換時所發生的電漿阻抗變化來進行調整。為了補償x MHz RF產生器所產生之RF訊號之頻率在狀態S0a與S0b 之間轉換時的調整,自DSPx發送TTL5訊號至DSPy。x MHz RF產生器所供給之RF訊號的頻率的調整會造成電漿阻抗的變化。Also, during state S1, DSPy sends a signal to adjuster AFTS0y to adjust the transition time at the transition time of the x MHz RF generator transition from state S0a to state S0b or the transition of x MHz RF generator from state S0b to state S0a. The frequency determined by the regulator AFTS0y. The determined frequency is adjusted based on the change in plasma impedance that occurs when the frequency of the x MHz RF generator transitions between states S0a and S0b. To compensate for the adjustment of the frequency of the RF signal generated by the x MHz RF generator when transitioning between states S0a and S0b, a TTL5 signal is sent from DSPx to DSPy. The adjustment of the frequency of the RF signal supplied by the x MHz RF generator causes a change in the impedance of the plasma.

更應注意,在某些實施例中工具UI系統306藉由纜線 314或和纜線 314類似的另一纜線將和TTL5訊號相關的資訊(如TTL5訊號在狀態S1期間的頻率、TTL5訊號在狀態S1期間的工作週期、在TTL5訊號中狀態S1a出現的時間、在TTL5訊號中狀態S1b出現的時間、TTL5訊號在狀態S0期間的頻率、TTL5訊號在狀態S0期間的工作週期、在TTL5訊號中狀態S0a出現的時間、在TTL5訊號中狀態S0b出現的時間等)提供至DSPy,而非自DSPx發送TTL5訊號至DSPy。例如,自工具UI系統306將內含和TTL5訊號相關之資訊的資料檔案提供至DSPy。DSPy包含虛擬鎖相迴路,虛擬鎖相迴路所產生的一訊號係鎖定至TTL5訊號的頻率且用以調整功率控制器PWRS0y所決定的功率及/或用以調整調整器AFTS0y所決定的頻率。It should be further noted that in some embodiments the tool UI system 306 will correlate information related to the TTL5 signal via the cable 314 or another cable similar to the cable 314 (eg, the frequency of the TTL5 signal during state S1, the TTL5 signal). The duty cycle during state S1, the time when state S1a appears in TTL5 signal, the time when state S1b appears in TTL5 signal, the frequency of TTL5 signal during state S0, the duty cycle of TTL5 signal during state S0, and the TTL5 signal The time when the state S0a appears, the time when the state S0b appears in the TTL5 signal, etc.) is supplied to the DSPy instead of the TTL5 signal sent from the DSPx to the DSPy. For example, the tool UI system 306 provides a data file containing information related to the TTL5 signal to the DSPy. The DSPy includes a virtual phase-locked loop. The signal generated by the virtual phase-locked loop is locked to the frequency of the TTL5 signal and used to adjust the power determined by the power controller PWRS0y and/or to adjust the frequency determined by the adjuster AFTS0y.

又,x MHz RF產生器在狀態S1a與S1b期間的操作以及y MHz RF產生器在狀態S1期間的操作係類似於參考圖3A所述之內容。Again, the operation of the x MHz RF generator during states S1a and S1b and the operation of the y MHz RF generator during state S1 are similar to those described with reference to FIG. 3A.

圖7B顯示系統710之一實施例,在系統710中,由DSPx產生時脈訊號TTL1而非工具UI系統306(圖7A)。系統710包含工具UI系統307。DSPx包含能產生時脈訊號TTL1的時脈源並將時脈訊號TTL1與TTL5訊號提供予y MHz RF產生器的DSPy。系統710的剩餘操作係類於圖7A 的系統700。FIG. 7B shows an embodiment of system 710 in which clock signal TTL1 is generated by DSPx instead of tool UI system 306 (FIG. 7A). System 710 includes a tool UI system 307. The DSPx includes a DSPy that generates a clock source of the clock signal TTL1 and provides the clock signal TTL1 and TTL5 signals to the y MHz RF generator. The remaining operations of system 710 are similar to system 700 of Figure 7A.

在某些實施例中,x MHz RF產生器所供給之RF訊號在狀態S1a、S1b、S0a與S0b期間的功率的頻率係與訊號602(圖6A)的頻率相同。In some embodiments, the frequency of the power supplied by the x MHz RF generator during states S1a, S1b, S0a, and S0b is the same as the frequency of signal 602 (FIG. 6A).

在各種實施例中,與TTL5訊號相關的資訊係自DSPx藉由將DSPx連接至DSPy的纜線提供予DSPy,而非自DSPx藉由纜線將TTL5訊號發送至DSPy。例如,自DSPx 將內含有與TTL5訊號相關之資訊的資料檔案提供予DSPy。DSPy包含虛擬鎖相迴路,虛擬鎖相迴路所產生的一訊號係鎖定至TTL5訊號的頻率且用以調整功率控制器PWRS0y所決定的功率及/或用以調整調整器AFTS0y所決定的頻率。In various embodiments, the information associated with the TTL5 signal is provided to the DSPy by the DSPx by connecting the DSPx to the DSPy cable, rather than the TTL5 signal being sent to the DSPy by the cable from the DSPx. For example, a data file containing information related to TTL5 signals is provided from DSPx to DSPy. The DSPy includes a virtual phase-locked loop. The signal generated by the virtual phase-locked loop is locked to the frequency of the TTL5 signal and used to adjust the power determined by the power controller PWRS0y and/or to adjust the frequency determined by the adjuster AFTS0y.

圖8A顯示圖800之一實施例,圖800係用以例示y MHz RF產生器所產生之RF訊號在狀態S1與S0兩狀態期間的脈動。y MHz RF產生器所產生之RF訊號的脈動會在狀態S1期間造成兩個次狀態S1a與S1b、並在狀態S0期間造成兩個次狀態 S0a與S0b。圖800繪示RF訊號802之經輸送的功率(如一功率位準)對時間的關係,此功率位準為y MHz RF產生器所產生之RF訊號及朝向y MHz RF產生器反射之RF訊號的函數。8A shows an embodiment of FIG. 800, which is used to illustrate the ripple of the RF signal generated by the y MHz RF generator during states S1 and S0. The pulsation of the RF signal generated by the y MHz RF generator causes two secondary states S1a and S1b during state S1 and two secondary states S0a and S0b during state S0. Figure 800 illustrates the transmitted power (e.g., a power level) of the RF signal 802 versus time. The power level is the RF signal generated by the y MHz RF generator and the RF signal reflected toward the y MHz RF generator. function.

在TTL1訊號的狀態S0期間,RF訊號802在狀態S0a與S0b之間交替。又,在TTL1訊號的狀態S1期間,RF訊號802在狀態S1a與S1b之間交替。During state S0 of the TTL1 signal, RF signal 802 alternates between states S0a and S0b. Also, during state S1 of the TTL1 signal, RF signal 802 alternates between states S1a and S1b.

在某些實施例中,RF訊號802在狀態S0b期間的功率位準係低於或高於RF訊號802在狀態S1b期間的功率位準。In some embodiments, the power level of RF signal 802 during state S0b is lower or higher than the power level of RF signal 802 during state S1b.

應注意,在TTL1訊號的狀態S1期間使用RF訊號802的狀態S1a與S1b能協助精細調整在狀態S1期間的蝕刻率、沉積率、濺射率、或清理率。It should be noted that the states S1a and S1b using the RF signal 802 during the state S1 of the TTL1 signal can assist in fine adjustment of the etch rate, deposition rate, sputtering rate, or cleaning rate during the state S1.

圖8B顯示圖810的一實施例,圖810係用以例示使用x MHz RF產生器並協同使用產生具有四個次狀態S0a、S0b、S1a與S1b之RF訊號802的y MHz RF產生器。當y MHz RF產生器產生具有狀態S1a與S1b 之RF訊號802時,x MHz RF產生器產生具有狀態S1的RF訊號812。在某些實施例中,當製程率的粗略控制為恆定或實質上恆定時,使用y MHz RF產生器所產生之RF訊號802的狀態S1a與S1b可精細控制製程率,如蝕刻率、清理率、沉積率、濺射率等。在某些實施例中,當x MHz RF產生器係操作在對應至狀態S1的功率位準時,製程率的粗略控制係實質上恆定。又,當y MHz RF產生器產生具有狀態S0a與S0b之RF訊號802時,x MHz RF產生器產生具有狀態S0的RF訊號 812。8B shows an embodiment of FIG. 810, which is used to illustrate a y MHz RF generator that produces an RF signal 802 having four secondary states S0a, S0b, S1a, and S1b using an x MHz RF generator in concert. When the y MHz RF generator generates an RF signal 802 having states S1a and S1b, the x MHz RF generator generates an RF signal 812 having a state S1. In some embodiments, when the coarse control of the process rate is constant or substantially constant, the states S1a and S1b of the RF signal 802 generated using the y MHz RF generator can finely control the process rate, such as the etch rate, the cleaning rate. , deposition rate, sputtering rate, and the like. In some embodiments, when the x MHz RF generator is operating at a power level corresponding to state S1, the coarse control of the process rate is substantially constant. Again, when the y MHz RF generator generates an RF signal 802 having states S0a and S0b, the x MHz RF generator generates an RF signal 812 having a state S0.

圖8C顯示圖820的一實施例,圖820係用以例示在TTL1訊號的狀態S0期間之工作週期係不同於在TTL1訊號的狀態S1期間之工作週期。圖820繪示60 MHz RF產生器所輸送之功率對時間t的關係。經輸送的功率係顯示為脈動訊號822。應注意,脈動訊號 822在狀態S1期間的工作週期係大於50%,且在狀態S1期間所佔據的時間係等於在狀態S0期間所佔據的時間。例如,訊號822在狀態S1a期間所佔據的時間係多於在狀態S1b期間所佔據的時間。應注意,經輸送的功率訊號822在狀態S0期間的工作週期為50%。8C shows an embodiment of the diagram 820. The diagram 820 is used to illustrate that the duty cycle during the state S0 of the TTL1 signal is different from the duty cycle during the state S1 of the TTL1 signal. Figure 820 illustrates the power delivered by a 60 MHz RF generator versus time t. The delivered power is shown as a pulsation signal 822. It should be noted that the duty cycle of the pulsation signal 822 during state S1 is greater than 50%, and the time occupied during state S1 is equal to the time occupied during state S0. For example, signal 822 occupies more time during state S1a than during state S1b. It should be noted that the delivered power signal 822 has a duty cycle of 50% during state S0.

在某些實施例中,訊號822在狀態S1期間的工作週期係小於50%。例如,經輸送的功率訊號在狀態S1a期間所佔據的時間係少於在狀態S1b期間所佔據的時間。In some embodiments, the duty cycle of signal 822 during state S1 is less than 50%. For example, the transmitted power signal occupies less time during state S1a than during state S1b.

更應注意,訊號802(圖8A至8B)在狀態S0與S1每一者期間的工作週期為50%。It should be noted that the signal 802 (Figs. 8A through 8B) has a duty cycle of 50% during each of the states S0 and S1.

在某些實施例中,60 MHz RF產生器所產生的脈動經輸送的功率訊號在狀態S0期間的工作週期係大於或小於50%,且脈動經輸送的功率訊號在狀態S1期間的工作週期為50%。In some embodiments, the pulsating transmitted power signal generated by the 60 MHz RF generator has a duty cycle greater than or less than 50% during state S0, and the duty cycle of the pulsating transmitted power signal during state S1 is 50%.

在各種實施例中,60 MHz RF產生器所產生的脈動經輸送的功率訊號在狀態S0期間的工作週期係大於或小於50%,且脈動經輸送的功率訊號在狀態S1期間的工作週期係大於或小於50%。In various embodiments, the pulsating transmitted power signal generated by the 60 MHz RF generator has a duty cycle greater than or less than 50% during state S0, and the duty cycle of the pulsating transmitted power signal during state S1 is greater than Or less than 50%.

在數個實施例中,y MHz RF產生器所輸送之功率的狀態S1佔據時間係少於y MHz RF產生器所輸送之功率的狀態S0佔據時間。在此些實施例中,經輸送的功率在狀態S0與S1每一者期間的工作週期皆為50%。In several embodiments, the state S1 of the power delivered by the y MHz RF generator takes up less than the state S0 of the power delivered by the y MHz RF generator. In such embodiments, the delivered power is 50% during each of the states S0 and S1.

在各種實施例中,y MHz RF產生器所輸送之功率的狀態S1佔據時間係少於或多於y MHz RF產生器所輸送之功率的狀態S0佔據時間。在此些實施例中,經輸送的功率在狀態S1期間的工作週期係大於或小於50%且經輸送的功率在狀態S0期間的工作週期係等於50%。In various embodiments, the state S1 of the power delivered by the y MHz RF generator occupies a state in which the time is less than or more than the power delivered by the y MHz RF generator. In such embodiments, the duty cycle of the delivered power during state S1 is greater than or less than 50% and the duty cycle of the delivered power during state S0 is equal to 50%.

在某些實施例中,y MHz RF產生器所輸送之功率的狀態S1佔據時間係少於或多於y MHz RF產生器所輸送之功率的狀態S0佔據時間。在此些實施例中,經輸送的功率在狀態S1期間的工作週期係等於50%且經輸送的功率在狀態S0期間的工作週期係大於或小於50%。In some embodiments, the state S1 of the power delivered by the y MHz RF generator occupies a state in which the time is less than or more than the power delivered by the y MHz RF generator. In such embodiments, the duty cycle of the delivered power during state S1 is equal to 50% and the duty cycle of the delivered power during state S0 is greater than or less than 50%.

在各種實施例中,y MHz RF產生器所輸送之功率的狀態S1佔據時間係少於或多於y MHz RF產生器所輸送之功率的狀態S0佔據時間。在此些實施例中,經輸送的功率在狀態S1期間的工作週期係大於或小於50%且經輸送的功率在狀態S0期間的工作週期係大於或小於50%。In various embodiments, the state S1 of the power delivered by the y MHz RF generator occupies a state in which the time is less than or more than the power delivered by the y MHz RF generator. In such embodiments, the duty cycle of the delivered power during state S1 is greater than or less than 50% and the duty cycle of the delivered power during state S0 is greater than or less than 50%.

在某些實施例中,TTL訊號所具有之頻率係等於脈動訊號 822所具有的頻率。TTL訊號係由產生TTL5訊號的裝置所產生。例如,DSPx自TTL1訊號及調變訊號產生TTL訊號。調變訊號調變TTL1訊號以產生TTL 訊號。In some embodiments, the TTL signal has a frequency equal to the frequency of the pulsation signal 822. The TTL signal is generated by a device that generates a TTL5 signal. For example, DSPx generates TTL signals from TTL1 signals and modulation signals. The modulation signal modulates the TTL1 signal to generate a TTL signal.

圖9A顯示系統900之一實施例,系統900係用以例示在y MHz RF產生器中使用四個次狀態 S0a、S0b、S1a與S1b。系統900包含電漿室304、x MHz RF產生器、y MHz RF產生器及工具UI系統306。工具UI系統306的時脈源產生時脈訊號TTL1、並藉由纜線313將時脈訊號TTL1提供予DSPx及DSPy。Figure 9A shows an embodiment of a system 900 for illustrating the use of four secondary states S0a, S0b, S1a, and S1b in a y MHz RF generator. System 900 includes a plasma chamber 304, an x MHz RF generator, a y MHz RF generator, and a tool UI system 306. The clock source of the tool UI system 306 generates the clock signal TTL1 and provides the clock signal TTL1 to the DSPx and DSPy via the cable 313.

在狀態S1b期間。DSPx自TTL1訊號產生TTL5訊號。在各種實施例中,RF訊號802(圖8A與8B)的頻率係與TTL5訊號的頻率相同。在某些實施例中,RF訊號802所具有的頻率係與TTL4訊號的頻率相同。During state S1b. DSPx generates TTL5 signals from TTL1 signals. In various embodiments, the frequency of the RF signal 802 (Figs. 8A and 8B) is the same as the frequency of the TTL5 signal. In some embodiments, the RF signal 802 has a frequency that is the same as the frequency of the TTL4 signal.

又,在狀態S1b期間,DSPx將TTL5訊號提供予DSPy。DSPy將收到的TTL5 訊號與TTL1訊號提供予y MHz RF產生器的功率控制器PWRS1by及y MHz RF產生器的調整器AFTS1by。例如,在狀態S1b期間,DSPy將具有狀態S1b的部分TTL5訊號及具有狀態S1的TTL1訊號提供予功率控制器PWRS1by及調整器AFTS1by。功率控制器PWRS1by在接收到TTL5訊號與TTL1訊號後決定或辨識功率位準。例如,功率控制器PWRS1by自功率控制器PWRS1by之記憶體裝置辨識一功率位準,此功率位準係映射至訊號TTL5的狀態S1b以及時脈訊號TTL1的狀態S1。功率控制器PWRS1by將此功率位準提供予RF電源324。Also, during state S1b, DSPx provides a TTL5 signal to DSPy. DSPy provides the received TTL5 signal and TTL1 signal to the power controller PWRS1by of the y MHz RF generator and the regulator AFTS1by of the y MHz RF generator. For example, during state S1b, DSPy provides a partial TTL5 signal with state S1b and a TTL1 signal with state S1 to power controller PWRS1by and regulator AFTS1by. The power controller PWRS1by determines or recognizes the power level after receiving the TTL5 signal and the TTL1 signal. For example, the power controller PWRS1by recognizes a power level from the memory device of the power controller PWRS1by, and the power level is mapped to the state S1b of the signal TTL5 and the state S1 of the clock signal TTL1. The power controller PWRS1by provides this power level to the RF power source 324.

又,在TTL5訊號的狀態S1b與TTL1訊號的狀態S1期間,調整器AFTS1by在接收到TTL5訊號後決定或辨識一頻率位準。例如,調整器AFTS1by自調整器AFTS1by的記憶體裝置辨識該頻率位準,此頻率位準係映射至TTL5訊號的狀態S1b與TTL1訊號的狀態S1。調整器AFTS1by將此頻率位準提供予RF電源324。Moreover, during the state S1 of the TTL5 signal and the state S1 of the TTL1 signal, the adjuster AFTS1by determines or recognizes a frequency level after receiving the TTL5 signal. For example, the adjuster AFTS1by recognizes the frequency level from the memory device of the adjuster AFTS1by, and the frequency level is mapped to the state S1b of the TTL5 signal and the state S1 of the TTL1 signal. The adjuster AFTS1by provides this frequency level to the RF power source 324.

在接收到對應至TTL5訊號的狀態S1b與TTL1訊號的狀態S1之該功率位準與該頻率位準後,RF電源324針對狀態S1b產生具有該功率位準與該頻率位準的RF訊號。在TTL5訊號的狀態S1b與TTL1訊號的狀態S1期間所產生的RF訊號係藉由RF纜線310供給至阻抗匹配電路302。After receiving the power level of the state S1 corresponding to the TTL5 signal and the state S1 of the TTL1 signal and the frequency level, the RF power source 324 generates an RF signal having the power level and the frequency level for the state S1b. The RF signal generated during the state S1b of the TTL5 signal and the state S1 of the TTL1 signal is supplied to the impedance matching circuit 302 via the RF cable 310.

應注意,在某些實施例中在TTL5訊號的狀態S1b與TTL1訊號的狀態S1期間的該功率位準及/或該頻率位準係用以精細控制製程率,如在晶圓318上沉積材料的沉積率、或蝕刻晶圓318或蝕刻晶圓318上之材料的蝕刻率、或濺射晶圓318或濺射沉積在晶圓318上之材料的濺射率、或清理晶圓318或清理晶圓318上之材料的清理率等。It should be noted that in some embodiments the power level and/or the frequency level during the state S1 of the TTL5 signal and the state S1 of the TTL1 signal are used to fine control the process rate, such as depositing material on the wafer 318. The deposition rate, or the etch rate of the material on the etched wafer 318 or etched wafer 318, or the sputtering rate of the sputtered wafer 318 or material sputter deposited on the wafer 318, or the wafer 318 or cleaned The cleaning rate of the material on the wafer 318, and the like.

又,在狀態S1期間,DSPx自工具UI系統306接收TTL1訊號、並將TTL1訊號提供予功率控制器PWRS1x。x MHz RF產生器的剩餘操作係類似於上面參考用以產生RF訊號之圖5A的說明。Also, during state S1, DSPx receives the TTL1 signal from tool UI system 306 and provides the TTL1 signal to power controller PWRS1x. The remainder of the operation of the x MHz RF generator is similar to that described above with respect to Figure 5A for generating RF signals.

在x MHz RF產生器的狀態S1與y MHz RF產生器的狀態S1b期間,阻抗匹配電路302藉由RF纜線308與310自x與y MHz RF產生器接收RF訊號,然後匹配負載與源的阻抗以產生經修改的RF訊號。經修改的RF訊號係藉由RF傳輸線312提供予夾頭314。在某些實施例中,在狀態S1b期間所產生之經修改的RF訊號能控制製程率,如在晶圓318上沉積材料的沉積率、或蝕刻晶圓318或蝕刻晶圓318上之材料的蝕刻率、或濺射晶圓318或濺射沉積在晶圓318上之材料的濺射率、或清理晶圓318或清理晶圓318上之材料的清理率等。During state S1 of the x MHz RF generator and state S1b of the y MHz RF generator, impedance matching circuit 302 receives RF signals from the x and y MHz RF generators via RF cables 308 and 310, and then matches the load and source. Impedance to produce a modified RF signal. The modified RF signal is provided to the chuck 314 by the RF transmission line 312. In some embodiments, the modified RF signal generated during state S1b can control the process rate, such as the deposition rate of material deposited on wafer 318, or the etched wafer 318 or the material on etched wafer 318. The etch rate, or the sputtering rate of the sputtered wafer 318 or the material sputter deposited on the wafer 318, or the cleaning rate of the material on the wafer 318 or the cleaned wafer 318, and the like.

又,在TTL5訊號的狀態S1a及TTL1訊號的狀態S1期間,DSPy將接收到的TTL5 訊號與TTL1訊號提供予y MHz RF產生器的功率控制器PWRS1ay及y MHz RF產生器的調整器AFTS1ay。例如,在TTL5訊號的狀態S1a及TTL1訊號的狀態S1期間,DSPy將具有狀態S1a的一部分TTL5訊號及具有狀態S1的TTL1訊號提供予功率控制器PWRS1ay及調整器AFTS1ay。功率控制器PWRS1ay在接收到TTL5訊號與TTL1訊號後決定或辨識一功率位準。例如,功率控制器PWRS1ay自功率控制器PWRS1ay的記憶體裝置辨識該功率位準,該功率位準係映射至TTL5訊號的狀態S1a與時脈訊號TTL1的狀態S1。功率控制器PWRS1ay將該功率位準提供予RF電源324。Moreover, during the state S1 of the TTL5 signal and the state S1 of the TTL1 signal, the DSPy supplies the received TTL5 signal and the TTL1 signal to the power controller PWRS1ay of the y MHz RF generator and the adjuster AFTS1ay of the y MHz RF generator. For example, during the state S1 of the TTL5 signal and the state S1 of the TTL1 signal, the DSPy supplies a part of the TTL5 signal having the state S1a and the TTL1 signal having the state S1 to the power controller PWRS1ay and the adjuster AFTS1ay. The power controller PWRS1ay determines or recognizes a power level after receiving the TTL5 signal and the TTL1 signal. For example, the power controller PWRS1ay recognizes the power level from the memory device of the power controller PWRS1ay, and the power level is mapped to the state S1a of the TTL5 signal and the state S1 of the clock signal TTL1. The power controller PWRS1ay provides this power level to the RF power source 324.

又,在TTL5訊號的狀態S1a與時脈訊號TTL1的狀態S1期間,調整器AFTS1ay在接收到TTL5訊號後決定或辨識一頻率位準。例如,調整器AFTS1ay自調整器AFTS1ay的記憶體裝置辨識該頻率位準,該頻率位準係映射至TTL5訊號的狀態S1a與時脈訊號TTL1的狀態S1。調整器AFTS1ay將該頻率位準提供予RF電源324。Moreover, during the state S1 of the TTL5 signal and the state S1 of the clock signal TTL1, the adjuster AFTS1ay determines or recognizes a frequency level after receiving the TTL5 signal. For example, the adjuster AFTS1ay recognizes the frequency level from the memory device of the adjuster AFTS1ay, and the frequency level is mapped to the state S1a of the TTL5 signal and the state S1 of the clock signal TTL1. The adjuster AFTS1ay provides this frequency level to the RF power source 324.

在接收到對應至TTL5訊號的狀態S1a與時脈訊號TTL1的狀態S1的該功率位準與該頻率位準後,RF電源324針對狀態S1a產生具有該功率位準與該頻率位準的RF訊號。在TTL5訊號的狀態S1a與時脈訊號TTL1的狀態S1期間所產生的RF訊號係藉由RF纜線310而供給至阻抗匹配電路302。After receiving the power level corresponding to the state S1a of the TTL5 signal and the state S1 of the clock signal TTL1 and the frequency level, the RF power source 324 generates an RF signal having the power level and the frequency level for the state S1a. . The RF signal generated during the state S1a of the TTL5 signal and the state S1 of the clock signal TTL1 is supplied to the impedance matching circuit 302 by the RF cable 310.

應注意,在某些實施例中在TTL5訊號的狀態S1a與時脈訊號TTL1的狀態S1期間的功率位準及/或頻率位準係用以精細控制與晶圓318相關的製程率,如在晶圓318上沉積材料的沉積率、或蝕刻晶圓318或蝕刻晶圓318上之材料的蝕刻率、或濺射晶圓318或濺射沉積在晶圓318上之材料的濺射率、或清理晶圓318或清理晶圓318上之材料的清理率等。It should be noted that in some embodiments the power level and/or frequency level during the state S1a of the TTL5 signal and the state S1 of the clock signal TTL1 is used to fine control the process rate associated with the wafer 318, as in The deposition rate of the deposited material on wafer 318, or the etch rate of the material on etched wafer 318 or etched wafer 318, or the sputtering rate of sputtered wafer 318 or material sputter deposited on wafer 318, or The cleaning rate of the material on the wafer 318 or the cleaning wafer 318 is cleaned.

又,x MHz RF產生器在狀態S1期間的操作已於上面說明。Again, the operation of the x MHz RF generator during state S1 has been described above.

在x MHz RF產生器的狀態S1與y MHz RF產生器的狀態S1a期間,阻抗匹配電路302藉由RF纜線308與310自x與y MHz RF產生器接收RF訊號,然後匹配負載與源的阻抗以產生經修改的RF訊號。經修改的RF訊號係藉由RF傳輸線312提供予夾頭314。在某些實施例中,在狀態S1b期間所產生之經修改的RF訊號能控制製程率,如在晶圓318上沉積材料的沉積率、或蝕刻晶圓318或蝕刻沉積在晶圓318上之材料的蝕刻率、或濺射晶圓318或濺射沉積在晶圓318上之材料的濺射率、或清理晶圓318或清理晶圓318上之材料的清理率等。During the state S1 of the x MHz RF generator and the state S1a of the y MHz RF generator, the impedance matching circuit 302 receives RF signals from the x and y MHz RF generators via RF cables 308 and 310, and then matches the load and source. Impedance to produce a modified RF signal. The modified RF signal is provided to the chuck 314 by the RF transmission line 312. In some embodiments, the modified RF signal generated during state S1b can control the process rate, such as the deposition rate of deposited material on wafer 318, or etch wafer 318 or etch deposited on wafer 318. The etch rate of the material, or the sputtering rate of the sputtered wafer 318 or material sputter deposited on the wafer 318, or the cleaning rate of the material on the wafer 318 or the cleaned wafer 318, and the like.

又,y MHz RF產生器在狀態S0a與S0b期間的操作以及x MHz RF產生器在狀態S0期間的操作係類似於參考圖5A所述之內容。Again, the operation of the y MHz RF generator during states S0a and S0b and the operation of the x MHz RF generator during state S0 are similar to those described with reference to Figure 5A.

圖9B顯示系統910的一實施例,在系統910中係由DSPx產生時脈訊號TTL1,而非工具UI系統306(圖7A)。系統910包含工具UI系統307。DSPx包含能產生時脈訊號TTL1的時脈源。DSPx自時脈訊號TTL1產生數位脈動訊號TTL5,藉由纜線將數位脈動訊號TTL5提供予y MHz RF產生器的DSPy、並藉由纜線將TTL1訊號提供予DSPy。系統910的剩餘操作係類似於圖9A的系統900。9B shows an embodiment of a system 910 in which a clock signal TTL1 is generated by DSPx instead of the tool UI system 306 (FIG. 7A). System 910 includes a tool UI system 307. DSPx contains a clock source that generates the clock signal TTL1. The DSPx generates a digital pulse signal TTL5 from the clock signal TTL1, and the digital pulse signal TTL5 is supplied to the DSPy of the y MHz RF generator by a cable, and the TTL1 signal is supplied to the DSPy through the cable. The remaining operation of system 910 is similar to system 900 of Figure 9A.

在某些實施例中,y MHz RF產生器所供給之RF訊號在狀態S1a、S1b、S0a與S0b期間的功率的頻率係與訊號802(圖8A)的頻率相同。In some embodiments, the frequency of the power supplied by the y MHz RF generator during states S1a, S1b, S0a, and S0b is the same as the frequency of signal 802 (FIG. 8A).

圖10A顯示圖1000的一實施例,圖1000係用以例示x與y MHz RF產生器兩者的多個次狀態。圖1000繪示經輸送的功率對時間的關係。x與y MHz RF產生器所輸送的功率係顯示於圖1000中。當x MHz RF產生器在TTL1訊號的狀態S1期間自狀態S1bx轉換至狀態S1ax時,y MHz產生器自狀態S1by轉換至狀態S1ay。又,當x MHz RF產生器在TTL1訊號的狀態S1期間自狀態S1ax轉換至狀態S1bx時,y MHz產生器自狀態S1ay轉換至狀態S1by。又,當x MHz RF產生器在TTL1訊號的狀態S1期間處於狀態S1ax時,y MHz RF產生器係處於狀態S1ay。又,當x MHz RF產生器在TTL1訊號的狀態S1期間處於狀態S1bx時,y MHz RF產生器係處於狀態S1by。FIG. 10A shows an embodiment of FIG. 1000, which is used to illustrate multiple secondary states of both x and y MHz RF generators. Diagram 1000 depicts the delivered power versus time. The power delivered by the x and y MHz RF generators is shown in Figure 1000. When the x MHz RF generator transitions from state S1bx to state S1ax during state S1 of the TTL1 signal, the y MHz generator transitions from state S1by to state S1ay. Also, when the x MHz RF generator transitions from state S1ax to state S1bx during state S1 of the TTL1 signal, the y MHz generator transitions from state S1ay to state S1by. Also, when the x MHz RF generator is in state S1ax during state S1 of the TTL1 signal, the y MHz RF generator is in state S1ay. Again, when the x MHz RF generator is in state S1bx during state S1 of the TTL1 signal, the y MHz RF generator is in state S1by.

當x MHz RF產生器在TTL1訊號的狀態S0期間自狀態S0bx轉換至狀態S0ax時,y MHz產生器自狀態S0by轉換至狀態S0ay。又,當x MHz RF產生器在TTL1訊號的狀態S0期間自狀態S0ax轉換至狀態S0bx時,y MHz產生器自狀態S0ay轉換至狀態S0by。又,當x MHz RF產生器在TTL1訊號的狀態S0期間處於狀態S0ax時,y MHz RF產生器係處於狀態S0ay。又,當x MHz RF產生器在TTL1訊號的狀態S0的期間處於狀態S0bx時,y MHz RF產生器係處於狀態S0by。When the x MHz RF generator transitions from state S0bx to state S0ax during state S0 of the TTL1 signal, the y MHz generator transitions from state S0by to state S0ay. Also, when the x MHz RF generator transitions from state S0ax to state S0bx during state S0 of the TTL1 signal, the y MHz generator transitions from state S0ay to state S0by. Also, when the x MHz RF generator is in state S0ax during state S0 of the TTL1 signal, the y MHz RF generator is in state S0ay. Also, when the x MHz RF generator is in state S0bx during state S0 of the TTL1 signal, the y MHz RF generator is in state S0by.

應注意,y MHz RF產生器在狀態S1ay期間所輸送之訊號1002之功率之經輸送的功率位準係大於狀態S1by期間的。又,x MHz RF產生器在狀態S1ax期間所輸送之訊號1004之功率之經輸送的功率位準係大於狀態S1bx期間的。It should be noted that the power level delivered by the power of the signal 1002 delivered by the y MHz RF generator during state S1ay is greater than during the state S1by. Again, the transmitted power level of the power of the signal 1004 delivered by the x MHz RF generator during state S1ax is greater than during the state S1bx.

又,應注意,y MHz RF產生器在狀態S0ay期間所輸送之訊號1002之功率之經輸送的功率位準係大於狀態S0by期間的。又,x MHz RF產生器在狀態S0ax期間所輸送之訊號1004之功率之經輸送的功率位準係大於狀態S0bx期間的。Again, it should be noted that the power level delivered by the power of the signal 1002 delivered by the y MHz RF generator during state S0ay is greater than during the state S0by. Again, the transmitted power level of the power of the signal 1004 delivered by the x MHz RF generator during state S0ax is greater than during the state S0bx.

在某些實施例中,y MHz RF產生器在狀態S0by期間所輸送之訊號1002之功率之經輸送的功率位準係小於x MHz RF產生器在狀態S0bx期間所輸送之訊號1004之功率之經輸送的功率位準。In some embodiments, the transmitted power level of the power of the signal 1002 delivered by the y MHz RF generator during state S0by is less than the power of the signal 1004 delivered by the x MHz RF generator during state S0bx. The level of power delivered.

在數個實施例中,y MHz RF產生器在狀態S1by期間所輸送之訊號1002之功率之經輸送的功率位準係小於x MHz RF產生器在狀態S1bx期間所輸送之訊號1004之功率之經輸送的功率位準。In several embodiments, the transmitted power level of the power of the signal 1002 delivered by the y MHz RF generator during state S1by is less than the power of the signal 1004 transmitted by the RF generator during state S1bx during the state S1bx. The level of power delivered.

圖10B顯示圖1010的一實施例,圖1010係用以例示x與y MHz RF產生器兩者的多個次狀態。圖1010繪示經輸送的功率對時間的關係。x與y MHz RF產生器所輸送之功率係顯示於圖1010中。當x MHz RF產生器在TTL1訊號的狀態S1期間自狀態S1bx轉換至狀態S1ax時,y MHz產生器自狀態S1ay轉換至狀態S1by。又,當x MHz RF產生器在TTL1訊號的狀態S1期間自狀態S1ax轉換至狀態S1bx時,y MHz產生器自狀態S1by轉換至狀態S1ay。又,當x MHz RF產生器在TTL1訊號的狀態S1期間處於狀態S1ax時,y MHz RF產生器係處於狀態S1by。又,當x MHz RF產生器在TTL1訊號的狀態S1期間處於狀態S1bx時,y MHz RF產生器係處於狀態S1ay。FIG. 10B shows an embodiment of FIG. 1010, which is used to illustrate multiple secondary states of both x and y MHz RF generators. Figure 1010 illustrates the delivered power versus time. The power delivered by the x and y MHz RF generators is shown in Figure 1010. When the x MHz RF generator transitions from state S1bx to state S1ax during state S1 of the TTL1 signal, the y MHz generator transitions from state S1ay to state S1by. Also, when the x MHz RF generator transitions from state S1ax to state S1bx during state S1 of the TTL1 signal, the y MHz generator transitions from state S1by to state S1ay. Also, when the x MHz RF generator is in state S1ax during state S1 of the TTL1 signal, the y MHz RF generator is in state S1by. Also, when the x MHz RF generator is in state S1bx during state S1 of the TTL1 signal, the y MHz RF generator is in state S1ay.

當x MHz RF產生器在TTL1訊號的狀態S0期間自狀態S0bx轉換至狀態S0ax時,y MHz產生器自狀態S0ay轉換至狀態S0by。又,當x MHz RF產生器在TTL1訊號的狀態S0期間自狀態S0ax轉換至狀態S0bx時,y MHz產生器 自狀態S0by轉換至狀態S0ay。又,當x MHz RF產生器在TTL1訊號的狀態S0期間處於狀態S0ax時,y MHz RF產生器係處於狀態S0by。又,當x MHz RF產生器在TTL1訊號的狀態S0期間處於狀態S0bx時,y MHz RF產生器係處於狀態S0ay。When the x MHz RF generator transitions from state S0bx to state S0ax during state S0 of the TTL1 signal, the y MHz generator transitions from state S0ay to state S0by. Also, when the x MHz RF generator transitions from state S0ax to state S0bx during state S0 of the TTL1 signal, the y MHz generator transitions from state S0by to state S0ay. Also, when the x MHz RF generator is in state S0ax during state S0 of the TTL1 signal, the y MHz RF generator is in state S0by. Also, when the x MHz RF generator is in state S0bx during state S0 of the TTL1 signal, the y MHz RF generator is in state S0ay.

應注意,y MHz RF產生器在狀態S1ay期間所產生之經輸送的功率訊號1012之經輸送的功率位準係大於狀態S1by期間的。又,x MHz RF產生器在狀態S1ax期間所產生之經輸送的功率訊號1014之經輸送的功率位準係大於狀態S1bx期間的。It should be noted that the transmitted power level of the transmitted power signal 1012 generated by the y MHz RF generator during state S1ay is greater than during the state S1by. Again, the transmitted power level of the transmitted power signal 1014 generated by the x MHz RF generator during state S1ax is greater than during the period S1bx.

又,應注意,y MHz RF產生器在狀態S0ay期間所產生之經輸送的功率訊號1012之經輸送的功率位準係大於狀態S0by期間的。又,x MHz RF產生器在狀態S0ax期間所產生之經輸送的功率訊號1014之經輸送的功率位準係大於狀態S0bx期間的。Again, it should be noted that the transmitted power level of the transmitted power signal 1012 generated by the y MHz RF generator during state S0ay is greater than during the state S0by. Again, the transmitted power level of the transmitted power signal 1014 generated by the x MHz RF generator during state S0ax is greater than during the state S0bx.

在某些實施例中,y MHz RF產生器在狀態S0by期間所產生之經輸送的功率訊號1012之經輸送的功率位準係小於x MHz RF產生器在狀態S0bx期間所產生之經輸送的功率訊號1014之經輸送的功率位準。In some embodiments, the transmitted power level of the transmitted power signal 1012 generated by the y MHz RF generator during state S0by is less than the delivered power generated by the x MHz RF generator during state S0bx. The transmitted power level of signal 1014.

在數個實施例中,y MHz RF產生器在狀態S1by期間所產生之經輸送的功率訊號1012之經輸送的功率位準係小於x MHz RF產生器在狀態S1bx期間所產生之經輸送的功率訊號1014之經輸送的功率位準。In several embodiments, the transmitted power level of the transmitted power signal 1012 generated by the y MHz RF generator during state S1by is less than the delivered power generated by the x MHz RF generator during state S1bx. The transmitted power level of signal 1014.

圖11A顯示系統1100的一實施例,系統1100係用以例示在x與y MHz RF產生器兩者中同時使用次脈動。工具UI系統306包含能產生TTL1訊號的時脈源並藉由對應的纜線將TTL1訊號提供予DSPx及DSPy。DSPx在接收到時脈訊號TTL1時產生TTL5訊號並將時脈訊號提供予DSPy。x MHz RF產生器的剩餘操作係類似於參考圖7A所述的內容。又,y MHz RF產生器的剩餘操作係類似於參考圖9A所述的內容。11A shows an embodiment of a system 1100 for illustrating simultaneous use of secondary pulsations in both x and y MHz RF generators. The tool UI system 306 includes a clock source capable of generating a TTL1 signal and provides a TTL1 signal to DSPx and DSPy via a corresponding cable. The DSPx generates a TTL5 signal and provides a clock signal to the DSPy when receiving the clock signal TTL1. The remaining operation of the x MHz RF generator is similar to that described with reference to Figure 7A. Again, the remaining operation of the y MHz RF generator is similar to that described with reference to Figure 9A.

圖11B顯示系統1110的一實施例,系統1110係用以例示當x MHz RF產生器作為主產生器時,在x與y MHz RF產生器兩者中同時使用次脈動。DSPx 產生TTL1與TTL5 訊號,並藉由對應的纜線將訊號TTL1及TTL5兩者提供予DSPy。x MHz RF產生器的剩餘操作係類似於圖7B的對應敘述。又,y MHz RF產生器的剩餘操作係類似於圖9B的對應敘述。11B shows an embodiment of a system 1110 that is used to illustrate the simultaneous use of secondary ripple in both x and y MHz RF generators when the x MHz RF generator is acting as the primary generator. DSPx generates TTL1 and TTL5 signals and provides both signals TTL1 and TTL5 to DSPy via corresponding cables. The remaining operation of the x MHz RF generator is similar to the corresponding description of Figure 7B. Again, the remaining operation of the y MHz RF generator is similar to the corresponding description of Figure 9B.

圖12顯示系統1200的一實施例,系統1200係用以例示在x MHz RF產生器或y MHz RF產生器中使用開關1202選擇四個次狀態 S1a、S1b、S0a與S0b中的一者。開關1202的一實例包含一多工器。在某些實施例中開關1202係以DSP(如DSPx或DSPy)內的電腦程式或硬體實施之。開關1202係連接至DSP。例如,當開關1202係位於x MHz RF產生器內時,開關1202係連接至DSPx,當開關1202係位於y MHz RF產生器內時,開關1202係連接至DSPy。12 shows an embodiment of a system 1200 for illustrating the selection of one of four sub-states S1a, S1b, S0a, and S0b using switch 1202 in an x MHz RF generator or a y MHz RF generator. An example of switch 1202 includes a multiplexer. In some embodiments switch 1202 is implemented as a computer program or hardware within a DSP (such as DSPx or DSPy). Switch 1202 is coupled to the DSP. For example, when switch 1202 is within the x MHz RF generator, switch 1202 is coupled to DSPx, and when switch 1202 is within the y MHz RF generator, switch 1202 is coupled to DSPy.

當TTL 訊號(如數位脈動訊號TTL3、數位脈動訊號TTL5等)的一狀態為S0a時,DSP產生位元"00",當TTL 訊號的一狀態為S0b時,DSP產生位元"01",當TTL 訊號的一狀態為S1a時,DSP產生位元"10",當TTL 訊號的一狀態為S1b時,DSP產生位元"11"。TTL訊號係由DSP所產生或由DSP所接收。例如,DSPx產生數位脈動訊號TTL3或TTL訊號TTL5,而DSPy接收數位脈動訊號TTL3或數位脈動訊號TTL5。When a state of the TTL signal (such as the digital pulse signal TTL3, the digital pulse signal TTL5, etc.) is S0a, the DSP generates the bit "00". When a state of the TTL signal is S0b, the DSP generates the bit "01". When a state of the TTL signal is S1a, the DSP generates the bit "10". When a state of the TTL signal is S1b, the DSP generates the bit "11". The TTL signal is generated by the DSP or received by the DSP. For example, DSPx generates a digital pulse signal TTL3 or a TTL signal TTL5, and DSPy receives a digital pulse signal TTL3 or a digital pulse signal TTL5.

當RF產生器的開關1202接收位元"00"時,開關1202發送訊號至RF產生器的參數控制器PRS0a,如功率控制器、自動頻率調整器等。在自開關1202接收到指示位元"00"的訊號後,參數控制器PRS0a自位元"00"與參數位準之間的映射辨識一參數位準,如頻率位準、功率位準等。When the switch 1202 of the RF generator receives the bit "00", the switch 1202 sends a signal to the parameter controller PRS0a of the RF generator, such as a power controller, an automatic frequency adjuster, and the like. After receiving the signal indicating the bit "00" from the switch 1202, the parameter controller PRS0a recognizes a parameter level, such as a frequency level, a power level, etc., from the mapping between the bit "00" and the parameter level.

類似地,當RF產生器的開關1202接收位元"01"時,開關1202發送訊號至RF產生器的參數控制器PRS0b。在自開關1202接收到指示位元"01"的訊號後,參數控制器PRS0b自位元"01"與參數位準之間的映射辨識一參數位準。Similarly, when the switch 1202 of the RF generator receives the bit "01", the switch 1202 sends a signal to the parameter controller PRS0b of the RF generator. After receiving the signal indicating the bit "01" from the switch 1202, the parameter controller PRS0b recognizes a parameter level from the mapping between the bit "01" and the parameter level.

又,當RF產生器的開關1202接收位元"10"時,開關1202發送訊號至RF產生器的參數控制器PRS1a。在自開關1202接收到指示位元"10"的訊號後,參數控制器PRS1a自位元"10"與參數位準之間的映射辨識一參數位準。Also, when the switch 1202 of the RF generator receives the bit "10", the switch 1202 sends a signal to the parameter controller PRS1a of the RF generator. After receiving the signal indicating the bit "10" from the switch 1202, the parameter controller PRS1a recognizes a parameter level from the mapping between the bit "10" and the parameter level.

又,當RF產生器的開關1202接收位元"11"時,開關1202發送訊號至RF產生器的參數控制器PRS1b。在自開關1202接收到指示位元"11"的訊號後,參數控制器PRS1b自位元"11"與參數位準之間的映射辨識一參數位準。Also, when the switch 1202 of the RF generator receives the bit "11", the switch 1202 sends a signal to the parameter controller PRS1b of the RF generator. After receiving the signal indicating the bit "11" from the switch 1202, the parameter controller PRS1b recognizes a parameter level from the mapping between the bit "11" and the parameter level.

圖13A顯示DSP 1300的一實施例,DSP 1300係用以例示TTL3數位脈動訊號的產生。DSP 1300包含內部時脈源 1302及處理邏輯1104,如電腦程式、ASIC、PLD等。在某些實施例中,DSP 1300包含用以儲存處理器邏輯1104的記憶體裝置。FIG. 13A shows an embodiment of a DSP 1300 for illustrating the generation of a TTL3 digital pulse signal. The DSP 1300 includes an internal clock source 1302 and processing logic 1104, such as a computer program, an ASIC, a PLD, and the like. In some embodiments, DSP 1300 includes a memory device to store processor logic 1104.

TTL1訊號係由一外部時脈源(如工具UI系統306(圖3A)的時脈源、工具UI系統306外部的另一時脈源等)所產生。又,TTL2 訊號係由內部時脈源1302所產生。例如,TTL2 訊號的頻率係高於TTL1訊號的頻率。The TTL1 signal is generated by an external clock source (such as the clock source of tool UI system 306 (Fig. 3A), another clock source external to tool UI system 306, etc.). Again, the TTL2 signal is generated by internal clock source 1302. For example, the frequency of a TTL2 signal is higher than the frequency of a TTL1 signal.

處理邏輯1104接收TTL1時脈訊號與TTL2訊號,將訊號TTL1與TTL2相乘以產生TTL3訊號,將所得的TTL3訊號供給予DSP 1300所在的RF產生器,如x MHz RF產生器、y MHz RF產生器等的參數控制器或另一RF產生器如y MHz RF產生器、x MHz RF產生器等的參數控制器。The processing logic 1104 receives the TTL1 clock signal and the TTL2 signal, multiplies the signal TTL1 by TTL2 to generate a TTL3 signal, and supplies the obtained TTL3 signal to the RF generator where the DSP 1300 is located, such as an x MHz RF generator, y MHz RF generation. A parameter controller such as a device or another RF generator such as a y MHz RF generator, a parameter controller such as an x MHz RF generator.

在各種實施例中,DSP 1300包含能基於TTL1訊號之狀態而在TTL1訊號與TTL2 訊號之間選擇的開關。例如,當TTL1訊號係處於狀態S0時,開關選擇TTL1訊號以提供DSP 1300所在之RF產生器的參數控制器或提供另一RF產生器的參數控制器。又,在此實例中,當TTL1訊號處於狀態S1,開關選擇TTL2 訊號以提供DSP 1300所在之RF產生器的參數控制器或提供另一RF產生器的參數控制器。在此實例中,在TTL1訊號的狀態S1期間選擇具有狀態S1a與S1b 的一部分TTL2 訊號。In various embodiments, DSP 1300 includes a switch that can select between a TTL1 signal and a TTL2 signal based on the state of the TTL1 signal. For example, when the TTL1 signal is in state S0, the switch selects the TTL1 signal to provide a parameter controller for the RF generator in which the DSP 1300 is located or a parameter controller that provides another RF generator. Also, in this example, when the TTL1 signal is in state S1, the switch selects the TTL2 signal to provide a parameter controller for the RF generator in which the DSP 1300 is located or a parameter controller that provides another RF generator. In this example, a portion of the TTL2 signal having states S1a and S1b is selected during state S1 of the TTL1 signal.

圖13B為用以產生TTL5訊號之DSP 1320的一實施例。DSP 1320包含內部時脈源1302、反相器1322、另一內部時脈源1324、處理邏輯1326及加法器1328。FIG. 13B is an embodiment of a DSP 1320 for generating a TTL5 signal. The DSP 1320 includes an internal clock source 1302, an inverter 1322, another internal clock source 1324, processing logic 1326, and an adder 1328.

在某些實施例中,加法器1328、處理邏輯1326及反相器1322係以例如使用邏輯閘等的硬體所實施。在各種實施例中,加法器1328、處理邏輯1326及反相器1322係作為一電腦程式(例如處理邏輯等)來實施而可被DSP 1320所執行。In some embodiments, adder 1328, processing logic 1326, and inverter 1322 are implemented in hardware, for example, using logic gates or the like. In various embodiments, adder 1328, processing logic 1326, and inverter 1322 are implemented as a computer program (eg, processing logic, etc.) and are executable by DSP 1320.

內部時脈源1302產生TTL4-2 訊號,如TTL2訊號等的時脈訊號。處理邏輯1326處理TTL4-2訊號及時脈訊號TTL1以產生TTL3訊號。例如,處理邏輯1326使TTL4-2訊號與時脈訊號TTL1相乘以產生TTL3數位脈動訊號。數位脈動訊號TTL3被提供予加法器1328。The internal clock source 1302 generates a TTL4-2 signal, such as a clock signal such as a TTL2 signal. Processing logic 1326 processes the TTL 4-2 signal and the time pulse signal TTL1 to generate a TTL3 signal. For example, processing logic 1326 multiplies the TTL4-2 signal by the clock signal TTL1 to generate a TTL3 digital pulse signal. The digital pulse signal TTL3 is supplied to the adder 1328.

又,反相器1322接收TTL1訊號並將TTL1訊號的邏輯位準反相。例如,TTL1訊號的邏輯位準1被反相為邏輯位準0、且TTL1訊號的邏輯位準0被反相為邏輯位準1。處理邏輯1326接收反相器1322所產生之已經反相的TTL1訊號。又,內部時脈源1324產生時脈訊號TTL4-1並將其提供予處理邏輯1326。處理邏輯1326處理時脈訊號TTL4-1與TTL1時脈訊號以產生TTL 訊號,加法器1328將TTL 訊號加至 TTL3訊號以產生TTL5訊號。In addition, the inverter 1322 receives the TTL1 signal and inverts the logic level of the TTL1 signal. For example, the logic level 1 of the TTL1 signal is inverted to logic level 0, and the logic level 0 of the TTL1 signal is inverted to logic level 1. Processing logic 1326 receives the already inverted TTL1 signal generated by inverter 1322. Again, internal clock source 1324 generates a clock signal TTL4-1 and provides it to processing logic 1326. The processing logic 1326 processes the clock signal TTL4-1 and the TTL1 clock signal to generate a TTL signal, and the adder 1328 adds the TTL signal to the TTL3 signal to generate a TTL5 signal.

應注意,在某些實施例中,每一TTL4-1訊號與TTL4-2訊號的頻率係大於TTL1訊號的頻率。在各種實施例中,TTL4-1 訊號的頻率係等於TTL4-2 訊號的頻率。It should be noted that in some embodiments, the frequency of each TTL 4-1 signal and the TTL 4-2 signal is greater than the frequency of the TTL 1 signal. In various embodiments, the frequency of the TTL4-1 signal is equal to the frequency of the TTL4-2 signal.

在某些實施例中,DSP 1320包含時脈源,此時脈源的頻率係與脈動訊號602(圖6A)或脈動訊號802(圖8A)的頻率相同。In some embodiments, the DSP 1320 includes a clock source, where the frequency of the pulse source is the same as the frequency of the pulsation signal 602 (FIG. 6A) or the pulsation signal 802 (FIG. 8A).

圖14顯示DSP 1400的一實施例,DSP 1400使用調變訊號1203來決定是否產生多個次狀態Sna與Snb或產生狀態 Sm。DSPx與DSPy中的每一者皆為DSP 1400的實例。DSP 1400接收具有狀態Sm與Sn的時脈訊號 Clk,如TTL1訊號等。在某些實施例中,狀態Sm為高邏輯位準狀態而狀態Sn為低邏輯位準狀態。高邏輯位準係高於低邏輯位準。Figure 14 shows an embodiment of a DSP 1400 that uses a modulation signal 1203 to determine whether to generate a plurality of secondary states Sna and Snb or to generate a state Sm. Each of DSPx and DSPy is an example of a DSP 1400. The DSP 1400 receives the clock signal Clk having the states Sm and Sn, such as a TTL1 signal. In some embodiments, state Sm is a high logic level state and state Sn is a low logic level state. The high logic level is higher than the low logic level.

DSP 1400亦接收具有三個邏輯位準(即高邏輯位準、中邏輯位準與低邏輯位準)的調變訊號1203。中邏輯位準係高於低邏輯位準、且高邏輯位準係高於中邏輯位準。又,中邏輯位準係藉由低位準轉換而達到,低位準轉換至中位準的時間係長於自中邏輯位準轉換至高邏輯位準的時間。The DSP 1400 also receives a modulated signal 1203 having three logic levels (i.e., a high logic level, a medium logic level, and a low logic level). The medium logic level is higher than the low logic level, and the high logic level is higher than the medium logic level. Moreover, the middle logic level is achieved by a low level conversion, and the time period from the low level to the middle level is longer than the time from the middle logic level to the high logic level.

DSP 1400判斷出調變訊號1203對於時脈訊號Clk之自狀態Sn轉換至狀態Sm的轉換慢於時脈訊號Clk之自狀態Sm轉換至狀態Sn。又,DSP 1400判斷出調變訊號1203在時脈訊號Clk的狀態Sm期間已達到中邏輯位準。DSP 1400產生時脈1訊號Clk1,如TTL3訊號等,當判斷出時脈訊號Clk之自狀態Sn轉換至狀態Sm的轉換係慢於時脈訊號Clk之自狀態Sm轉換至狀態Sn的轉換、且判斷出調變訊號1203在時脈訊號Clk的狀態Sm期間已達到中邏輯位準之時,訊號Clk1具有狀態Sm。The DSP 1400 determines that the conversion of the modulation signal 1203 from the state Sn to the state Sm for the clock signal Clk is slower than the transition from the state Sm to the state Sn of the clock signal Clk. Moreover, the DSP 1400 determines that the modulation signal 1203 has reached the middle logic level during the state Sm of the clock signal Clk. The DSP 1400 generates the clock 1 signal Clk1, such as the TTL3 signal, etc., when it is determined that the transition of the clock signal Clk from the state Sn to the state Sm is slower than the transition of the clock signal Clk from the state Sm to the state Sn, and When it is determined that the modulation signal 1203 has reached the middle logic level during the state Sm of the clock signal Clk, the signal Clk1 has the state Sm.

又,DSP 1400判斷出調變訊號1203具有時脈訊號Clk之自狀態Sm轉換至狀態Sn的轉換係快於時脈訊號Clk之自狀態Sn轉換至狀態Sm的轉換。又,DSP 1400判斷出調變訊號1203在時脈訊號Clk的狀態Sn期間已達到高邏輯位準。當判斷出時脈訊號Clk之自狀態Sm轉換至狀態Sn的轉換係快於時脈訊號Clk之自狀態Sn轉換至狀態Sm的轉換且判斷出調變訊號1203在時脈訊號Clk的狀態Sn期間已達到高邏輯位準之時,DSP 1400產生具有複數次狀態Sna與Snb的Clk1訊號。Moreover, the DSP 1400 determines that the conversion signal 1203 has a transition from the state Sm to the state Sn of the clock signal Clk, which is faster than the transition of the clock signal Clk from the state Sn to the state Sm. Moreover, the DSP 1400 determines that the modulation signal 1203 has reached a high logic level during the state Sn of the clock signal Clk. When it is determined that the transition from the state Sm to the state Sn of the clock signal Clk is faster than the transition of the clock signal Clk from the state Sn to the state Sm and it is determined that the modulation signal 1203 is in the state Sn of the clock signal Clk When the high logic level has been reached, the DSP 1400 generates a Clk1 signal having a plurality of states Sna and Snb.

更應注意,圖14的說明中使用單一時脈源如產生時脈訊號Clk的時脈源。It should be further noted that the single clock source, such as the clock source that generates the clock signal Clk, is used in the description of FIG.

在各種實施例中,文中所用的「位準」一詞包含一範圍。例如,一功率位準包含一個範圍的功率量如介於1950瓦與2050瓦之間的範圍、介於1900瓦與2100瓦之間的範圍、介於950瓦與1050瓦之間的範圍、介於900瓦與1300瓦之間的範圍等。而另一位準即頻率位準包含一個範圍的頻率如介於1.9 MHz與2.1 MHz之間的範圍、介於1.7 MHz與2.3 MHz之間的範圍、介於58 MHz與62 MHz之間的範圍、介於55 MHz與65 MHz之間的範圍、介於25 MHz與29 MHz之間的範圍、介於23 MHz與31 MHz之間的範圍。In various embodiments, the term "level" as used herein encompasses a range. For example, a power level includes a range of power levels, such as between 1950 watts and 2050 watts, between 1900 watts and 2100 watts, between 950 watts and 1050 watts, In the range between 900 watts and 1300 watts, etc. The other quasi-frequency level contains a range of frequencies such as a range between 1.9 MHz and 2.1 MHz, a range between 1.7 MHz and 2.3 MHz, and a range between 58 MHz and 62 MHz. , a range between 55 MHz and 65 MHz, a range between 25 MHz and 29 MHz, and a range between 23 MHz and 31 MHz.

又,在各種實施例中,自控制器之記憶體裝置或自調整器之記憶體裝置辨識的一位準係關於如映射、連結等至一製程率如蝕刻率、或沉積率、或濺射率等或處理晶圓318。Moreover, in various embodiments, the one-bit system identified from the memory device of the controller or the memory device of the self-regulator is related to such as mapping, bonding, etc. to a process rate such as etch rate, or deposition rate, or sputtering. Rate or process wafer 318.

更應注意,雖然上述操作係參考平行板電漿室如電容耦合式電漿室等說明,但在某些實施例中,上述操作可應用至其他類型的電漿室如包含感應耦合電漿(ICP)反應器的電漿室、包含變壓器耦合電漿(TCP)反應器的電漿室、包含介電工具的電漿室、包含電子迴旋共振(ECR)反應器之電漿室等。例如,x MHz RF產生器與y MHz RF產生器係耦合至ICP 電漿室內的電感器。It should be further noted that although the above operations are described with reference to a parallel plate plasma chamber such as a capacitively coupled plasma chamber, etc., in some embodiments, the above operations may be applied to other types of plasma chambers, such as including inductively coupled plasma ( ICP) A plasma chamber of a reactor, a plasma chamber containing a transformer coupled plasma (TCP) reactor, a plasma chamber containing a dielectric tool, a plasma chamber containing an electron cyclotron resonance (ECR) reactor, and the like. For example, an x MHz RF generator is coupled to a y MHz RF generator to an inductor within the ICP plasma chamber.

亦應注意,雖然上述操作係由DSP執行,但在某些實施例中此些操作可由工具UI系統306(圖3A)的一或多個處理器、或複數工具UI系統的複數處理器、或工具UI系統306之RF產生器的DSP與處理器的組合所執行。It should also be noted that while the above operations are performed by a DSP, in some embodiments such operations may be performed by one or more processors of the tool UI system 306 (FIG. 3A), or a plurality of processors of a plurality of tool UI systems, or The combination of the DSP and processor of the RF generator of the tool UI system 306 is performed.

應注意,雖然上述實施例係關於提供一或多個RF訊號予電漿室304之夾頭314的下電極和接地電漿室304的上電極316,但在數個實施例中,該一或多個RF訊號係提供予上電極316但下電極係接地。It should be noted that while the above embodiments are directed to providing one or more RF signals to the lower electrode of the chuck 314 of the plasma chamber 304 and the upper electrode 316 of the ground plasma chamber 304, in several embodiments, the one or A plurality of RF signals are supplied to the upper electrode 316 but the lower electrode is grounded.

文中所述之實施例可利用各種電腦系統組態實施,包含手持硬體單元、微處理器系統、微處理器系或可程式化之消費電子產品、迷你電腦、主機電腦等。此些實施例亦可在分散的計算環境中實施,在此方式下任務係藉著經由網路連結的遠端處理硬體單元進行。The embodiments described herein can be implemented using a variety of computer system configurations, including hand-held hardware units, microprocessor systems, microprocessor-based or programmable consumer electronics, mini-computers, host computers, and the like. Such embodiments can also be implemented in a decentralized computing environment where tasks are performed by remote processing hardware units connected via a network.

在某些實施例中,控制器為系統的一部分,其為上述實例的一部分。此類系統包含半導體處理設備,半導體處理設備包含處理工具或複數工具、製程室或複數製程室、處理平臺或複數平臺、及/或特定的處理元件(晶圓座臺、氣體流動系統等)。此些系統係與一些電子裝置整合,此些電子裝置係用以在半導體晶圓或基板處理之前、期間及之後控制系統的操作。此些電子裝置係稱為「控制器」,其可控制系統或複數系統的各種元件或子部件。取決於處理需求及/或系統類型,控制器被程式化以控制文中所揭露的任何處理包含輸送製程氣體、溫度設定(如加熱及/或冷卻)、壓力設定、真空設定、流率設定、流體輸送設定、位置與操作設定、晶圓傳輸進入或離開設備與連接至系統或與系統具有界面的其他傳輸設備及/或裝載互鎖機構。In some embodiments, the controller is part of a system that is part of the above examples. Such systems include semiconductor processing equipment including processing tools or complex tools, process chambers or complex processing chambers, processing platforms or complex platforms, and/or specific processing components (wafer mounts, gas flow systems, etc.). Such systems are integrated with electronic devices that control the operation of the system before, during, and after processing of the semiconductor wafer or substrate. Such electronic devices are referred to as "controllers" that can control various components or sub-components of the system or complex systems. Depending on the processing requirements and/or system type, the controller is programmed to control any of the processes disclosed herein including conveying process gases, temperature settings (eg, heating and/or cooling), pressure settings, vacuum settings, flow rate settings, fluids Delivery settings, position and operational settings, wafer transfer into or out of the device, and other transfer devices and/or load lock mechanisms that are connected to or interfaced with the system.

概括地說,在各種實施例中,控制器被定義為具有各種積體電路、邏輯、記憶體及/或軟體的電子裝置,其可接收指令、發佈指令、控制操作、致能清理操作、致能終點量測等。積體電路包含儲存了程式指令之具有韌體形式的晶片、數位訊號處理器(DSP)、被定為ASIC、PLD的晶片及/或能執行程式指令(如軟體)的一或多個微處理器或微控制器。程式指令為與控制器通訊之具有各種獨立設定(或程式檔案)形式的指令,其定義為了在半導體晶圓上或針對半導體晶圓進行特定處理或對系統進行特定處理所用的操作參數。在某些實施例中,操作參數為製程工程師為了完成一或多膜層、材料、金屬、氧化物、矽、二氧化矽、表面、電路及/或晶圓之晶粒之製造期間的一或多個製程步驟所定義之配方的一部分。In general terms, in various embodiments, a controller is defined as an electronic device having various integrated circuits, logic, memory, and/or software that can receive instructions, issue instructions, control operations, enable cleaning operations, Can measure the end point, etc. The integrated circuit includes a firmware-formatted chip, a digital signal processor (DSP), a chip defined as an ASIC, a PLD, and/or one or more microprocessors capable of executing program instructions (such as software). Or microcontroller. The program instructions are instructions in communication with the controller in the form of various independent settings (or program files) that define operational parameters for specific processing or specific processing of the system on a semiconductor wafer or for a semiconductor wafer. In some embodiments, the operating parameters are ones during which the process engineer manufactures the die of one or more layers, materials, metals, oxides, tantalum, hafnium, surfaces, circuits, and/or wafers. Part of a recipe defined by multiple process steps.

在某些實施例中控制器為整合至、耦合至、藉由網路連接至、或其組合至系統的電腦的一部分。例如,控制器係位於雲端或工廠主機電腦系統的全部或部分係位於雲端,這允許使用者遠端接取晶圓處理。電腦致能遠端接取系統以監控製造操作的目前進展、檢視過去製造操作的歷程、自複數製造操作檢視效能驅勢、改變現有處理的參數、設定處理步驟以符合現有處理、或開始一新的製程。In some embodiments the controller is part of a computer that is integrated into, coupled to, connected to, or combined with the system. For example, the controller is located in the cloud or all or part of the factory host computer system is in the cloud, which allows the user to access the wafer processing remotely. The computer enables a remote access system to monitor the current progress of manufacturing operations, review the history of past manufacturing operations, review performance drivers from multiple manufacturing operations, change existing processing parameters, set processing steps to comply with existing processing, or start a new one. Process.

在某些實施例中,遠端電腦(或伺服器)經由網路對系統提供製程配方,網路包含區域網路或網際網路。遠端電腦包含使用者介面,使用者介面讓使用者能進入或程式化參數及/或設定,然後自遠端電腦與系統通訊。在某些實例中,控制器接收數據形式的指令,指令指出在一或多個操作期間欲施行之每一製程步驟的參數。應瞭解,參數係特別針對欲施行之製程的類型及控制器用以交界或控制之設備的類型。因此如上所述,可分散控制器如藉著包含一或多個藉由網路互連並朝向共同目的如文中所述之製程及控制工作的離散控制器。為了此類目的的分散控制器包含製程室上的一或多個積體電路,其係與一或多個位於遠端(例如位於平臺位準或遠端電腦的一部分)的積體電路通訊而共同控制製程室上的製程。In some embodiments, the remote computer (or server) provides a recipe for the system via the network, the network including the regional network or the internet. The remote computer includes a user interface that allows the user to enter or program parameters and/or settings and then communicate with the system from the remote computer. In some instances, the controller receives instructions in the form of data indicating parameters of each process step to be performed during one or more operations. It should be understood that the parameters are specific to the type of process to be performed and the type of equipment that the controller uses to interface or control. Thus, as described above, the decentralized controller is such as by a discrete controller that includes one or more processes and controls that are interconnected by a network and that are oriented toward a common purpose, as described herein. A decentralized controller for such purposes includes one or more integrated circuits on the process chamber that communicate with one or more integrated circuits located at a remote end (eg, at a platform level or a portion of a remote computer) Joint control of the process on the process chamber.

不受限地,在各種實施例中,例示性的系統包含電漿蝕刻室或模組、沉積室或模組、旋轉沖洗室或模組、金屬鍍室或模組、清理室或模組、邊緣蝕刻室或模組、物理氣相沉積(PVD)室或模組、化學氣相沉積(CVD)室或模組、原子層沉積 (ALD)室或模組、原子層蝕刻(ALE)室或模組、離子植入室或模組、軌道室或模組、及和半導體晶圓之製造相關或用於製造的任何其他半導體製程系統。Without limitation, in various embodiments, an exemplary system includes a plasma etch chamber or module, a deposition chamber or module, a rotary rinsing chamber or module, a metal plating chamber or module, a cleaning chamber or module, Edge etch chambers or modules, physical vapor deposition (PVD) chambers or modules, chemical vapor deposition (CVD) chambers or modules, atomic layer deposition (ALD) chambers or modules, atomic layer etching (ALE) chambers or Modules, ion implantation chambers or modules, track chambers or modules, and any other semiconductor processing system associated with the manufacture of semiconductor wafers or for fabrication.

如上所述,取決於設備所進行的製程步驟或複數步驟,控制器可與下列的一或多者通訊交流:其他設備的電路或模組、其他設備的元件、叢集設備、其他設備的界面、相鄰設備、鄰近設備、位於工廠內的設備、主電腦、另一控制器、或半導體製造工廠中用以將晶圓容器載入與載出設備位置及/或裝載接口的材料運輸用設備。As described above, depending on the process steps or multiple steps performed by the device, the controller can communicate with one or more of the following: circuits or modules of other devices, components of other devices, cluster devices, interfaces of other devices, A material transport device used to load a wafer container into and out of a device location and/or a load interface in an adjacent device, a neighboring device, a device located in a factory, a host computer, another controller, or a semiconductor manufacturing facility.

考慮到上述實施例,應瞭解,實施例可進行涉及儲存在電腦系統中之數據的各種電腦施行操作。此些操作需要實質操控物理數量。形成實施例之一部分之所述之任何操作皆為有用的機械操作。In view of the above-described embodiments, it should be appreciated that embodiments can perform various computer operations involving data stored in a computer system. These operations require substantial manipulation of the physical quantity. Any of the operations described to form part of the embodiment are useful mechanical operations.

某些實施例亦關於執行此些操作的硬體單元或設備。可針對專門用途的電腦專門建構設備。當一電腦被定義為專門用途之電腦時,此電腦除了能夠針對專門用途運行之外,亦可進行其他處理、程式執行或其他非屬特別用途的子程式。Some embodiments are also directed to hardware units or devices that perform such operations. Equipment can be built specifically for specialized computers. When a computer is defined as a dedicated computer, the computer can be used for other purposes, other processing, program execution or other non-special purpose subprograms.

在某些實施例中,操作可由儲存在電腦記憶體、快取記憶體或自網路所獲得的一或多個電腦程式所選擇性活化或配置的電腦所執行。當數據係自電腦網路獲得時,該數據可由電腦網路上的其他電腦如電端計算資源所處理。In some embodiments, the operations may be performed by a computer that is selectively activated or configured by one or more computer programs stored in computer memory, cache memory, or from a network. When data is obtained from a computer network, the data can be processed by other computers on the computer network, such as computing resources.

可將一或多個實施例製作成非瞬變電腦可讀媒體上的電腦可讀碼。非瞬變電腦可讀媒體是可儲存數據且後續可被電腦系統讀取的任何數據儲存硬體單元如記憶體裝置等。非瞬變電腦可讀媒體的實例包含硬碟、網路附加儲存(NAS)、ROM、RAM、光碟-ROM (CD-ROM)、可錄CD (CD-R)、可重覆寫入之CD (CD-RW)、磁帶及其他光學式與非光學式數據儲存硬體單元。在某些實施例中,非瞬變電腦可讀媒體可包含分散於網路耦合電腦系統的電腦可讀實質媒體,因此電腦可讀碼係以分散方式儲存及執行。One or more embodiments can be fabricated as computer readable code on a non-transitory computer readable medium. A non-transitory computer readable medium is any data storage hardware unit such as a memory device that can store data and subsequently be readable by a computer system. Examples of non-transitory computer readable media include hard disk, network attached storage (NAS), ROM, RAM, compact disk-ROM (CD-ROM), recordable CD (CD-R), re-writable CD (CD-RW), magnetic tape and other optical and non-optical data storage hardware units. In some embodiments, the non-transitory computer readable medium can comprise a computer readable physical medium distributed over a network coupled computer system such that the computer readable code is stored and executed in a distributed fashion.

雖然以特定的順序說明前述方法操作,但應瞭解,在各種實施例中可在操作之間可進行其他閒雜操作或者可調整方法操作使其發生的時間略有不同,或者可將方法操作分配至允許方法操作以各種間隔進行或允許方法操作以不同於前述順序之順序進行的系統中。Although the foregoing method operations are described in a particular order, it should be appreciated that in various embodiments, other idle operations may be performed between operations or that the method operations may be adjusted to occur slightly differently, or that method operations may be assigned to The method operations are allowed to operate at various intervals or allow the method operations to be performed in a different order than the order described above.

更應注意在一實施例中,在不脫離本文所述之各種實施例的範圍的情況下,來自任何實施例的一或多個特徵可與任何其他實施例的一或多個特徵結合。It is further noted that in an embodiment, one or more features from any embodiment can be combined with one or more features of any other embodiment without departing from the scope of the various embodiments described herein.

雖然為了能清楚瞭解本發明的目的,已詳細說明了前面的實施例,但應明白,在隨附之申請專利範圍的範疇內可進行某些變化與修改。因此,此些實施例應被視為是說明性而非限制性的,且實施例並不限於文中所述的細節,在隨附申請範圍的範疇與等效物內可修改此些實施例。Although the foregoing embodiments have been described in detail for the purpose of the invention, the invention Therefore, the present embodiments are to be considered as illustrative and not restrictive

100‧‧‧RF產生器
200‧‧‧圖
210‧‧‧圖
202‧‧‧脈動訊號
204‧‧‧時脈訊號
212‧‧‧RF訊號
214‧‧‧RF訊號
220‧‧‧圖
222‧‧‧脈動訊號
230‧‧‧圖
232‧‧‧脈動訊號
240‧‧‧圖
242‧‧‧脈動訊號
300‧‧‧系統
302‧‧‧阻抗匹配電路
304‧‧‧電漿室
306‧‧‧工具使用者界面(UI)系統
307‧‧‧工具UI系統
308‧‧‧RF纜線
310‧‧‧RF纜線
312‧‧‧RF傳輸線
313‧‧‧纜線
314‧‧‧纜線
314‧‧‧夾頭
316‧‧‧上電極
318‧‧‧晶圓
320‧‧‧上表面
322‧‧‧RF電源
324‧‧‧RF電源
350‧‧‧系統
400‧‧‧圖
402‧‧‧功率訊號
404‧‧‧經輸送之功率訊號
410‧‧‧圖
412‧‧‧經輸送之功率訊號
420‧‧‧圖
422‧‧‧經輸送之功率訊號
430‧‧‧圖
432‧‧‧經輸送之功率訊號
440‧‧‧圖
442‧‧‧脈動訊號
500‧‧‧圖
510‧‧‧系統
600‧‧‧圖
602‧‧‧RF訊號
604‧‧‧RF訊號
610‧‧‧圖
620‧‧‧圖
622‧‧‧脈動訊號
700‧‧‧系統
710‧‧‧系統
800‧‧‧圖
802‧‧‧訊號
812‧‧‧RF訊號
820‧‧‧圖
822‧‧‧脈動訊號
900‧‧‧圖
910‧‧‧系統
1000‧‧‧圖
1002‧‧‧訊號
1004‧‧‧訊號
1010‧‧‧圖
1012‧‧‧經輸送的功率訊號
1014‧‧‧經輸送的功率訊號
1100‧‧‧系統
1104‧‧‧處理邏輯
1110‧‧‧系統
1200‧‧‧系統
1202‧‧‧開關
1300‧‧‧DSP
1302‧‧‧內部時脈源
1320‧‧‧DSP
1322‧‧‧反相器
1324‧‧‧另一內部時脈源
1326‧‧‧處理邏輯
1328‧‧‧加法器
1400‧‧‧DSP
AFTS0x‧‧‧自動頻率調整器
AFTS0ax‧‧‧自動頻率調整器
AFTS0bx‧‧‧自動頻率調整器
AFTS0y‧‧‧自動頻率調整器
AFTS0ay‧‧‧自動頻率調整器
AFTS0by‧‧‧自動頻率調整器
AFTS1x‧‧‧自動頻率調整器
AFTS1ax‧‧‧自動頻率調整器
AFTS1bx‧‧‧自動頻率調整器
AFTS1y‧‧‧自動頻率調整器
AFTS1ay‧‧‧自動頻率調整器
AFTS1by‧‧‧自動頻率調整器
Clk‧‧‧時脈訊號
Clk1‧‧‧訊號
DSPx‧‧‧數位訊號處理器
DSPy‧‧‧數位訊號處理器
PRS0a‧‧‧參數控制器
PRS1a‧‧‧參數控制器
PRS0b‧‧‧參數控制器
PRS1b‧‧‧參數控制器
PWRS0x‧‧‧功率控制器
PWRS0ax‧‧‧功率控制器
PWRS0bx‧‧‧功率控制器
PWRS0y‧‧‧功率控制器
PWRS0ay‧‧‧功率控制器
PWRS0by‧‧‧功率控制器
PWRS1x‧‧‧功率控制器
PWRS1ax‧‧‧功率控制器
PWRS1bx‧‧‧功率控制器
PWRS1y‧‧‧功率控制器
PWRS1ay‧‧‧功率控制器
PWRS1by‧‧‧功率控制器
S0‧‧‧狀態
S0a‧‧‧狀態
S0ax‧‧‧狀態
S0ay‧‧‧狀態
S0b‧‧‧狀態
S0bx‧‧‧狀態
S0by‧‧‧狀態
S1‧‧‧狀態
S1a‧‧‧狀態
S1ax‧‧‧狀態
S1ay‧‧‧狀態
S1b‧‧‧狀態
S1bx‧‧‧狀態
S1by‧‧‧狀態
Sm‧‧‧狀態
Sna‧‧‧狀態
Snb‧‧‧狀態
TTL‧‧‧訊號
TTL1‧‧‧訊號
TTL2‧‧‧訊號
TTL4‧‧‧訊號
TTL4-1‧‧‧訊號
TTL4-2‧‧‧訊號
TTL5‧‧‧訊號
100‧‧‧RF generator
200‧‧‧ Figure
210‧‧‧ Figure
202‧‧‧pulse signal
204‧‧‧clock signal
212‧‧‧RF signal
214‧‧‧RF signal
220‧‧‧图
222‧‧‧pulse signal
230‧‧‧ Figure
232‧‧‧pulse signal
240‧‧‧ Figure
242‧‧‧pulse signal
300‧‧‧ system
302‧‧‧ impedance matching circuit
304‧‧‧Plastic chamber
306‧‧‧Tool User Interface (UI) System
307‧‧‧Tool UI System
308‧‧‧RF cable
310‧‧‧RF cable
312‧‧‧RF transmission line
313‧‧‧ Cable
314‧‧‧ cable
314‧‧‧ chuck
316‧‧‧Upper electrode
318‧‧‧ wafer
320‧‧‧ upper surface
322‧‧‧RF power supply
324‧‧‧RF power supply
350‧‧‧ system
400‧‧‧ Figure
402‧‧‧Power signal
404‧‧‧ transmitted power signal
410‧‧‧ Figure
412‧‧‧ transmitted power signal
420‧‧‧ Figure
422‧‧‧ transmitted power signal
430‧‧‧ Figure
432‧‧‧ transmitted power signal
440‧‧‧ Figure
442‧‧‧pulse signal
500‧‧‧ Figure
510‧‧‧ system
600‧‧‧ Figure
602‧‧‧RF signal
604‧‧‧RF signal
610‧‧‧ Figure
620‧‧‧ Figure
622‧‧‧pulse signal
700‧‧‧ system
710‧‧‧ system
800‧‧‧ Figure
802‧‧‧ signal
812‧‧‧RF signal
820‧‧‧ Figure
822‧‧‧pulse signal
900‧‧‧ Figure
910‧‧‧System
1000‧‧‧ Figure
1002‧‧‧ Signal
1004‧‧‧ Signal
1010‧‧‧ Figure
1012‧‧‧ transmitted power signal
1014‧‧‧ transmitted power signal
1100‧‧‧ system
1104‧‧‧ Processing logic
1110‧‧‧System
1200‧‧‧ system
1202‧‧‧Switch
1300‧‧‧DSP
1302‧‧‧Internal clock source
1320‧‧‧DSP
1322‧‧‧Inverter
1324‧‧‧Another internal clock source
1326‧‧‧ Processing logic
1328‧‧‧Adder
1400‧‧‧DSP
AFTS0x‧‧‧Automatic frequency adjuster
AFTS0ax‧‧‧Automatic frequency adjuster
AFTS0bx‧‧‧Automatic frequency adjuster
AFTS0y‧‧‧Automatic frequency adjuster
AFTS0ay‧‧‧Automatic frequency adjuster
AFTS0by‧‧‧Automatic frequency adjuster
AFTS1x‧‧‧Automatic frequency adjuster
AFTS1ax‧‧‧Automatic frequency adjuster
AFTS1bx‧‧‧Automatic frequency adjuster
AFTS1y‧‧‧Automatic frequency adjuster
AFTS1ay‧‧‧Automatic frequency adjuster
AFTS1by‧‧‧Automatic frequency adjuster
Clk‧‧‧ clock signal
Clk1‧‧‧ signal
DSPx‧‧‧ digital signal processor
DSPy‧‧‧Digital Signal Processor
PRS0a‧‧‧ parameter controller
PRS1a‧‧‧ parameter controller
PRS0b‧‧‧ parameter controller
PRS1b‧‧‧ parameter controller
PWRS0x‧‧‧ power controller
PWRS0ax‧‧‧Power Controller
PWRS0bx‧‧‧Power Controller
PWRS0y‧‧‧Power Controller
PWRS0ay‧‧‧Power Controller
PWRS0by‧‧‧Power Controller
PWRS1x‧‧‧ power controller
PWRS1ax‧‧‧Power Controller
PWRS1bx‧‧‧ power controller
PWRS1y‧‧‧ power controller
PWRS1ay‧‧‧Power Controller
PWRS1by‧‧‧Power Controller
S0‧‧‧ Status
S0a‧‧‧ Status
S0ax‧‧‧ Status
S0ay‧‧‧ Status
S0b‧‧‧ Status
S0bx‧‧‧ Status
S0by‧‧‧ Status
S1‧‧‧ Status
S1a‧‧‧ Status
S1ax‧‧‧ Status
S1ay‧‧‧ Status
S1b‧‧‧ Status
S1bx‧‧‧ Status
S1by‧‧‧ Status
Sm‧‧‧ Status
Sna‧‧‧ Status
Snb‧‧ state
TTL‧‧‧ signal
TTL1‧‧‧ signal
TTL2‧‧‧ signal
TTL4‧‧‧ signal
TTL4-1‧‧‧ signal
TTL4-2‧‧‧ signal
TTL5‧‧‧ signal

參考附圖及下列說明可最佳地瞭解本案的實施例。Embodiments of the present invention are best understood by reference to the drawings and the following description.

圖1例示根據本發明所述之某些實施例之由RF產生器所產生之射頻(RF)訊號之一狀態內的次脈動。1 illustrates a secondary pulsation in one of the states of a radio frequency (RF) signal generated by an RF generator in accordance with some embodiments of the present invention.

圖2A例示根據本發明所述之某些實施例之x 百萬赫茲(MHz)RF產生器之一狀態內的次脈動。2A illustrates secondary pulsations in one state of a x megahertz (MHz) RF generator in accordance with certain embodiments of the present invention.

圖2B例示根據本發明所述之各種實施例使用,利用y MHz RF產生器所產生之脈動所達到之x MHz RF產生器所產生之次脈動。2B illustrates the secondary pulsations generated by the x MHz RF generator achieved using the pulsations generated by the y MHz RF generator, in accordance with various embodiments of the present invention.

圖2C例示根據本發明所述之數個實施例之在次脈動狀態S1b期間具有非零邏輯位準的訊號。2C illustrates signals having non-zero logic levels during the secondary pulsation state S1b in accordance with various embodiments of the present invention.

圖2D例示根據本發明所述之某些實施例,說明在次脈動狀態S1b 期間具有非零邏輯位準之訊號以及y MHz RF產生器所產生的脈動訊號的使用。2D illustrates the use of a signal having a non-zero logic level during the secondary pulsation state S1b and a pulsation signal generated by the y MHz RF generator, in accordance with certain embodiments of the present invention.

圖2E係用以例示根據本發明所述之數個實施例之在狀態S1期間具有不同於50%工作週期的一工作週期。2E is a diagram illustrating a duty cycle having a different duty cycle than 50% during state S1 in accordance with several embodiments of the present invention.

圖3A圖示根據本發明所述之各種實施例之用以控制狀態S0、S1a與S1b 期間之離子能量的系統。FIG. 3A illustrates a system for controlling ion energy during states S0, S1a, and S1b in accordance with various embodiments of the present invention.

圖3B圖示根據本發明所述之數個實施例之當x MHz RF產生器為主產生器時,用以控制狀態S0、S1a與S1b期間之離子能量的另一系統。3B illustrates another system for controlling ion energy during states S0, S1a, and S1b when the x MHz RF generator is the primary generator, in accordance with several embodiments of the present invention.

圖4A例示根據本發明所述之某些實施例之操作在兩狀態S1與S0下的x MHz RF產生器及操作在狀態S1、狀態 S0a與狀態 S0b下的y MHz RF產生器。4A illustrates an x MHz RF generator operating in two states S1 and S0 and a y MHz RF generator operating in state S1, state S0a, and state S0b, in accordance with certain embodiments of the present invention.

圖4B例示根據本發明所述之各種實施例之操作在狀態S1、狀態 S0a與狀態 S0b下的y MHz RF產生器以及在狀態S0b期間不同於圖4A所示之功率訊號的一位準。Figure 4B illustrates a y MHz RF generator operating in state S1, state S0a and state S0b, and a bit different from the power signal shown in Figure 4A during state S0b, in accordance with various embodiments of the present invention.

圖4C例示根據本發明所述之某些實施例之操作在狀態S1、狀態 S0a與狀態 S0b下的y MHz RF產生器以及在狀態S0a期間不同於圖4A所示的一位準。Figure 4C illustrates a y MHz RF generator operating in state S1, state S0a and state S0b in accordance with certain embodiments of the present invention and differing from the one shown in Figure 4A during state S0a.

圖4D例示根據本發明所述之各種實施例,相較於圖4A中所示之經輸送的功率訊號之位準,在狀態S0a與S0b期間使用不同位準之經輸送的功率訊號。4D illustrates the use of different levels of transmitted power signals during states S0a and S0b, in accordance with various embodiments of the present invention, as compared to the level of the transmitted power signals shown in FIG. 4A.

圖4E例示根據本發明所述之各種實施例之在狀態S0期間非為50%工作週期的一相異工作週期。4E illustrates a distinct duty cycle that is not 50% duty cycle during state S0, in accordance with various embodiments of the present invention.

圖5A顯示根據本發明所述之某些實施例的一系統,此系統係用以例示y MHz RF產生器產生具有狀態S1、S0a與S0b 之RF訊號。5A shows a system for illustrating that a y MHz RF generator generates RF signals having states S1, S0a, and S0b, in accordance with certain embodiments of the present invention.

圖5B顯示根據本發明所述之各種實施例的一系統,此系統係用以例示當x MHz RF產生器為主產生器時,y MHz RF產生器產生具有狀態S1、S0a與S0b 的RF訊號。5B shows a system for exemplifying an RF signal having states S1, S0a, and S0b when the x MHz RF generator is the master generator, in accordance with various embodiments of the present invention. .

圖6A例示根據本發明所述之某些實施例之x MHz RF產生器所產生之RF訊號在狀態S1與S0兩狀態期間的次脈動。6A illustrates a secondary pulsation of an RF signal generated by an x MHz RF generator in accordance with certain embodiments of the present invention during states S1 and S0.

圖6B例示根據本發明所述之各種實施例使用y MHz RF產生器並協同使用產生具有四個次狀態S0a、S0b、S1a與S1b之RF訊號的x MHz RF產生器。6B illustrates an x MHz RF generator that produces an RF signal having four secondary states S0a, S0b, S1a, and S1b using a y MHz RF generator in conjunction with various embodiments in accordance with the present invention.

圖6C例示根據本發明所述之數個實施例在狀態S0期間之工作週期係不同於在狀態S1期間的工作週期。Figure 6C illustrates that the duty cycle during state S0 is different from the duty cycle during state S1 in accordance with several embodiments of the present invention.

圖7A顯示根據本發明中所述之某些實施例之一系統,此系統係用以例示在x MHz RF產生器中使用四個次狀態 S0a、S0b、S1a與S1b。Figure 7A shows a system in accordance with some embodiments of the present invention for illustrating the use of four secondary states S0a, S0b, S1a, and S1b in an x MHz RF generator.

圖7B顯示根據本發明所述之各種實施例的一系統,此系統係用以例示當x MHz RF產生器為主產生器時,在x MHz RF產生器中使用四個次狀態 S0a、S0b、S1a與S1b。7B shows a system for illustrating the use of four secondary states S0a, S0b in an x MHz RF generator when the x MHz RF generator is the primary generator, in accordance with various embodiments of the present invention. S1a and S1b.

圖8A例示根據本發明所述之某些實施例之y MHz RF產生器所產生之RF訊號在狀態S1與S0兩狀態期間的次脈動。Figure 8A illustrates the secondary pulsations of the RF signals generated by the y MHz RF generator in states S1 and S0, in accordance with certain embodiments of the present invention.

圖8B例示根據本發明所述之各種實施例使用x MHz RF產生器並協同使用產生具有四個次狀態S0a、S0b、S1a與S1b之RF訊號的y MHz RF產生器。Figure 8B illustrates a y MHz RF generator that produces an RF signal having four secondary states S0a, S0b, S1a, and S1b using an x MHz RF generator in conjunction with various embodiments in accordance with the present invention.

圖8C例示根據本發明所述之各種實施例在狀態S0期間之工作週期係不同於在狀態S1期間之工作週期。Figure 8C illustrates that the duty cycle during state S0 is different from the duty cycle during state S1 in accordance with various embodiments of the present invention.

圖9A顯示根據本發明所述之某些實施例之一系統,此系統係用以例示在y MHz RF產生器中使用四個次狀態 S0a、S0b、S1a與S1b。Figure 9A shows a system in accordance with some embodiments of the present invention for illustrating the use of four secondary states S0a, S0b, S1a, and S1b in a y MHz RF generator.

圖9B顯示根據本發明所述之各種實施例的一系統,此系統係用以例示當x MHz RF產生器為主產生器時,在y MHz RF產生器中使用四個次狀態 S0a、S0b、S1a與S1b。9B shows a system for illustrating the use of four secondary states S0a, S0b in a y MHz RF generator when the x MHz RF generator is the primary generator, in accordance with various embodiments of the present invention. S1a and S1b.

圖10A根據本發明所述之各種實施例例示x與y MHz RF產生器兩者的多個次狀態。Figure 10A illustrates multiple secondary states of both x and y MHz RF generators in accordance with various embodiments of the present invention.

圖10B根據本發明所述之數個實施例例示x與y MHz RF產生器兩者的多個次狀態。Figure 10B illustrates multiple secondary states of both x and y MHz RF generators in accordance with several embodiments of the present invention.

圖11A顯示根據本發明所述之某些實施例之一系統,此系統係用以例示在x與y MHz RF產生器兩者中同時使用次脈動。Figure 11A shows a system in accordance with some embodiments of the present invention for illustrating simultaneous use of secondary pulsations in both x and y MHz RF generators.

圖11B顯示根據本發明所述之各種實施例之一系統,此系統係用以例示當x MHz RF產生器作為主產生器時,在x與y MHz RF產生器兩者中同時使用次脈動。Figure 11B shows a system in accordance with various embodiments of the present invention for illustrating simultaneous use of secondary ripple in both x and y MHz RF generators when the x MHz RF generator is acting as the primary generator.

圖12顯示根據本發明所述之數個實施例之一系統,此系統係用以例示在x MHz RF產生器或y MHz RF產生器中使用開關選擇四個次狀態 S1a、S1b、S0a與S0b中的一者。Figure 12 shows a system according to several embodiments of the present invention for illustrating the use of switches in an x MHz RF generator or a y MHz RF generator to select four secondary states S1a, S1b, S0a and S0b One of them.

圖13A顯示根據本發明所述之某些實施例之一數位訊號處理器(DSP),此DSP係用以例示使用內部時脈源產生數位脈動訊號。FIG. 13A shows a digital signal processor (DSP) for illustrating the use of an internal clock source to generate a digital pulse signal in accordance with some embodiments of the present invention.

圖13B顯示根據本發明所述之各種實施例的一DSP,此DSP係用以例示使用複數內部時脈源產生數位脈動訊號。Figure 13B shows a DSP for illustrating the use of a plurality of internal clock sources to generate digital pulse signals in accordance with various embodiments of the present invention.

圖14顯示根據本發明所述之某些實施例之一DSP,此DSP使用調變訊號來決定是否產生多個次狀態Sna與Snb或產生狀態 Sm。Figure 14 shows a DSP in accordance with some embodiments of the present invention that uses a modulation signal to determine whether to generate a plurality of secondary states Sna and Snb or to generate a state Sm.

100‧‧‧RF產生器 100‧‧‧RF generator

Sm‧‧‧狀態 Sm‧‧‧ Status

Sna‧‧‧狀態 Sna‧‧‧ Status

Snb‧‧‧狀態 Snb‧‧ state

Claims (20)

一種方法,包含: 自一時脈源接收具有兩狀態的一時脈訊號; 自該時脈訊號產生一脈動訊號,該脈動訊號在該複數狀態之一狀態內具有複數次狀態,該複數次狀態以大於該些狀態之一頻率的一頻率相對於彼此交替;及 提供該脈動訊號以控制藉由一RF產生器所產生之一射頻(RF)訊號的功率,該功率係受到控制以與該脈動訊號同步。A method includes: receiving a clock signal having two states from a clock source; generating a pulse signal from the clock signal, the pulse signal having a plurality of states in a state of the plurality of states, the plurality of states being greater than a frequency of one of the states alternating with respect to each other; and providing the pulsation signal to control the power of a radio frequency (RF) signal generated by an RF generator, the power being controlled to be synchronized with the pulsation signal . 如申請專利範圍第1項之方法,其中受到控制以與該該脈動訊號同步的該功率具有與該脈動訊號之一頻率相同的一頻率。The method of claim 1, wherein the power controlled to synchronize with the pulsation signal has a frequency that is the same as a frequency of one of the pulsation signals. 如申請專利範圍第1項之方法,其中該時脈源包含一石英振盪器或與一鎖相迴路耦合的一石英振盪器。The method of claim 1, wherein the clock source comprises a quartz oscillator or a quartz oscillator coupled to a phase locked loop. 如申請專利範圍第1項之方法,其中該RF產生器為一2百萬赫茲RF產生器或一60百萬赫茲RF產生器。The method of claim 1, wherein the RF generator is a 2 megahertz RF generator or a 60 megahertz RF generator. 如申請專利範圍第1項之方法,其中該兩狀態包含一高狀態與一低狀態,該高狀態所具有之一邏輯位準係高於該低狀態所具有之一邏輯位準。The method of claim 1, wherein the two states comprise a high state and a low state, the high state having one of the logic levels being higher than the low state having one of the logic levels. 如申請專利範圍第1項之方法,其中該脈動訊號自該兩狀態之一狀態轉換至該兩次狀態之一第一次狀態,接著自該第一次狀態轉換至該兩次狀態之一第二次狀態,然後自該第二次狀態轉換至該第一次狀態,接著自該第一次狀態轉換至該第二次狀態,然後自該第二次狀態轉換至該兩狀態之該一狀態。The method of claim 1, wherein the pulsation signal is switched from one state of the two states to a first state of the two states, and then the first state transitions to one of the two states a secondary state, then transitioning from the second state to the first state, then transitioning from the first state to the second state, and then transitioning from the second state to the state of the two states . 如申請專利範圍第6項之方法,其中該RF訊號之該功率包含複數功率位準,其中該複數功率位準在該複數次狀態之一低次狀態期間的一功率位準係等於或高於該複數功率位準在該複數狀態之一低狀態期間的另一功率位準。The method of claim 6, wherein the power of the RF signal comprises a complex power level, wherein the power level of the complex power level during a low-order state of the plurality of times is equal to or higher than a power level The complex power level is at another power level during one of the low states of the complex state. 如申請專利範圍第1項之方法,更包含: 將該時脈訊號提供至一額外的RF產生器以產生與該時脈訊號同步的一額外RF訊號。The method of claim 1, further comprising: providing the clock signal to an additional RF generator to generate an additional RF signal synchronized with the clock signal. 如申請專利範圍第8項之方法,其中該RF產生器所產生之該RF訊號與該額外RF產生器所產生之該額外RF訊號被提供予一阻抗匹配電路, 基於該RF訊號與該額外RF訊號,該阻抗匹配電路藉由匹配一電漿室與一RF傳輸線之一阻抗與該RF產生器、該額外RF產生器、一RF纜線與一額外RF纜線的阻抗而產生一經修改的RF訊號, 該RF傳輸線將該電漿室耦合至該阻抗匹配電路, 該RF纜線將該RF產生器耦合至該阻抗匹配電路,且 該額外RF纜線將該額外RF產生器耦合至該阻抗匹配電路。The method of claim 8, wherein the RF signal generated by the RF generator and the additional RF signal generated by the additional RF generator are supplied to an impedance matching circuit based on the RF signal and the additional RF a signal that produces a modified RF by matching the impedance of one of the plasma chamber and an RF transmission line with the impedance of the RF generator, the additional RF generator, an RF cable, and an additional RF cable. a signal, the RF transmission line coupling the plasma chamber to the impedance matching circuit, the RF cable coupling the RF generator to the impedance matching circuit, and the additional RF cable coupling the additional RF generator to the impedance matching Circuit. 如申請專利範圍第1項之方法,其中該RF訊號具有四個複數次狀態,即一第一次狀態、一第二次狀態、一第三次狀態與一第四次狀態,該RF訊號的該功率具有複數功率位準,該複數功率位準的一第一功率位準自該第一次狀態轉換至該第二次狀態中該複數功率位準的一第二功率位準,接著該第二功率位準轉換至該第一次狀態中的該第一功率位準,接著該第一功率位準轉換至該第二次狀態中的該第二功率位準,然後該第二功率位準轉換至該第三次狀態中的該複數功率位準的一第三功率位準,接著該第三功率位準轉換至該複數功率位準之一第四功率位準以達成該第四次狀態,接著該第四功率位準轉換至該第三功率位準以達到該第三次狀態,然後該第三功率位準轉換至該第四功率位準。The method of claim 1, wherein the RF signal has four complex states, that is, a first state, a second state, a third state, and a fourth state, the RF signal The power has a complex power level, and a first power level of the complex power level is switched from the first state to a second power level of the complex power level in the second state, and then the Converting the second power level to the first power level in the first state, and then converting the first power level to the second power level in the second state, and then the second power level Converting to a third power level of the complex power level in the third state, and then converting the third power level to a fourth power level of the complex power level to achieve the fourth state Then, the fourth power level is switched to the third power level to reach the third state, and then the third power level is switched to the fourth power level. 如申請專利範圍第10項之方法,其中該第二功率位準係等於、或小於、或大於該第四功率位準,其中該第一功率位準係小於該第三功率位準。The method of claim 10, wherein the second power level is equal to, or less than, or greater than the fourth power level, wherein the first power level is less than the third power level. 如申請專利範圍第10項之方法,其中該第二功率位準係等於、或小於、或大於該第四功率位準,其中該第一功率位準係大於該第三功率位準。The method of claim 10, wherein the second power level is equal to, or less than, or greater than the fourth power level, wherein the first power level is greater than the third power level. 如申請專利範圍第10項之方法,其中該時脈源係位於該RF產生器之一數位訊號處理器內。The method of claim 10, wherein the source of the clock is located in a digital signal processor of the RF generator. 如申請專利範圍第10項之方法,其中該時脈源係位於該RF產生器外部。The method of claim 10, wherein the source of the clock is external to the RF generator. 一種射頻(RF)產生器,包含: 一處理器,用於: 自一時脈源接收具有兩狀態的一時脈訊號; 自該時脈訊號產生一脈動訊號,該脈動訊號在該複數狀態之一狀態內具有複數次狀態,該複數次狀態所具有之一頻率係大於該些狀態之一頻率;及 提供該脈動訊號以控制一RF訊號的功率,該功率係受到控制以與該脈動訊號同步;及 一RF電源,耦合至該處理器,該RF電源係用以產生具有該功率之該RF訊號,以將該RF訊號藉由一阻抗匹配電路提供至一電漿室。A radio frequency (RF) generator includes: a processor, configured to: receive a clock signal having two states from a clock source; generate a pulse signal from the clock signal, and the pulse signal is in a state of the complex state Having a plurality of times, the plurality of states having a frequency greater than a frequency of the states; and providing the pulsation signal to control the power of an RF signal, the power being controlled to synchronize with the pulsation signal; An RF power source is coupled to the processor, the RF power source for generating the RF signal having the power to provide the RF signal to a plasma chamber by an impedance matching circuit. 如申請專利範圍第15項之射頻(RF)產生器,更包含: 一功率控制器,耦合至該處理器,該功率控制器係用以基於該複數狀態與複數功率位準之間的映射並基於該複數次狀態與該複數功率位準之間的映射來辨識一功率位準;及 一頻率調整器,耦合至該處理器,該頻率調整器係用以基於該複數狀態與複數頻率位準之間的映射及該複數次狀態與該複數頻率位準之間的映射來辨識一頻率位準。A radio frequency (RF) generator as claimed in claim 15 further comprising: a power controller coupled to the processor, the power controller being configured to map based on the complex state and the complex power level Identifying a power level based on a mapping between the plurality of states and the complex power level; and a frequency adjuster coupled to the processor, the frequency adjuster for determining the complex state and the complex frequency level based on the complex A mapping between the plurality of states and the complex frequency level identifies a frequency level. 如申請專利範圍第15項之射頻(RF)產生器,其中該電漿室包含一上電極與一夾頭,該夾頭面對該上電極,該RF訊號之該功率具有該複數功率位準,每一該功率位準係映射至一蝕刻率或一沉積率。The radio frequency (RF) generator of claim 15, wherein the plasma chamber comprises an upper electrode and a collet, the collet faces the upper electrode, and the power of the RF signal has the complex power level Each of the power levels is mapped to an etch rate or a deposition rate. 一種系統,包含: 一處理器,用於: 自一時脈源接收具有兩狀態的一時脈訊號; 自該時脈訊號產生一脈動訊號,該脈動訊號在該複數狀態之一狀態內具有複數次狀態,該複數次狀態所具有之一頻率係大於該些狀態之一頻率;及 提供該脈動訊號以控制一射頻(RF)訊號的功率,該功率係受到控制以與該脈動訊號同步;及 一RF電源,用以產生具有該功率的該RF訊號; 一RF纜線,耦合至該RF電源; 一阻抗匹配電路,耦合至該RF電源,以藉由該RF纜線接收該RF訊號,該阻抗匹配電路係用以匹配耦合至該阻抗匹配電路之一負載的一阻抗與耦合至該阻抗匹配電路之一源的一阻抗,以自該RF訊號產生一經修改的RF訊號;及 一電漿室,耦合至該阻抗匹配電路,以接收該經修改的RF訊號而改變一電漿的一阻抗。A system comprising: a processor, configured to: receive a clock signal having two states from a clock source; generate a pulse signal from the clock signal, the pulse signal having a plurality of states in one state of the complex state And the frequency of the plurality of states is greater than a frequency of the states; and the pulse signal is provided to control the power of a radio frequency (RF) signal, the power is controlled to be synchronized with the pulse signal; and an RF a power source for generating the RF signal having the power; an RF cable coupled to the RF power source; an impedance matching circuit coupled to the RF power source for receiving the RF signal by the RF cable, the impedance matching The circuit is configured to match an impedance coupled to a load of the impedance matching circuit and an impedance coupled to a source of the impedance matching circuit to generate a modified RF signal from the RF signal; and a plasma chamber coupled To the impedance matching circuit, an impedance of a plasma is changed to receive the modified RF signal. 如申請專利範圍第18項之系統,更包含將該電漿室耦合至該阻抗匹配電路的一RF傳輸線,其中該負載包含該電漿室與該RF傳輸線,其中該源包含該RF纜線與該RF產生器。A system as claimed in claim 18, further comprising an RF transmission line coupling the plasma chamber to the impedance matching circuit, wherein the load comprises the plasma chamber and the RF transmission line, wherein the source comprises the RF cable The RF generator. 如申請專利範圍第18項之系統,其中該脈動訊號自該兩狀態的一狀態轉換至該兩次狀態的一第一次狀態,接著自該第一次狀態轉換至該兩次狀態的一第二次狀態,然後自該第二次狀態轉換至該第一次狀態,接著自該第一次狀態轉換至該第二次狀態,然後自該第二次狀態轉換至該兩狀態的該一狀態。The system of claim 18, wherein the pulsation signal transitions from a state of the two states to a first state of the two states, and then transitions from the first state to a state of the two states a secondary state, then transitioning from the second state to the first state, then transitioning from the first state to the second state, and then transitioning from the second state to the state of the two states .
TW104127257A 2014-08-22 2015-08-21 Method for sub-pulsing during a state TWI673753B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/466,724 US9390893B2 (en) 2012-02-22 2014-08-22 Sub-pulsing during a state
US14/466,724 2014-08-22

Publications (2)

Publication Number Publication Date
TW201631617A true TW201631617A (en) 2016-09-01
TWI673753B TWI673753B (en) 2019-10-01

Family

ID=55423317

Family Applications (2)

Application Number Title Priority Date Filing Date
TW104127257A TWI673753B (en) 2014-08-22 2015-08-21 Method for sub-pulsing during a state
TW108124594A TWI735912B (en) 2014-08-22 2015-08-21 Plasma system, plasma tool, radio frequency generator, controller, and methods for sub-pulsing during a state

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW108124594A TWI735912B (en) 2014-08-22 2015-08-21 Plasma system, plasma tool, radio frequency generator, controller, and methods for sub-pulsing during a state

Country Status (3)

Country Link
KR (1) KR102421846B1 (en)
CN (2) CN105391427B (en)
TW (2) TWI673753B (en)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
JP6538300B2 (en) 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated Method for depositing a film on a sensitive substrate
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9773643B1 (en) * 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10395894B2 (en) * 2017-08-31 2019-08-27 Lam Research Corporation Systems and methods for achieving peak ion energy enhancement with a low angular spread
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
WO2019112849A1 (en) * 2017-12-07 2019-06-13 Lam Research Corporation Rf pulsing within pulsing for semiconductor rf plasma processing

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4306237A (en) * 1980-02-25 1981-12-15 The Bendix Corporation Pulsed solid state device having a preheat circuit to improve pulse shape and chirp
DE3027828A1 (en) * 1980-07-23 1982-03-04 Deutsche Itt Industries Gmbh, 7800 Freiburg FREQUENCY / PHASE CONTROL LOOP
KR0183824B1 (en) * 1995-08-16 1999-04-15 김광호 Magnetic record apparatus and method thereof
DE102005009819A1 (en) * 2005-03-01 2006-09-07 Polyic Gmbh & Co. Kg electronics assembly
US20080230008A1 (en) * 2007-03-21 2008-09-25 Alexander Paterson Plasma species and uniformity control through pulsed vhf operation
US8659335B2 (en) * 2009-06-25 2014-02-25 Mks Instruments, Inc. Method and system for controlling radio frequency power
US8404598B2 (en) * 2009-08-07 2013-03-26 Applied Materials, Inc. Synchronized radio frequency pulsing for plasma etching
CN102063150B (en) * 2009-11-16 2013-07-03 瑞昱半导体股份有限公司 Clock generator
US20110139748A1 (en) * 2009-12-15 2011-06-16 University Of Houston Atomic layer etching with pulsed plasmas
US8675725B2 (en) * 2010-04-29 2014-03-18 Mediatek Singapore Pte. Ltd. Integrated circuit, communication unit and method for improved amplitude resolution of an RF-DAC
JP2012249236A (en) * 2011-05-31 2012-12-13 Renesas Mobile Corp Semiconductor integrated circuit device, electronic device, and radio communication terminal
JP2013093565A (en) * 2011-10-07 2013-05-16 Semiconductor Energy Lab Co Ltd Semiconductor device
US20130122711A1 (en) * 2011-11-10 2013-05-16 Alexei Marakhtanov System, method and apparatus for plasma sheath voltage control
US9390893B2 (en) * 2012-02-22 2016-07-12 Lam Research Corporation Sub-pulsing during a state
US8773019B2 (en) * 2012-02-23 2014-07-08 Mks Instruments, Inc. Feedback control and coherency of multiple power supplies in radio frequency power delivery systems for pulsed mode schemes in thin film processing
TWI599272B (en) * 2012-09-14 2017-09-11 蘭姆研究公司 Adjustment of power and frequency based on three or more states
CN103873017B (en) * 2012-12-10 2018-09-25 北京普源精电科技有限公司 A kind of device and method improving porch temporal resolution
KR20140105932A (en) * 2013-02-25 2014-09-03 삼성전자주식회사 Voltage level conversion circuit and display device including the same
JP6374647B2 (en) * 2013-11-05 2018-08-15 東京エレクトロン株式会社 Plasma processing equipment

Also Published As

Publication number Publication date
KR102421846B1 (en) 2022-07-15
CN110474621A (en) 2019-11-19
TWI735912B (en) 2021-08-11
CN110474621B (en) 2024-04-09
CN105391427B (en) 2019-06-21
CN105391427A (en) 2016-03-09
TWI673753B (en) 2019-10-01
TW201941254A (en) 2019-10-16
KR20160023606A (en) 2016-03-03

Similar Documents

Publication Publication Date Title
US10256077B2 (en) Sub-pulsing during a state
TWI735912B (en) Plasma system, plasma tool, radio frequency generator, controller, and methods for sub-pulsing during a state
JP7229232B2 (en) Systems and methods for achieving peak ion energy enhancement with low angular divergence
US10916409B2 (en) Active control of radial etch uniformity
TWI716428B (en) Systems and methods for reverse pulsing
TW201643956A (en) Ion energy control by RF pulse shape
JP2017199649A (en) Direct current pulsing plasma systems
JP7210579B2 (en) Systems and methods for non-overlapping application of frequency tuning and match tuning for processing substrates
JP2023505782A (en) Multi-state pulsing to achieve a balance between warpage control and mask selectivity
US20220319856A1 (en) Etching isolation features and dense features within a substrate
CN109599318B (en) Polymorphic plasma wafer processing to enhance ion directionality
TWI677263B (en) Soft pulsing
JP2023553066A (en) Low frequency RF generators and related electrostatic chucks