TW201607379A - Soft pulsing - Google Patents

Soft pulsing Download PDF

Info

Publication number
TW201607379A
TW201607379A TW104112782A TW104112782A TW201607379A TW 201607379 A TW201607379 A TW 201607379A TW 104112782 A TW104112782 A TW 104112782A TW 104112782 A TW104112782 A TW 104112782A TW 201607379 A TW201607379 A TW 201607379A
Authority
TW
Taiwan
Prior art keywords
signal
state
generator
during
plasma
Prior art date
Application number
TW104112782A
Other languages
Chinese (zh)
Other versions
TWI677263B (en
Inventor
小約翰C 微寇爾
Original Assignee
蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US14/260,051 external-priority patent/US10157729B2/en
Application filed by 蘭姆研究公司 filed Critical 蘭姆研究公司
Publication of TW201607379A publication Critical patent/TW201607379A/en
Application granted granted Critical
Publication of TWI677263B publication Critical patent/TWI677263B/en

Links

Abstract

Systems and methods for soft pulsing are described. One of the systems includes a master radiofrequency (RF) generator for generating a first portion of a master RF signal during a first state and a second portion of the master RF signal during a second state. The master RF signal is a sinusoidal signal. The system further includes an impedance matching circuit coupled to the master RF generator via an RF cable to modify the master RF signal to generate a modified RF signal and a plasma chamber coupled to the impedance matching circuit via an RF transmission line. The plasma chamber is used for generating plasma based on the modified RF signal. A statistical measure of the first portion has a positive or a negative slope.

Description

軟脈動Soft pulsation

本發明係關於軟脈動系統及方法。The present invention relates to soft pulsation systems and methods.

自晶圓蝕刻材料或將材料沉積至晶圓上的系統包含用以產生射頻(RF)訊號的產生器及電漿室。晶圓係放置於電漿室內。產生器將RF訊號供給至電漿室以蝕刻晶圓或將材料沉積至晶圓上。A system for etching material from a wafer or depositing material onto a wafer includes a generator and a plasma chamber for generating radio frequency (RF) signals. The wafer system is placed in a plasma chamber. The generator supplies the RF signal to the plasma chamber to etch the wafer or deposit material onto the wafer.

對於蝕刻或沉積的控制能增加晶圓良率、節省費用並減少蝕刻晶圓或將材料沉積至晶圓上的時間。然而,蝕刻或沉積難以控制。Control of etching or deposition can increase wafer yield, save cost, and reduce the time it takes to etch wafers or deposit material onto the wafer. However, etching or deposition is difficult to control.

本發明的實施例係於上述背景下產生。Embodiments of the invention are produced in the context described above.

本發明係關於軟脈動系統及方法。The present invention relates to soft pulsation systems and methods.

在各種實施例中,其中一種方法包含降低電漿阻抗相對於時間的變化率,如降低dZ/dt,其中Z為電漿阻抗而t為時間。阻抗變化率的突然增加或降低會造成電漿不穩定,不穩定會失去對蝕刻工作件或沉積材料至工作件上的控制。藉著將具有更包含一正斜率或一負斜率之統計量測值的射頻(RF)訊號供給至電漿室可降低阻抗的變化率。例如,相較於將具有突然增加或降低之均方根(RMS)值的RF訊號,將具有隨著時間漸增或漸減之RMS值的RF訊號供給至電漿室。提供正斜率或負斜率能提供對於電漿阻抗變化的控制。對於阻抗變化的控制使蝕刻或沉積製程得以被控制。In various embodiments, one of the methods includes reducing the rate of change of plasma impedance with respect to time, such as decreasing dZ/dt, where Z is the plasma impedance and t is time. A sudden increase or decrease in the rate of change of impedance can cause plasma instability, which can result in loss of control of the etched workpiece or deposited material onto the workpiece. The rate of change of impedance can be reduced by supplying a radio frequency (RF) signal having a statistical value that includes a positive slope or a negative slope to the plasma chamber. For example, an RF signal having an RMS value that increases or decreases over time is supplied to the plasma chamber as compared to an RF signal that will have a root mean square (RMS) value that suddenly increases or decreases. Providing a positive or negative slope provides control over changes in plasma impedance. Control of the impedance change allows the etching or deposition process to be controlled.

在某些實施例中,軟脈動用之系統包含用以產生第一狀態期間之主RF訊號之第一部分、並產生第二狀態期間之該主RF訊號之第二部分的主RF產生器。主RF訊號為正弦訊號。系統更包含藉由RF纜線耦合至主RF產生器的阻抗匹配電路以及藉由RF傳輸線耦合至阻抗匹配電路的電漿室,阻抗匹配電路係用以修正主RF訊號而產生經修正的RF訊號。電漿室係用以基於經修正的RF訊號產生電漿。第一部分的統計量測值具有一正斜率或一負斜率。In some embodiments, the soft pulsing system includes a primary RF generator for generating a first portion of the primary RF signal during the first state and for generating a second portion of the primary RF signal during the second state. The main RF signal is a sinusoidal signal. The system further includes an impedance matching circuit coupled to the main RF generator by the RF cable and a plasma chamber coupled to the impedance matching circuit by the RF transmission line, the impedance matching circuit for correcting the main RF signal to generate the corrected RF signal . The plasma chamber is used to generate plasma based on the corrected RF signal. The first part of the statistical measurement has a positive slope or a negative slope.

在各種實施例中,一種方法包含在第一狀態期間產生主RF訊號之第一部分、並在第二狀態期間產生主RF訊號之第二部分。該方法更包含基於主RF訊號匹配一負載之阻抗與一源,以產生經修正的RF訊號。該源包含RF產生器與RF纜線。該負載包含RF傳輸線與電漿室。該方法包含接收經修正的RF訊號以在電漿室內產生電漿。第一部分的統計量測值具有一正斜率或一負斜率。In various embodiments, a method includes generating a first portion of a primary RF signal during a first state and generating a second portion of a primary RF signal during a second state. The method further includes matching the impedance of the load to a source based on the primary RF signal to generate the corrected RF signal. The source contains an RF generator and an RF cable. The load contains an RF transmission line and a plasma chamber. The method includes receiving a corrected RF signal to generate a plasma in a plasma chamber. The first part of the statistical measurement has a positive slope or a negative slope.

在數個實施例中,一種電漿系統包含在第一狀態期間產生第一RF訊號之第一部分並在第二狀態期間產生第一RF訊號之第二部分的第一RF產生器。第一RF訊號為正弦訊號。第一RF產生器係耦合至阻抗匹配電路,阻抗匹配電路係耦合至電漿室。第一RF訊號之第一部分的統計量測值具有一正斜率或一負斜率。In several embodiments, a plasma system includes a first RF generator that produces a first portion of a first RF signal during a first state and a second portion of a first RF signal during a second state. The first RF signal is a sinusoidal signal. The first RF generator is coupled to an impedance matching circuit that is coupled to the plasma chamber. The statistical measurement of the first portion of the first RF signal has a positive slope or a negative slope.

上述實施例的某些優點包含控制電漿室內之電漿阻抗變化率。藉著由數位經脈動之訊號之一狀態轉換為數位經脈動之訊號之另一狀態的期間,控制統計量測值的斜率可控制變化率。斜率被控制為正或負。在某些實施例中,在數位經脈動之訊號的一循環期間內之至少一段時間,斜率不為零且為有限值。藉著控制斜率,可控制電漿阻抗的變化以控制蝕刻率或沉積率或處理工作件的處理率。Some of the advantages of the above embodiments include controlling the rate of change in plasma impedance within the plasma chamber. By varying the state of one of the digitally pulsed signals into another state of the digitally pulsed signal, controlling the slope of the statistical measurement can control the rate of change. The slope is controlled to be positive or negative. In some embodiments, the slope is not zero and is a finite value for at least a period of time during a cycle of the digitally pulsed signal. By controlling the slope, changes in plasma impedance can be controlled to control the etch rate or deposition rate or the processing rate of the processing workpiece.

文中所述實施例的某些其他優點包含提供與電漿系統相關的參數反饋至處理器,參數例如是流率、壓力、間隙等。處理器基於反饋決定是否將延遲添加至提供予RF產生器之經脈動之訊號。反饋係用以使電漿系統之機械元件的反應時間與電漿系統之電子元件的反應時間同步。Some other advantages of the embodiments described herein include providing feedback to the processor relating to parameters of the plasma system, such as flow rate, pressure, clearance, and the like. The processor determines whether to add a delay to the pulsated signal provided to the RF generator based on the feedback. The feedback is used to synchronize the reaction time of the mechanical components of the plasma system with the reaction time of the electronic components of the plasma system.

自下列參考附圖之詳細說明將使其他態樣更清晰。Other aspects will be made clearer from the following detailed description with reference to the drawings.

下面的實施例說明用以進行軟脈動的系統與方法。The following embodiments illustrate systems and methods for performing soft pulsations.

圖1A顯示用以說明第一變數(如變數1等)或第一參數(如參數1等)之軟脈動的圖a1、a2、a3與a4的實施例。圖a1至a4的每一圖繪製了均方根(RMS)值對時間t的作圖,均方根(RMS)值為第一變數的實例。第一變數的實例包含射頻(RF)產生器的功率、功率的倒數、RF產生器的電壓、RF產生器的電流、電壓的倒數、電流的倒數、RF產生器的頻率及頻率的倒數。第一參數的實例包含電漿室的上電極與夾頭之間的間隙、電漿室內的壓力及流入電漿室之一或多種製程氣體的流率。下面會更進一步地說明上電極、夾頭、電漿室及一或多種製程氣體。Figure 1A shows an embodiment of Figures a1, a2, a3 and a4 for illustrating soft pulsations of a first variable (e.g., a variable of 1, etc.) or a first parameter (e.g., parameter 1, etc.). Each of Figures a1 through a4 plots the root mean square (RMS) value versus time t, with the root mean square (RMS) value being an example of the first variable. Examples of the first variable include the power of the radio frequency (RF) generator, the reciprocal of the power, the voltage of the RF generator, the current of the RF generator, the reciprocal of the voltage, the reciprocal of the current, the reciprocal of the frequency and frequency of the RF generator. Examples of the first parameter include a gap between the upper electrode of the plasma chamber and the collet, a pressure within the plasma chamber, and a flow rate of one or more process gases flowing into the plasma chamber. The upper electrode, the collet, the plasma chamber, and one or more process gases are further illustrated below.

在某些實施例中,RF產生器的功率為RF產生器產生並供給之RF訊號的功率。在各種實施例中,RF產生器的功率為自電漿室朝向RF產生器所反射之訊號的功率。In some embodiments, the power of the RF generator is the power of the RF signal generated and supplied by the RF generator. In various embodiments, the power of the RF generator is the power of the signal reflected from the plasma chamber toward the RF generator.

在某些實施例中,RF產生器的功率為RF產生器所輸送的RF功率。例如,所輸送的RF功率為RF產生器所供給之RF訊號之RF功率與自電漿室朝向RF產生器反射回之RF訊號之RF功率之間的差值。In some embodiments, the power of the RF generator is the RF power delivered by the RF generator. For example, the RF power delivered is the difference between the RF power of the RF signal supplied by the RF generator and the RF power of the RF signal reflected from the plasma chamber toward the RF generator.

在各種實施例中,RF產生器的電流為RF產生器產生並供給之RF訊號的電流。在各種實施例中,RF產生器的電流為自電漿室朝向RF產生器所反射之訊號的電流。In various embodiments, the current of the RF generator is the current of the RF signal generated and supplied by the RF generator. In various embodiments, the current of the RF generator is the current from the plasma chamber toward the signal reflected by the RF generator.

在某些實施例中,RF產生器的電流為RF產生器所輸送的電流。例如,所輸送的電流為RF產生器所供給之RF訊號之電流與自電漿室朝向RF產生器反射回之RF訊號之電流之間的差值。In some embodiments, the current of the RF generator is the current delivered by the RF generator. For example, the current delivered is the difference between the current of the RF signal supplied by the RF generator and the current from the plasma chamber to the RF signal reflected back from the RF generator.

在數個實施例中,RF產生器的電壓為RF產生器產生並供給之RF訊號的電壓。在各種實施例中,RF產生器的電壓為自電漿室朝向RF產生器所反射之訊號的電壓。In several embodiments, the voltage of the RF generator is the voltage of the RF signal generated and supplied by the RF generator. In various embodiments, the voltage of the RF generator is the voltage of the signal reflected from the plasma chamber toward the RF generator.

在某些實施例中,RF產生器的電壓為RF產生器所輸送的電壓。例如,所輸送的電壓為RF產生器所供給之RF訊號之電壓與自電漿室朝向RF產生器反射回之RF訊號之電壓之間的差值。In some embodiments, the voltage of the RF generator is the voltage delivered by the RF generator. For example, the voltage delivered is the difference between the voltage of the RF signal supplied by the RF generator and the voltage of the RF signal reflected from the plasma chamber toward the RF generator.

在各種實施例中,RF產生器的頻率為RF產生器產生並供給之RF訊號的頻率。在各種實施例中,RF產生器的頻率為自電漿室朝向RF產生器所反射之訊號的頻率。In various embodiments, the frequency of the RF generator is the frequency of the RF signal generated and supplied by the RF generator. In various embodiments, the frequency of the RF generator is the frequency of the signal reflected from the plasma chamber toward the RF generator.

在某些實施例中,RF產生器的頻率為RF產生器所輸送之RF訊號的頻率。例如,所輸送之RF訊號的頻率為RF產生器所供給之RF訊號之頻率與自電漿室朝向RF產生器反射回之RF訊號之頻率之間的差值。In some embodiments, the frequency of the RF generator is the frequency of the RF signal delivered by the RF generator. For example, the frequency of the transmitted RF signal is the difference between the frequency of the RF signal supplied by the RF generator and the frequency of the RF signal reflected from the plasma chamber toward the RF generator.

均方根值且有狀態S0與狀態S1。狀態S0與S1週期性地發生。每一狀態係與RF產生器的功率、RF產生器的頻率、RF產生器的電流、RF產生器的電壓、電漿室內的壓力、上電極與夾頭間的間隙及流入電漿室內一或多種製程氣體之流率的組合相關。例如,在狀態S0期間使用頻率、功率、壓力、間隙與化學品流率之第一組合而在狀態S1期間使用頻率、功率、壓力、間隙與化學品流率之第二組合。在某些實施例中,化學品包含一或多種製程氣體。為了更進一步地說明,在第一組合中使用第一頻率值、功率、壓力、間隙與化學品的流率,在第二組合中使用第二頻率值、與第一組合相同的功率量、與第一組合相同的壓力量、與第一組合相同的間隙量及與第一組合相同的化學品的相同流率。在另一說明例中,在第一組合中使用第一頻率值、第一功率值、壓力、間隙與化學品的流率,在第二組合中使用第二頻率值,、第二功率值、與第一組合相同的壓力量、與第一組合相同的間隙量及與第一組合相同的化學品的相同流率。在某些實施例中,電漿室內的壓力為晶圓面積壓力(WAP)。The root mean square value has a state S0 and a state S1. States S0 and S1 occur periodically. Each state is related to the power of the RF generator, the frequency of the RF generator, the current of the RF generator, the voltage of the RF generator, the pressure in the plasma chamber, the gap between the upper electrode and the chuck, and into the plasma chamber. A combination of flow rates for various process gases. For example, a first combination of frequency, power, pressure, clearance, and chemical flow rate is used during state S0 and a second combination of frequency, power, pressure, clearance, and chemical flow rate is used during state S1. In certain embodiments, the chemical comprises one or more process gases. To further illustrate, the first frequency value, the power, the pressure, the gap, and the flow rate of the chemical are used in the first combination, the second frequency value is used in the second combination, the same amount of power as the first combination, and The first combination has the same amount of pressure, the same amount of gap as the first combination, and the same flow rate of the same chemical as the first combination. In another illustrative example, a first frequency value, a first power value, a pressure, a gap, and a flow rate of the chemical are used in the first combination, and a second frequency value, a second power value, The same amount of pressure as the first combination, the same amount of gap as the first combination, and the same flow rate of the same chemical as the first combination. In some embodiments, the pressure within the plasma chamber is the wafer area pressure (WAP).

在各種實施例中,當如經脈動之訊號等之時脈訊號自高狀態被脈動至低狀態時產生狀態S0,當時脈訊號自低狀態被脈動至高狀態時產生狀態S1。在狀態S0期間時脈訊號係處於低狀態,在狀態S1期間時脈訊號係處於高狀態。在某些實施例中,時脈訊號具有50%的佔空比。在各種實施例中,時脈訊號具有非50%的佔空比,如10%、20%、60%、80%等。例如,狀態S0發生於10%的時脈循環而狀態S1發生於剩下90%的時脈循環。在某些實施例中,時脈訊號係由如石英振盪器、處理器等之時脈源所產生。In various embodiments, state S0 is generated when a clock signal, such as a pulsed signal, is pulsed from a high state to a low state, and state S1 is generated when the pulse signal is pulsed from a low state to a high state. The clock signal is in a low state during state S0, and the clock signal is in a high state during state S1. In some embodiments, the clock signal has a 50% duty cycle. In various embodiments, the clock signal has a duty cycle other than 50%, such as 10%, 20%, 60%, 80%, and the like. For example, state S0 occurs at 10% of the clock cycle and state S1 occurs with the remaining 90% of the clock cycle. In some embodiments, the clock signal is generated by a clock source such as a quartz oscillator, processor, or the like.

在數個實施例中,在狀態S0期間時脈訊號係處於高狀態,在狀態S1期間時脈訊號係處於低狀態。In several embodiments, the clock signal is in a high state during state S0 and the clock signal is in a low state during state S1.

在某些實施例中,可使用如平均值、或峰值至峰值之振幅、或零至峰值的振幅、或中值等任何其他的統計量測值取代RMS值作為圖中的變數並對時間t作圖。In some embodiments, any other statistical measure such as the mean, or peak to peak amplitude, or zero to peak amplitude, or median may be used instead of the RMS value as a variable in the graph and for time t Drawing.

圖a1顯示在狀態S0期間具有如一系列振幅A1等之一固定值、在狀態S1期間在一正線性斜率內上升以具有一系列之振幅A2、且在狀態S1末期處降回至該固定值的正鋸齒狀波形。降回至該固定值係發生於由狀態S1轉換至狀態S0的轉換期間。Figure a1 shows a fixed value such as a series of amplitudes A1 during state S0, a rise within a positive linear slope during state S1 to have a series of amplitudes A2, and a return to the fixed value at the end of state S1. Positive sawtooth waveform. Falling back to this fixed value occurs during the transition from state S1 to state S0.

在某些實施例中,在狀態S0期間,對工作件進行不同於狀態S1期間所進行之相異製程操作。例如,在狀態S1期間蝕刻工作件,在狀態S0期間將材料沉積至工作件上。下面會更進一步地說明工作件。In some embodiments, during the state S0, the workpiece is subjected to a different process operation than that performed during the state S1. For example, the workpiece is etched during state S1, and material is deposited onto the workpiece during state S0. The work piece will be explained further below.

在各種實施例中,在狀態S1期間,電漿室內的電漿的離子能量係高於一蝕刻率閾值,以最大化狀態S1期間的工作件蝕刻並增加蝕刻率對沉積率的比值。又,在狀態S0期間,電漿室內的電漿的離子能量係低於該蝕刻率閾值,以最小化狀態S0期間的工作件蝕刻並降低蝕刻率對沉積率的比值。In various embodiments, during state S1, the plasma energy of the plasma within the plasma chamber is above an etch rate threshold to maximize workpiece etch during state S1 and increase the ratio of etch rate to deposition rate. Again, during state S0, the ion energy of the plasma within the plasma chamber is below the etch rate threshold to minimize workpiece etch during state S0 and reduce the ratio of etch rate to deposition rate.

在某些實施例中,狀態S1或狀態S0的發生時間係大於狀態S1與S0之總持續時間的5%。In some embodiments, the occurrence time of state S1 or state S0 is greater than 5% of the total duration of states S1 and S0.

參考圖a2,在狀態S0期間,圖a2在狀態S0的一部分期間具有負斜率之正弦形狀、並在狀態S0的剩餘部分期間降至一固定值。又,在狀態S1期間,圖a2在狀態S1的一部分期間具有該固定值、然後在狀態S1的剩餘部分期間變成具有正斜率的正弦形狀。除了正弦曲線被鉗至正弦曲線之底部的部分外,圖a2具有正弦形狀。圖a2在正弦形狀具有負斜率之期間的一部分中以及在接續之正弦形狀具有正斜率之期間的一部分中受到鉗位。又,圖a2在狀態S0期間具有一系列之振幅A3且在狀態S1具有一系列之振幅A4。Referring to Figure a2, during state S0, Figure a2 has a sinusoidal shape of negative slope during a portion of state S0 and falls to a fixed value during the remainder of state S0. Also, during the state S1, the graph a2 has the fixed value during a portion of the state S1, and then becomes a sinusoidal shape having a positive slope during the remainder of the state S1. Figure a2 has a sinusoidal shape except that the sinusoid is clamped to the bottom of the sinusoid. Figure a2 is clamped in a portion of the period in which the sinusoidal shape has a negative slope and in a portion of the period in which the successive sinusoidal shape has a positive slope. Again, graph a2 has a series of amplitudes A3 during state S0 and a series of amplitudes A4 at state S1.

圖a3在狀態S0期間具有負線性斜率且在狀態S1期間具有正線性斜率。又,圖a3在狀態S0期間具有一系列振幅A5且在狀態S1期間具有一系列振幅A6。Graph a3 has a negative linear slope during state S0 and a positive linear slope during state S1. Again, graph a3 has a series of amplitudes A5 during state S0 and a series of amplitudes A6 during state S1.

圖a4在狀態S0的一部分期間被鉗位至零斜率且在狀態S0的剩餘部分期間具有負的正弦斜率。又,圖a4在狀態S1的一部分期間具有正的正弦斜率且在狀態S1的剩餘部分期間受到鉗位而具有零斜率。除了正弦曲線被鉗至正弦曲線之頂部的部分外,圖a4具有正弦形狀。圖a4在狀態S0期間具有一系列振幅A7且在狀態S1期間具有一系列振幅A8。Graph a4 is clamped to a zero slope during a portion of state S0 and has a negative sinusoidal slope during the remainder of state S0. Again, graph a4 has a positive sinusoidal slope during a portion of state S1 and is clamped during the remainder of state S1 with a zero slope. Figure a4 has a sinusoidal shape except that the sinusoid is clamped to the top of the sinusoid. Figure a4 has a series of amplitudes A7 during state S0 and a series of amplitudes A8 during state S1.

圖1B顯示用以說明軟脈動之額外圖a5、a6與a7的實施例。圖a5至a7的每一圖繪製RMS值對時間t之作圖,RMS值為第一變數的實例。圖a5在狀態S0期間具有如一系列之振幅A9的一固定值且在狀態S0期間具有零斜率。又,圖a5將其RMS值自狀態S0處的一低值增加至狀態S1處的一高值。圖a5在狀態S1期間具有一系列的振幅A10。圖a5在狀態S1期間具有負線性斜率且在狀態S1末期處接近狀態S0之該固定值。圖a5在本文中被稱為負鋸齒狀波形。Figure 1B shows an embodiment of additional figures a5, a6 and a7 to illustrate soft pulsation. Each of the graphs a5 to a7 plots the RMS value versus time t, and the RMS value is an example of the first variable. Figure a5 has a fixed value such as a series of amplitudes A9 during state S0 and a zero slope during state S0. Again, Figure a5 increases its RMS value from a low value at state S0 to a high value at state S1. Figure a5 has a series of amplitudes A10 during state S1. Figure a5 has a negative linear slope during state S1 and approaches this fixed value of state S0 at the end of state S1. Figure a5 is referred to herein as a negative sawtooth waveform.

圖a6為正弦曲線。圖a6在狀態S0期間具有負的正弦斜率且在狀態S1期間具有正的正弦斜率。圖a6在狀態S0期間具有一系列之振幅A11且在狀態S1期間具有一系列之振幅A12。Figure a6 is a sinusoid. Graph a6 has a negative sinusoidal slope during state S0 and a positive sinusoidal slope during state S1. Figure a6 has a series of amplitudes A11 during state S0 and a series of amplitudes A12 during state S1.

圖a7為上下受到鉗位的正弦曲線。圖a7在狀態S0的第一部分期間具有零斜率、在狀態S0的第二部分期間具有負的正弦斜率、且在狀態S0的第三剩餘部分期間具有零斜率。又,圖a7在狀態S1的第一部分期間具有零斜率、在狀態S1的第二部分期間具有正的正弦斜率、且在狀態S1的第三剩餘部分期間具有零斜率。圖a7在狀態S0期間具有一系列之振幅A13且在狀態S1期間具有一系列之振幅A14。Figure a7 is a sinusoidal curve clamped up and down. Graph a7 has a zero slope during the first portion of state S0, a negative sinusoidal slope during the second portion of state S0, and a zero slope during the third remaining portion of state S0. Again, graph a7 has a zero slope during the first portion of state S1, a positive sinusoidal slope during the second portion of state S1, and a zero slope during the third remainder of state S1. Figure a7 has a series of amplitudes A13 during state S0 and a series of amplitudes A14 during state S1.

圖1C-1顯示用以說明軟脈動之圖a8與a9的實施例。圖a8與a9的每一者繪製RMS值對時間t之作圖,RMS值為第一變數之實例。圖a8在狀態S0期間具有一固定值與零斜率,在狀態S0後於狀態S1期間以曲線方式轉換為正線性斜率。又,圖a8在狀態S1期間持續具有正線性斜率,在自狀態S1轉換至狀態S0的轉換期間內落回至狀態S0的該固定值。圖a8在狀態S0期間內具有一系列之振幅A15且在狀態S1期間內且有一系列之振幅A16。應注意,在一系列A15中的所有振幅皆相同,如具有固定振幅。Figure 1C-1 shows an embodiment of Figures a8 and a9 for explaining soft pulsation. Each of Figures a8 and a9 plots the RMS value versus time t, and the RMS value is an example of the first variable. Figure a8 has a fixed value and a zero slope during state S0, and is converted to a positive linear slope in a curved manner during state S1 after state S0. Also, the graph a8 continues to have a positive linear slope during the state S1, and falls back to the fixed value of the state S0 during the transition period from the state S1 to the state S0. Figure a8 has a series of amplitudes A15 during state S0 and during state S1 and has a series of amplitudes A16. It should be noted that all amplitudes in a series of A15s are the same, such as having a fixed amplitude.

圖a9在狀態S0之一部分期間內具有負線性斜率且在狀態S0的剩餘期間內具有一固定值與零斜率。圖a9在狀態S1期間將其RMS值自一低值增加至一高值且具有指數增加之正曲線斜率。圖a9在狀態S0期間具有一系列之振幅A17且在狀態S1期間具有一系列之振幅A18。Figure a9 has a negative linear slope during a portion of state S0 and a fixed value and zero slope for the remainder of state S0. Figure a9 increases its RMS value from a low value to a high value during state S1 and has a positive curve slope with an exponential increase. Figure a9 has a series of amplitudes A17 during state S0 and a series of amplitudes A18 during state S1.

圖1C-2顯示用以說明與三狀態S2、S3與S4同步之軟脈動的圖a8與a9的實施例。在狀態S2期間,圖a8具有相同振幅。又,在狀態S3期間,圖a8自狀態S2的振幅轉換至具有正曲線斜率的振幅。又,在狀態S4期間,圖a8自正曲線斜率轉換至正線性斜率。例如,在自狀態S3轉換至狀態S4的轉換期間,圖a8的斜率無變化。又例如,在自狀態S3轉換至狀態S4的轉換期間,圖a8僅有最小的斜率變化,如預定範圍內的斜率變化等。又更例如,在自狀態S3轉換至狀態S4的轉換期間,圖a8的斜率具有連續性。在自狀態S4轉換至狀態S2的轉換期間,圖a8轉換回狀態S2的該振幅。Figure 1C-2 shows an embodiment of Figures a8 and a9 for illustrating soft pulsation synchronized with three states S2, S3 and S4. In the state S2, the graph a8 has the same amplitude. Also, during state S3, graph a8 transitions from the amplitude of state S2 to the amplitude of the slope of the positive curve. Again, during state S4, graph a8 transitions from a positive curve slope to a positive linear slope. For example, during the transition from state S3 to state S4, the slope of graph a8 does not change. For another example, during the transition from state S3 to state S4, graph a8 has only minimal slope changes, such as slope changes within a predetermined range, and the like. Still more, for example, during the transition from state S3 to state S4, the slope of graph a8 has continuity. During the transition from state S4 to state S2, graph a8 transitions back to this amplitude of state S2.

在狀態S2期間,圖a9具有相同振幅。又,在狀態S3期間,圖a9具有具有正曲線斜率的振幅。在狀態S4期間,圖a9具有負線性斜率。在狀態S4與S2之間的轉換期間,圖a9自具有負線性斜率的振幅轉換為狀態S2的該振幅。During the state S2, the graph a9 has the same amplitude. Also, during state S3, graph a9 has an amplitude with a positive curve slope. During state S4, graph a9 has a negative linear slope. During the transition between states S4 and S2, graph a9 is converted from the amplitude with a negative linear slope to the amplitude of state S2.

圖1D-1顯示用以說明軟脈動之圖a10、a11、a12與a13的實施例。圖a10至a13之每一者繪製RMS值對時間t的作圖,為第一變數的實例。圖a10在狀態S0期間具有一固定值與零斜率。又,圖a10在狀態S1期間具有正線性斜率且在狀態S1期間後期具有固定值與零斜率。在狀態S1轉換至狀態S0的轉換期間內,圖a10轉換至狀態S0的該固定值。除了圖a10的正鋸齒狀波形係鉗位於其頂部外,圖a10係類似於圖a1(1A)之正鋸齒狀波形的正鉗位鋸齒波形。圖a10在狀態S0期間具有一系列之振幅A19且在狀態S1期間具有一系列之振幅A20。Fig. 1D-1 shows an embodiment of the figures a10, a11, a12 and a13 for explaining the soft pulsation. Each of Figures a10 to a13 plots the RMS value versus time t as an example of the first variable. Figure a10 has a fixed value and a zero slope during state S0. Also, the graph a10 has a positive linear slope during the state S1 and a fixed value and a zero slope at the later stage of the state S1. In the transition period in which state S1 transitions to state S0, graph a10 transitions to the fixed value of state S0. A10 is a positive clamp sawtooth waveform similar to the positive zigzag waveform of Fig. a1(1A) except that the positive zigzag waveform clamp of Fig. a10 is located at the top thereof. Figure a10 has a series of amplitudes A19 during state S0 and a series of amplitudes A20 during state S1.

圖a11在狀態S0期間內具有一固定值與零斜率。圖a11在自狀態S0轉換至狀態S1的轉換期間自固定值轉換至一高值,然後在狀態S1期間維持一固定值一段時間。在該段時間後,圖a11在狀態S1期間具有負線性斜率以達到狀態S0的該固定值。圖a11在狀態S0期間具有一系列之振幅A21且在狀態S1期間具有一系列之振幅A22。圖a11為圖a10之鏡像。Figure a11 has a fixed value and a zero slope during state S0. Figure a11 transitions from a fixed value to a high value during the transition from state S0 to state S1, and then maintains a fixed value for a period of time during state S1. After this period of time, graph a11 has a negative linear slope during state S1 to reach this fixed value of state S0. Figure a11 has a series of amplitudes A21 during state S0 and a series of amplitudes A22 during state S1. Figure a11 is a mirror image of Figure a10.

在某些實施例中,圖a11在狀態S1期間具有負線性斜率的該段時間為狀態S0的一部分而非狀態S1的一部分。In some embodiments, the period of time that graph a11 has a negative linear slope during state S1 is part of state S0 and not part of state S1.

圖a12在狀態S0期間具有一固定值,然後在狀態S1期間以正曲線斜率增加至一高值。圖a12在狀態S1期間持續該正曲線斜率一段時間以達到該段時間後的一固定值。圖a12在狀態S1期間具有狀態S1的該固定值與零斜率,在自狀態S1轉換至狀態S0的轉換期間內減少至狀態S0的該固定值。圖a12在狀態S0期間具有一系列之振幅A23且在狀態S1期間具有一系列之振幅A24。應注意,在一系列振幅A23中的每一振幅皆相同。Figure a12 has a fixed value during state S0 and then increases to a high value with a positive curve slope during state S1. Figure a12 continues the positive curve slope for a period of time during state S1 to reach a fixed value after the period of time. Figure a12 has this fixed value and zero slope of state S1 during state S1, which is reduced to this fixed value of state S0 during the transition from state S1 to state S0. Figure a12 has a series of amplitudes A23 during state S0 and a series of amplitudes A24 during state S1. It should be noted that each of the amplitudes in the series of amplitudes A23 is the same.

圖a13在狀態S0期間具有一固定值然後在狀態S1期間具有指數增加之正曲線斜率以增加至一高值。圖a13在狀態S1期間自狀態S0之該固定值轉換後具有該高值與零斜率一段時間然後在狀態S1的剩餘時間內具有負線性斜率以達到狀態S0的該固定值。圖a13在狀態S0期間具有一系列之振幅A25且在狀態S1期間具有一系列之振幅A26。應注意,一系列振幅A25中的每一振幅皆相同。Figure a13 has a fixed value during state S0 and then has a positive curve slope with an exponential increase during state S1 to increase to a high value. Figure a13 has this high value and zero slope for a period of time after transitioning from the fixed value of state S0 during state S1 and then has a negative linear slope for the remainder of state S1 to reach this fixed value of state S0. Figure a13 has a series of amplitudes A25 during state S0 and a series of amplitudes A26 during state S1. It should be noted that each of the series of amplitudes A25 is the same.

在某些實施例中,圖a13在狀態S1期間具有負線性斜率的該段時間為狀態S0的一部分而非狀態S1的一部分。In some embodiments, the period of time that graph a13 has a negative linear slope during state S1 is part of state S0 and not part of state S1.

圖1D-2顯示用以說明與經脈動之訊號之三狀態S2、S3與S4同步之第一變數之軟脈動的圖a12與a13。在狀態S2期間,圖a12具有相同的振幅。又,圖a12在狀態S3期間具有正曲線斜率而在狀態S4期間具有零斜率。在自狀態S4轉換至狀態S2的轉換期間內,圖a12自零斜率的一振幅達到狀態S2的該振幅。Figure 1D-2 shows graphs a12 and a13 for explaining the soft pulsations of the first variable synchronized with the three states S2, S3 and S4 of the pulsating signal. During the state S2, the graph a12 has the same amplitude. Again, graph a12 has a positive curve slope during state S3 and a zero slope during state S4. During the transition from state S4 to state S2, an amplitude of the self-zero slope of graph a12 reaches this amplitude of state S2.

在某些實施例中,狀態S4具有圖a12之正曲線斜率而非圖a12中的固定零斜率。例如,在自狀態S3轉換至狀態S4的轉換期間,圖a12持續具有正曲線斜率而非轉換至固定零斜率。In some embodiments, state S4 has a positive curve slope of graph a12 rather than a fixed zero slope in graph a12. For example, during the transition from state S3 to state S4, graph a12 continues to have a positive curve slope instead of transitioning to a fixed zero slope.

在狀態S2期間,圖a13具有相同的振幅。又,圖a13在狀態S3期間具有指數增加之正曲線斜率。圖a13在狀態S4期間具有零斜率一段時間然後在狀態S4的剩餘時間內轉換至負線性斜率。During the state S2, the graph a13 has the same amplitude. Again, graph a13 has a positive curve slope with an exponential increase during state S3. Figure a13 has a zero slope for a period of time during state S4 and then transitions to a negative linear slope for the remainder of state S4.

在某些實施例中,圖a13在狀態S4期間具有零斜率一段時間然後在狀態S4的剩餘時間內轉換至負曲線斜率。In some embodiments, graph a13 has a zero slope for a period of time during state S4 and then transitions to a negative curve slope for the remainder of state S4.

圖1E顯示用以說明軟脈動之圖a14、a15與a16的實施例。圖a14至a16的每一者繪製RMS值對時間t的作圖,RMS值為第一變數的實例。圖a14在狀態S0期間具有一固定值與零斜率、然後在狀態S0的該段時間後具有負線性斜率。圖a14在狀態S1期間具有正線性斜率一段時間以達到一固定值、然後在狀態S1的該段時間後具有該固定值與零斜率。圖a14在狀態S0期間具有一系列之振幅A27且在狀態S1期間具有一系列之振幅A28。除了圖a14係被鉗位於其頂部外,圖a14係類似於圖1A的圖a3。Figure 1E shows an embodiment of Figures a14, a15 and a16 for illustrating soft pulsation. Each of Figures a14 through a16 plots the RMS value versus time t, and the RMS value is an example of the first variable. Figure a14 has a fixed value and a zero slope during state S0 and then has a negative linear slope after that period of state S0. Figure a14 has a positive linear slope for a period of time during state S1 to reach a fixed value, and then has this fixed value and zero slope after that period of state S1. Figure a14 has a series of amplitudes A27 during state S0 and a series of amplitudes A28 during state S1. Figure a14 is similar to Figure a3 of Figure 1A except that Figure a14 is clamped on top of it.

圖a15在狀態S0期間具有負線性斜率一段時間以達到一固定值然後在狀態S0的剩餘時間內具有該固定值與零斜率。圖a15在狀態S1期間具有該固定值一段時間、然後在該段時間後轉換為具有正線性斜率。圖a15在狀態S0期間具有一系列之振幅A29且在狀態S1期間具有一系列之振幅A30。除了圖a15係被鉗位於其底部外,圖a15係類似於圖1A的圖a3。Graph a15 has a negative linear slope for a period of time during state S0 to reach a fixed value and then has the fixed value and zero slope for the remainder of state S0. Figure a15 has this fixed value for a period of time during state S1 and then transitions to have a positive linear slope after that period of time. Figure a15 has a series of amplitudes A29 during state S0 and a series of amplitudes A30 during state S1. Figure a15 is similar to Figure a3 of Figure 1A except that Figure a15 is clamped to its bottom.

圖a16在狀態S0的第一部分期間具有一固定值與零斜率、在狀態S0的第二部分期間具有負線性斜率、且在狀態S0的剩餘期間內具有一固定值與零斜率。又,圖a16在狀態S1的第一部分期間具有圖a16在狀態S0之該剩餘期間內所具有的該固定值。圖a16在狀態S1的第二部分期間具有正線性斜率並在狀態S1之剩餘期間內具有一固定值與零斜率。圖a16在狀態S0期間具有一系列之振幅A31且在狀態S1期間具有一系列之振幅A32。除了圖a16係被鉗位於其頂部與底部外,圖a16係類似於圖1A的圖a3。Graph a16 has a fixed value and zero slope during the first portion of state S0, a negative linear slope during the second portion of state S0, and a fixed value and zero slope during the remainder of state S0. Also, the graph a16 has the fixed value that the graph a16 has during the remaining period of the state S0 during the first portion of the state S1. Figure a16 has a positive linear slope during the second portion of state S1 and a fixed value and zero slope during the remainder of state S1. Figure a16 has a series of amplitudes A31 during state S0 and a series of amplitudes A32 during state S1. Figure a16 is similar to Figure a3 of Figure 1A except that Figure a16 is clamped to its top and bottom.

在某些實施例中,圖a16在狀態S0的剩餘期間內具有零斜率然後是狀態S1的正線性斜率而非是在狀態S1之第一部分期間具有該固定值。In some embodiments, graph a16 has a zero slope for the remainder of state S0 and then a positive linear slope of state S1 rather than having the fixed value during the first portion of state S1.

圖1F顯示用以說明軟脈動之圖a17與a18的實施例。圖a17至a18的每一者繪製RMS值對時間t的作圖,RMS值為第一變數的實例。除了狀態S0之持續時間大於狀態S1的持續時間外,圖a17係類似於圖1E的圖a16。圖a17在狀態S0期間具有一系列之振幅A33且在狀態S1期間具有一系列之振幅A34。又,除了狀態S1之持續時間大於狀態S0的持續時間外,圖a18係類似於圖1E的圖a16。圖a18在狀態S0期間具有一系列之振幅A35且在狀態S1期間具有一系列之振幅A36。Figure 1F shows an embodiment of Figures a17 and a18 for illustrating soft pulsation. Each of Figures a17 through a18 plots the RMS value versus time t, with the RMS value being an example of the first variable. Figure a17 is similar to Figure a of Figure 1E, except that the duration of state S0 is greater than the duration of state S1. Figure a17 has a series of amplitudes A33 during state S0 and a series of amplitudes A34 during state S1. Again, Figure a18 is similar to Figure a of Figure IE except that the duration of state S1 is greater than the duration of state S0. Figure a18 has a series of amplitudes A35 during state S0 and a series of amplitudes A36 during state S1.

在某些實施例中,將文中所示之任何圖示往右或往左平移半個狀態。In some embodiments, any of the illustrations shown herein are translated to the right or left by a half state.

在各種實施例中,文中所述之任何線性斜率可為曲線斜率,如指數斜率、正弦斜率等。In various embodiments, any linear slope described herein can be a slope of the curve, such as an exponential slope, a sinusoidal slope, and the like.

在數個實施例中,文中所述的任何曲線斜率可為線性斜率。In several embodiments, any of the curve slopes described herein can be a linear slope.

圖2A顯示用以說明第二變數(如變數2等)或第二參數(如參數2等)之軟脈動之圖b1、b2、b3與b4的實施例。除了第二變數為與第一變數不同類型之變數外,第二變數的實例係與第一變數的實例相同。例如,當第一變數為功率時、第二變數為頻率。又例如,當第一變數為頻率時、第二變數為功率。又更例如,當第一變數為電壓時、第二變數為電流。除了第二參數為與第一參數不同類型之參數外,第二參數的實例係與第一參數的實例相同。例如,當第一參數為間隙時、第二參數為壓力。又例如,當第一參數為壓力時、第二參數為流率。2A shows an embodiment of graphs b1, b2, b3, and b4 for illustrating soft pulsations of a second variable (such as a variable 2, etc.) or a second parameter (such as parameter 2, etc.). The example of the second variable is the same as the example of the first variable except that the second variable is a variable of a different type than the first variable. For example, when the first variable is power, the second variable is frequency. For another example, when the first variable is a frequency, the second variable is power. Still more, for example, when the first variable is a voltage, the second variable is a current. The instance of the second parameter is the same as the instance of the first parameter, except that the second parameter is a parameter of a different type than the first parameter. For example, when the first parameter is a gap and the second parameter is a pressure. For another example, when the first parameter is pressure and the second parameter is flow rate.

除了圖b1係針對第二變數外,圖b1係類似於圖a1(圖1A)。圖b1在狀態S0期間具有一系列之振幅B1、且在狀態S1期間具有一系列之振幅B2。又,除了圖b2係針對第二變數外,圖b2係類似於圖a2(圖1A)。圖b2在狀態S0期間具有一系列之振幅B3且在狀態S1期間具有一系列之振幅B4。又,除了圖b3係針對第二變數外,圖b3係類似於圖a3(圖1A)。圖b3在狀態S0期間具有一系列之振幅B5且在狀態S1期間具有一系列之振幅B6。又,除了圖b4係針對第二變數外,圖b4係類似於圖a4(圖1A)。圖b4在狀態S0期間具有一系列之振幅B7且在狀態S1期間具有一系列之振幅B8。Figure b1 is similar to Figure a1 (Figure 1A) except that Figure b1 is for the second variable. Figure b1 has a series of amplitudes B1 during state S0 and a series of amplitudes B2 during state S1. Again, Figure b2 is similar to Figure a2 (Figure 1A) except that Figure b2 is for the second variable. Figure b2 has a series of amplitudes B3 during state S0 and a series of amplitudes B4 during state S1. Again, Figure b3 is similar to Figure a3 (Figure 1A) except that Figure b3 is for the second variable. Figure b3 has a series of amplitudes B5 during state S0 and a series of amplitudes B6 during state S1. Again, Figure b4 is similar to Figure a4 (Figure 1A) except that Figure b4 is for the second variable. Figure b4 has a series of amplitudes B7 during state S0 and a series of amplitudes B8 during state S1.

圖2B顯示用以說明第二變數之軟脈動之圖b5、b6與b7的實施例。圖b5在狀態S0期間具有一系列之振幅B9且在狀態S1期間具有一系列之振幅B10。又,除了圖b6係針對第二變數外,圖b6係類似於圖a6(圖1B)。圖b6在狀態S0期間具有一系列之振幅B11且在狀態S1期間具有一系列之振幅B12。又,除了圖b7係針對第二變數外,圖b7係類似於圖a7(圖1B)。圖b7在狀態S0期間具有一系列之振幅B13且在狀態S1期間具有一系列之振幅B14。Fig. 2B shows an embodiment of the figures b5, b6 and b7 for explaining the soft pulsation of the second variable. Figure b5 has a series of amplitudes B9 during state S0 and a series of amplitudes B10 during state S1. Again, Figure b6 is similar to Figure a6 (Figure 1B) except that Figure b6 is for the second variable. Figure b6 has a series of amplitudes B11 during state S0 and a series of amplitudes B12 during state S1. Again, Figure b7 is similar to Figure a7 (Figure 1B) except that Figure b7 is for the second variable. Figure b7 has a series of amplitudes B13 during state S0 and a series of amplitudes B14 during state S1.

圖2C-1顯示用以說明第二變數之軟脈動之圖b8 與b9的實施例。除了圖b8係針對第二變數外,圖b8係類似於圖a8(圖1C-1)。圖b8在狀態S0期間具有一系列之振幅B15且在狀態S1期間具有一系列之振幅B16。一系列振幅B15中的每一振幅皆相同。又,除了圖b9係針對第二變數外,圖b9係類似於圖a9(圖1C-1)。圖b9在狀態S0期間具有一系列之振幅B17且在狀態S1期間具有一系列之振幅B18。Fig. 2C-1 shows an embodiment of the figures b8 and b9 for explaining the soft pulsation of the second variable. Figure b8 is similar to Figure a8 (Figure 1C-1) except that Figure b8 is for the second variable. Figure b8 has a series of amplitudes B15 during state S0 and a series of amplitudes B16 during state S1. Each of the series of amplitudes B15 is the same. Further, Fig. b9 is similar to Fig. a9 (Fig. 1C-1) except that Fig. b9 is for the second variable. Figure b9 has a series of amplitudes B17 during state S0 and a series of amplitudes B18 during state S1.

圖2C-2顯示用以說明與三狀態S2、S3與 S4同步之第二變數之軟脈動的圖b8與b9的實施例。應注意,除了圖b8係用以說明第二變數的軟脈動外,圖b8係類似於圖1C-2的圖a8。又,除了圖b9係用以說明第二變數的軟脈動外,圖b9係類似於圖1C-2的圖a9。Figure 2C-2 shows an embodiment of Figures b8 and b9 for illustrating soft pulsations of the second variable synchronized with the three states S2, S3 and S4. It should be noted that, in addition to Figure b8, which is used to illustrate the soft pulsation of the second variable, Figure b8 is similar to Figure a8 of Figure 1C-2. Further, Fig. b9 is similar to Fig. 9 of Fig. 1C-2 except that Fig. b9 is used to explain the soft pulsation of the second variable.

圖2D-1顯示用以說明第二變數之軟脈動之圖b10、b11、b12與b13的實施例。除了圖b10係針對第二變數外,圖b10係類似於圖a10(圖1D-1)。圖b10在狀態S0期間具有一系列之振幅B19且在狀態S1期間具有一系列之振幅B20。又,除了圖b11係針對第二變數外,圖b11係類似於圖a11(圖1D-1)。圖b11在狀態S0期間具有一系列之振幅B21且在狀態S1期間具有一系列之振幅B22。又,除了圖b12係針對第二變數外,圖b12係類似於圖a12(圖1D-1)。圖b12在狀態S0期間具有一系列之振幅B23且在狀態S1期間具有一系列之振幅B24。又,除了圖b13係針對第二變數外,圖b13係類似於圖a13(圖1D-1)。圖b13在狀態S0期間具有一系列之振幅B25且在狀態S1期間具有一系列之振幅B26。2D-1 shows an embodiment of the figures b10, b11, b12 and b13 for explaining the soft pulsation of the second variable. Figure b10 is similar to Figure a10 (Figure 1D-1) except that Figure b10 is for the second variable. Figure b10 has a series of amplitudes B19 during state S0 and a series of amplitudes B20 during state S1. Further, Fig. b11 is similar to Fig. a11 (Fig. 1D-1) except that Fig. b11 is for the second variable. Figure b11 has a series of amplitudes B21 during state S0 and a series of amplitudes B22 during state S1. Further, the figure b12 is similar to the figure a12 (Fig. 1D-1) except that the figure b12 is for the second variable. Figure b12 has a series of amplitudes B23 during state S0 and a series of amplitudes B24 during state S1. Further, the figure b13 is similar to the figure a13 (Fig. 1D-1) except that the figure b13 is for the second variable. Figure b13 has a series of amplitudes B25 during state S0 and a series of amplitudes B26 during state S1.

圖2D-2顯示用以說明與三狀態S2、S3與S4之第二變數之軟脈動之圖b12與b13的實施例。除了圖b12繪製第二變數對時間作圖外,圖b12係類似於圖1D-2的圖a12。又,除了圖b13繪製第二變數對時間作圖外,圖b13係類似於圖1D-2的圖a13。Figure 2D-2 shows an embodiment of Figures b12 and b13 for illustrating soft pulsations with the second variable of the three states S2, S3 and S4. Figure b12 is similar to Figure a12 of Figure 1D-2, except that Figure b12 plots the second variable versus time. Further, Fig. b13 is similar to Fig. 13 of Fig. 1D-2 except that the second variable is plotted against time in Fig. b13.

圖2E顯示用以說明第二變數之軟脈動之圖b14、b15與b16的實施例。除了圖b14係針對第二變數外,圖b14係類似於圖a14(圖1E)。圖b14在狀態S0期間具有一系列之振幅B27且在狀態S1期間具有一系列之振幅B28。又,除了圖b15係針對第二變數外,圖b15係類似於圖a15(圖1E)。圖b15在狀態S0期間具有一系列之振幅B29且在狀態S1期間具有一系列之振幅B30。又,除了圖b16係針對第二變數外,圖b16係類似於圖a16(圖1E)。圖b16在狀態S0期間具有一系列之振幅B31且在狀態S1期間具有一系列之振幅B32。Figure 2E shows an embodiment of Figures b14, b15 and b16 for illustrating soft pulsations of the second variable. Figure b14 is similar to Figure a14 (Figure 1E) except that Figure b14 is for the second variable. Figure b14 has a series of amplitudes B27 during state S0 and a series of amplitudes B28 during state S1. Again, Figure b15 is similar to Figure a15 (Figure 1E) except that Figure b15 is for the second variable. Figure b15 has a series of amplitudes B29 during state S0 and a series of amplitudes B30 during state S1. Again, Figure b16 is similar to Figure a16 (Figure 1E) except that Figure b16 is for the second variable. Figure b16 has a series of amplitudes B31 during state S0 and a series of amplitudes B32 during state S1.

圖2F顯示用以說明第二變數之軟脈動之圖b17與b18的實施例。除了圖b17係針對第二變數外,圖b17係類似於圖a17(圖1F)。圖b17在狀態S0期間具有一系列之振幅B33且在狀態S1期間具有一系列之振幅B34。又,除了圖b18係針對第二變數外,圖b18係類似於圖a18(圖1F)。圖b18在狀態S0期間具有一系列之振幅B35且在狀態S1期間具有一系列之振幅B36。Figure 2F shows an embodiment of Figures b17 and b18 for illustrating soft pulsations of the second variable. Figure b17 is similar to Figure a17 (Figure 1F) except that Figure b17 is for the second variable. Figure b17 has a series of amplitudes B33 during state S0 and a series of amplitudes B34 during state S1. Again, Figure b18 is similar to Figure a18 (Figure 1F) except that Figure b18 is for the second variable. Figure b18 has a series of amplitudes B35 during state S0 and a series of amplitudes B36 during state S1.

在各種實施例中,當兩圖具有相同的形狀(如波形等)且具有不同或相同的統計量測值時,此兩圖類似。例如,具有正弦形狀的兩圖在形狀上類似,但第一者的峰值至峰值振幅係大於第二者的峰值至峰值振幅。In various embodiments, the two figures are similar when the two figures have the same shape (eg, waveforms, etc.) and have different or the same statistical measurements. For example, two graphs having a sinusoidal shape are similar in shape, but the peak-to-peak amplitude of the first is greater than the peak-to-peak amplitude of the second.

在某些實施例中,包含狀態S1與狀態S0的一個循環具有數毫秒(如兩毫秒、三毫秒等)的持續時間。在各種實施例中,狀態S1與S0具有相同的佔空比。狀態S1緊接於狀態S0後。在數個實施例中,狀態S1具有一不同的佔空比,如比狀態S0之佔空比更大或更小的佔空比。狀態S1係緊接於狀態S0後。In some embodiments, one cycle containing state S1 and state S0 has a duration of a few milliseconds (eg, two milliseconds, three milliseconds, etc.). In various embodiments, states S1 and S0 have the same duty cycle. State S1 is immediately after state S0. In several embodiments, state S1 has a different duty cycle, such as a duty cycle that is greater or less than the duty cycle of state S0. State S1 is immediately after state S0.

在數個實施例中,在統計量測訊號(如RMS波形、峰值至峰值振幅波形等)的一循環期間,一正斜率或一負斜率發生於佔空比之至少一百分比的時間(如5百分比、6百分比、10百分比等)。In several embodiments, during a cycle of a statistical measurement signal (eg, RMS waveform, peak-to-peak amplitude waveform, etc.), a positive slope or a negative slope occurs at least a percentage of the duty cycle (eg, 5 Percentage, 6 percentages, 10 percentages, etc.).

應注意,在圖1A至1F及2A至2F的每一者中,文中所用的一圖示為該圖中所示之RF訊號之統計量測值。例如,圖1A之圖a1為具有一RF訊號之RMS值的訊號。具有RMS值之訊號係顯示於圖1A中。It should be noted that in each of FIGS. 1A to 1F and 2A to 2F, an illustration used herein is a statistical measurement of the RF signal shown in the figure. For example, Figure a1 of Figure 1A is a signal having an RMS value of an RF signal. The signal with RMS value is shown in Figure 1A.

應注意,雖然圖1A至1F及2A至2F繪製RMS值,但在某些實施例中,圖示可繪製RF產生器所產生之正弦RF訊號的任何其他統計量測值。It should be noted that while FIGS. 1A through 1F and 2A through 2F plot RMS values, in some embodiments, the graphs may plot any other statistical measure of the sinusoidal RF signal produced by the RF generator.

圖3顯示圖105與107之實施例,圖105與107係用以說明圖a1至a18及圖b1至b18繪製RF產生器所產生之正弦訊號的RMS值。圖105包含RF產生器所產生之正弦RF訊號102對時間t的作圖,如波形等。正弦RF訊號102包含在狀態S0期間所產生之第一部分101及在狀態S1期間所產生之第二部分103。圖105之作圖106為正弦RF訊號102之統計量測值(如包絡線、峰值至峰值振幅等)對時間t的作圖。3 shows an embodiment of FIGS. 105 and 107, and FIGS. 105 and 107 are used to illustrate the RMS values of the sinusoidal signals generated by the RF generators in FIGS. a1 to a18 and FIGS. b1 to b18. Figure 105 contains a plot of the sinusoidal RF signal 102 produced by the RF generator versus time t, such as a waveform. The sinusoidal RF signal 102 includes a first portion 101 generated during state S0 and a second portion 103 generated during state S1. Figure 106 of Figure 105 is a plot of statistical measurements (e.g., envelope, peak to peak amplitude, etc.) of sinusoidal RF signal 102 versus time t.

類似地,圖107包含RF產生器產生之正弦RF訊號108對時間t的作圖。圖107包含正弦RF訊號108之統計量測值110對時間t的作圖。Similarly, Figure 107 contains a plot of the sinusoidal RF signal 108 produced by the RF generator versus time t. Figure 107 contains a plot of the measured value 110 of the sinusoidal RF signal 108 versus time t.

圖4係用以說明一RF產生器產生一RF訊號以達到圖a1至a18中任一者所示之第一變數、且另一RF產生器產生一RF訊號以同時達到圖b1至b18中任一者所示之第二變數。例如,一RF產生器之數位訊號處理器(DSP)控制該RF產生器以產生用以達到圖a1之第一變數的一RF訊號,同時另一RF產生器的DSP控制該另一RF產生器以產生用以達到圖b2之第二變數的一RF訊號。又例如,一RF產生器之DSP控制該RF產生器以產生用以達到圖a16之第一變數的一RF訊號,同時另一RF產生器的DSP控制該另一RF產生器以產生用以達到圖b10之第二變數的一RF訊號。又更例如,一RF產生器之DSP控制該RF產生器以產生用以達到圖a1至a18中任一者之第一變數的一RF訊號,同時另一RF產生器的DSP控制該另一RF產生器以產生用以達到圖b1至b18中任一者之第二變數的一RF訊號。又例如,一RF產生器的DSP提供如圖a1至a18中任一者所示之第一變數以產生具有第一變數的一RF訊號,另一RF產生器的DSP提供如圖b1至b18中任一者所示之第二變數以產生具有第二變數的一RF訊號。又更例如,一RF產生器的DSP提供具有如圖a3所示之函數的第一變數以產生具有如圖a3所示之第一變數的一RF訊號,一RF產生器的DSP更提供具有如圖b5所示之函數的第二變數以產生具有如圖b5所示之第二變數的一RF訊號。4 is used to illustrate that an RF generator generates an RF signal to achieve the first variable shown in any of FIGS. a1 to a18, and another RF generator generates an RF signal to simultaneously achieve any of the figures b1 to b18. The second variable shown in one. For example, a digital signal processor (DSP) of an RF generator controls the RF generator to generate an RF signal for achieving the first variable of graph a1, while the DSP of another RF generator controls the other RF generator An RF signal is generated to achieve the second variable of Figure b2. For another example, a DSP of an RF generator controls the RF generator to generate an RF signal for achieving the first variable of FIG. a16, while a DSP of another RF generator controls the other RF generator to generate An RF signal of the second variable of Figure b10. Still further, for example, an RF generator DSP controls the RF generator to generate an RF signal to achieve a first variable of any of Figures a1 through a18, while another RF generator's DSP controls the other RF The generator generates an RF signal for achieving a second variable of any of Figures b1 through b18. For another example, the DSP of an RF generator provides a first variable as shown in any of Figures a1 to a18 to generate an RF signal having a first variable, and the DSP of the other RF generator is provided in Figures b1 to b18. The second variable, either shown, produces an RF signal having a second variable. Still more, for example, an RF generator DSP provides a first variable having a function as shown in FIG. a3 to generate an RF signal having a first variable as shown in FIG. a3, and an RF generator DSP is further provided with The second variable of the function shown in Figure b5 produces an RF signal having a second variable as shown in Figure b5.

文中用所之處理器一詞包含特殊應用積體電路(ASIC)、或可程式化邏輯裝置(PLD)、或中央處理單元(CPU)、或處理器、微處理器、或上述者的組合。The term processor is used herein to include a special application integrated circuit (ASIC), or a programmable logic device (PLD), or a central processing unit (CPU), or a processor, a microprocessor, or a combination of the above.

圖5顯示用以說明圖g1至g4間之類似性的圖g1、g2、g3與g4的實施例。圖g1代表RMS值,RMS值為第一變數的實例;圖g2代表RMS值,RMS值為第二變數的實例;圖g3代表第一參數的實例;圖g4代表第二參數的實例。Figure 5 shows an embodiment of the figures g1, g2, g3 and g4 for illustrating the similarities between Figures g1 to g4. Figure g1 represents the RMS value, the RMS value is an example of the first variable; Figure g2 represents the RMS value, the RMS value is an example of the second variable; Figure g3 represents an example of the first parameter; Figure g4 represents an example of the second parameter.

圖g1至g4中每一者係繪製於時間軸(時間t)上。例如,圖g1的狀態S1與S0被表現為時間t1、t2、t3與t4的函數。類似地,圖g2至g4之每一者的狀態S1與S0皆被表現為時間t1至t4的函數。Each of the graphs g1 to g4 is plotted on the time axis (time t). For example, states S1 and S0 of graph g1 are represented as a function of times t1, t2, t3, and t4. Similarly, states S1 and S0 of each of graphs g2 through g4 are represented as a function of time t1 through t4.

在各種實施例中,第一變數、第二變數、第一參數與第二參數中的每一者在一狀態期間具有相同類型的斜率。例如,第一變數、第二變數、第一參數與第二參數中的每一者如圖g1至g4中所示在狀態S0期間具有一固定值、在狀態S0期間具有負斜率、在狀態S1期間具有正斜率、或在狀態S1期間具有固定值。斜率類型的實例包含零斜率、正斜率及負斜率。In various embodiments, each of the first variable, the second variable, the first parameter, and the second parameter have the same type of slope during a state. For example, each of the first variable, the second variable, the first parameter, and the second parameter has a fixed value during state S0, a negative slope during state S0, and a state S1 as shown in Figures g1 through g4 The period has a positive slope or has a fixed value during state S1. Examples of slope types include zero slope, positive slope, and negative slope.

在某些實施例中,第一變數、第二變數、第一參數及第二參數中的任一者在一狀態期間具有不同類型的斜率,其係不同於第一變數、第二變數、第一參數及第二參數中剩餘者之任一者在該狀態期間的斜率。例如,第一變數在狀態S1期間具有正斜率而第二變數在狀態S1期間具有負斜率。又,在此實例中,第一變數在狀態S0期間具有負斜率而第二變數在狀態S0期間具有正斜率。又例如,第一變數在狀態S1期間具有固定斜率而第二參數在狀態S1期間具有負斜率。又,在此實例中,第一變數在狀態S0期間具有正斜率而第二參數在狀態S0期間具有固定斜率。In some embodiments, any one of the first variable, the second variable, the first parameter, and the second parameter has a different type of slope during a state, which is different from the first variable, the second variable, the first The slope of any of the remaining parameters of the one parameter and the second parameter during the state. For example, the first variable has a positive slope during state S1 and the second variable has a negative slope during state S1. Also, in this example, the first variable has a negative slope during state S0 and the second variable has a positive slope during state S0. As another example, the first variable has a fixed slope during state S1 and the second parameter has a negative slope during state S1. Also, in this example, the first variable has a positive slope during state S0 and the second parameter has a fixed slope during state S0.

在某些實施例中,可使用任何數目之變數如一、二、三、四、六等以及任何數目之參數來控制電漿室。In some embodiments, any number of variables such as one, two, three, four, six, etc., and any number of parameters can be used to control the plasma chamber.

在各種實施例中,圖g1為x MHz RF產生器所產生之RF訊號的統計量測值,圖g2為y或z MHz RF產生器所產生之RF訊號的統計量測值。In various embodiments, graph g1 is a statistical measure of the RF signal produced by the x MHz RF generator, and graph g2 is a statistical measure of the RF signal generated by the y or z MHz RF generator.

應注意,雖然在圖g1至g4中顯示波形的形狀,但在數個實施例中,可應用其他形狀的波形,如圖a1至a3及a5至a18等中所示的形狀。It should be noted that although the shapes of the waveforms are shown in FIGS. g1 to g4, in several embodiments, waveforms of other shapes may be applied, as shown in the shapes shown in a1 to a3 and a5 to a18 and the like.

應注意,圖1A至1F、2A至2F、3及5的每一圖中,以虛線來顯示一數位經脈動之訊號,如電晶體-電晶體邏輯(TTL)訊號、數位時脈訊號、具有活性部與非活性部的訊號、具有高位準與低位準的訊號、具有三位準之訊號等。It should be noted that in each of FIGS. 1A to 1F, 2A to 2F, 3, and 5, a digital pulsation signal such as a transistor-transistor logic (TTL) signal, a digital clock signal, and The signal of the active part and the inactive part, the signal with high level and low level, the signal with three levels, and the like.

圖6A顯示利用來自主機系統312之數位經脈動之訊號進行軟脈動之電漿系統300之一實施例。主機系統312的實例包含電腦,如桌上型電腦、筆記型電腦、平板等。如所示,主機系統312包含處理器與記憶裝置。記憶裝置的實例包含唯讀記憶體(ROM)、隨機存取記憶體(RAM)、或其組合。記憶裝置的其他實例包含快閃記憶體、儲存碟之冗餘陣列(redundant array of storage disks, RAID)、硬碟等。FIG. 6A shows an embodiment of a plasma system 300 for soft pulsation using digital pulsation signals from host system 312. Examples of host system 312 include computers, such as desktop computers, notebook computers, tablets, and the like. As shown, host system 312 includes a processor and a memory device. Examples of memory devices include read only memory (ROM), random access memory (RAM), or a combination thereof. Other examples of memory devices include flash memory, redundant array of storage disks (RAID), hard disks, and the like.

主機系統312係耦合至x 百萬赫茲(MHz) RF產生器、y MHz RF產生器及z MHz RF產生器。MHz的實例包含2 MHz、27 MHz與60 MHz。y MHz的實例包含2 MHz、27 MHz與60 MHz。z MHz的實例包含2 MHz、27 MHz與60 MHz。Host system 312 is coupled to an x-megahertz (MHz) RF generator, a y MHz RF generator, and a z MHz RF generator. Examples of MHz include 2 MHz, 27 MHz, and 60 MHz. Examples of y MHz include 2 MHz, 27 MHz, and 60 MHz. Examples of z MHz include 2 MHz, 27 MHz, and 60 MHz.

x MHz係不同於y MHz和z MHz。例如,當x MHz為2 MHz時,y MHz 為27 MHz且z MHz為60 MHz。The x MHz system is different from y MHz and z MHz. For example, when x MHz is 2 MHz, y MHz is 27 MHz and z MHz is 60 MHz.

每一RF產生器包含一DSP、一組功率控制器、一組自動頻率調變器(AFTs)、及一RF功率供給器。例如,x MHz RF產生器包含一數位訊號處理器DSPx、一功率控制器PCS1x、一功率控制器PCS0x、一頻率自動調變器AFTS1x、一頻率自動調變器AFTS0x及一RF功率供給器PSx。又例如,y MHz RF產生器 包含一數位訊號處理器DSPy、一功率控制器PCS1y、一功率控制器PCS0y、一頻率自動調變器AFTS1y、一頻率自動調變器AFTS0y及一RF功率供給器PSy。又更例如,z MHz RF產生器包含一數位訊號處理器DSPz、一功率控制器PCS1z、一功率控制器PCS0z、一頻率自動調變器AFTS1z、一頻率自動調變器AFTS0z及一RF功率供給器PSz。Each RF generator includes a DSP, a set of power controllers, a set of automatic frequency modulators (AFTs), and an RF power supply. For example, the x MHz RF generator includes a digital signal processor DSPx, a power controller PCS1x, a power controller PCS0x, a frequency auto-tuner AFTS1x, a frequency auto-tuner AFTS0x, and an RF power supplier PSx. For another example, the y MHz RF generator includes a digital signal processor DSPy, a power controller PCS1y, a power controller PCS0y, a frequency auto-tuner AFTS1y, a frequency auto-tuner AFTS0y, and an RF power supplier PSy. . For example, the z MHz RF generator includes a digital signal processor DSPz, a power controller PCS1z, a power controller PCS0z, a frequency auto-tuner AFTS1z, a frequency auto-tuner AFTS0z, and an RF power supplier. PSz.

x、y與z MHz RF產生器係藉由RF纜線連接至阻抗匹配電路(IMC)302。例如,x MHz RF產生器係藉由 RF纜線304連接至IMC 302、y MHz RF產生器係藉由RF纜線320耦合至IMC 302、z MHz RF產生器係藉由RF纜線322耦合至IMC 302。The x, y, and z MHz RF generators are connected to an impedance matching circuit (IMC) 302 by an RF cable. For example, an x MHz RF generator is coupled to the IMC 302 by an RF cable 304. The y MHz RF generator is coupled to the IMC 302 by an RF cable 320. The z MHz RF generator is coupled to the RF cable 322 by an RF cable 322. IMC 302.

在各種實施例中,一RF纜線包含被絕緣材料圍繞的內部導體,絕緣材料被外部導體所圍繞,外部導體更被一外套所圍繞。在數個實施例中,該外部導體係由金屬編織線所製成、而該外套係由絕緣體材料所製成。In various embodiments, an RF cable includes an inner conductor surrounded by an insulating material surrounded by an outer conductor that is further surrounded by a jacket. In several embodiments, the outer guiding system is made of a metal braided wire and the outer casing is made of an insulator material.

IMC 302係藉由RF傳輸線310耦合至電漿室308。在各種實施例中,RF傳輸線310包含連接至IMC 302的一圓柱,如一通道等。在圓柱的中空部分有一絕緣體與一RF棒。RF傳輸線310更包含一端耦合至圓柱之RF棒的一RF匙,如RF帶等。RF匙的另一端係耦合至一垂直放置之圓柱的RF棒,此RF棒係耦合至電漿室308的夾頭132。The IMC 302 is coupled to the plasma chamber 308 by an RF transmission line 310. In various embodiments, RF transmission line 310 includes a cylinder connected to IMC 302, such as a channel or the like. There is an insulator and an RF rod in the hollow portion of the cylinder. The RF transmission line 310 further includes an RF key, such as an RF band, that is coupled to the RF rod of the cylinder at one end. The other end of the RF key is coupled to a vertically placed cylindrical RF rod that is coupled to the collet 132 of the plasma chamber 308.

電漿室308包含夾頭132與上電極134。夾頭132的實例包含靜電夾頭 (ESC)與磁性夾頭。電漿室308更包含一或多個其他部件(未顯示),如圍繞上電極134的上介電環、圍繞上介電環的上電極延伸部、圍繞夾頭132之下電極的下介電環、圍繞下介電環之下電極延伸部、上電極排除區域(PEZ)環、下PEZ環等。上電極134係位於夾頭132的相對側並與其相望。工作件324如半導體基板、具有積體電路之半導體基板、晶圓等係被支撐於夾頭132的上表面327上。上電極134的下表面面對夾頭132的上表面327。The plasma chamber 308 includes a collet 132 and an upper electrode 134. Examples of the collet 132 include an electrostatic chuck (ESC) and a magnetic chuck. The plasma chamber 308 further includes one or more other components (not shown), such as an upper dielectric ring surrounding the upper electrode 134, an upper electrode extension surrounding the upper dielectric ring, and a lower dielectric surrounding the lower electrode of the chuck 132. The ring surrounds the electrode extension under the lower dielectric ring, the upper electrode exclusion region (PEZ) ring, the lower PEZ ring, and the like. The upper electrode 134 is located on the opposite side of the collet 132 and faces it. The workpiece 324 such as a semiconductor substrate, a semiconductor substrate having an integrated circuit, a wafer, or the like is supported on the upper surface 327 of the chuck 132. The lower surface of the upper electrode 134 faces the upper surface 327 of the collet 132.

在製造期間在工作件324上進行各種製程,如化學氣相沉積、清理、沉積、濺射、蝕刻、蝕刻、離子植入、光阻剝除等。在工作件324上發展積體電路(如ASICs、PLDs等)且此些積體電路係用於各種電子物品(如手機、平板、智能手機、電腦、筆記型電腦、網路設備等)。下電極與上電極134每一者係由如鋁、鋁合金、銅等金屬所製成。上電極132係耦合至一參考電壓(如接地電壓、固定電壓等)。Various processes are performed on the workpiece 324 during fabrication, such as chemical vapor deposition, cleaning, deposition, sputtering, etching, etching, ion implantation, photoresist stripping, and the like. Integrated circuits (such as ASICs, PLDs, etc.) are developed on the work piece 324 and are used in various electronic items (such as mobile phones, tablets, smart phones, computers, notebook computers, network devices, etc.). The lower electrode and the upper electrode 134 are each made of a metal such as aluminum, aluminum alloy, copper or the like. The upper electrode 132 is coupled to a reference voltage (eg, a ground voltage, a fixed voltage, etc.).

主機系統312的處理器產生數位經脈動之訊號326,其為具有兩個狀態的數位訊號。例如,數位經脈動之訊號具有零斜率或有限斜率。在某些實施例中,使用時脈振盪器(如石英振盪器等)取代主機系統326產生類比時脈訊號,時脈訊號藉由類比轉數位轉換器而被轉換為數位經脈動之訊號326。The processor of host system 312 generates a digital pulsed signal 326, which is a digital signal having two states. For example, a digital pulsed signal has a zero slope or a finite slope. In some embodiments, a clock oscillator (such as a quartz oscillator, etc.) is used in place of the host system 326 to generate an analog clock signal, which is converted to a digital pulsed signal 326 by an analog to digital converter.

數位經脈動之訊號326具有兩個狀態,即狀態S1與狀態S0。在各種實施例中,數位經脈動之訊號326為TTL訊號。狀態S1與S0的實例包含開啟狀態與關閉狀態、具有數位值1的狀態與具有數位值0的狀態、及高狀態與低狀態等。例如,狀態S1為高狀態而狀態S0為低狀態。又例如,狀態S1具有數位值1而狀態S0具有數位值0。又更例如,狀態S1為開啟狀態而狀態S0為關閉狀態。The digital pulsed signal 326 has two states, state S1 and state S0. In various embodiments, the digital pulsed signal 326 is a TTL signal. Examples of states S1 and S0 include an on state and a off state, a state having a digit value of 1 and a state having a digit value of 0, and a high state and a low state, and the like. For example, state S1 is a high state and state S0 is a low state. As another example, state S1 has a digit value of one and state S0 has a digit value of zero. Still more, for example, state S1 is an on state and state S0 is a off state.

DSPx接收數位經脈動之訊號326並辨識數位經脈動之訊號326的狀態。例如,DSPx判斷出數位經脈動之訊號326在佔空比的第一部分期間具有第一振幅(如數位值1、高狀態等)、且在佔空比的第二部分期間具有第二振幅(如數位值0、低狀態等)。DSPx判斷出數位經脈動之訊號326在第一部分期間具有狀態S1且在第二部分期間具有狀態S0。狀態S0的實例包含低狀態、具有數值0的狀態與關閉狀態。狀態S1的實例包含高狀態、具有數值1的狀態與開啟狀態。又更例如,DSPx將數位經脈動之訊號326的振幅與一預存值比較以判斷出,在第一部分期間數位經脈動之訊號326的振幅係大於該預存值、且在第二部分期間數位經脈動之訊號326之狀態0期間的振幅係不大於該預存值。在使用時脈振盪器的實施例中,DSPx自時脈振盪器接收類比時脈訊號、將類比訊號轉換為數位形式、然後辨識兩狀態S0與S1。The DSPx receives the digital pulsed signal 326 and recognizes the state of the digital pulsed signal 326. For example, DSPx determines that digital pulsed signal 326 has a first amplitude (eg, a digital value 1, a high state, etc.) during a first portion of the duty cycle and a second amplitude during a second portion of the duty cycle (eg, Digital value 0, low state, etc.). The DSPx determines that the digital pulsed signal 326 has a state S1 during the first portion and a state S0 during the second portion. An instance of state S0 includes a low state, a state with a value of 0, and a closed state. An instance of state S1 includes a high state, a state with a value of 1, and an open state. Still further, for example, DSPx compares the amplitude of the digital pulsed signal 326 with a pre-stored value to determine that the amplitude of the digitally pulsed signal 326 during the first portion is greater than the pre-stored value and is pulsed during the second portion. The amplitude during the state 0 of the signal 326 is not greater than the pre-stored value. In an embodiment using a clock oscillator, the DSPx receives an analog clock signal from the clock oscillator, converts the analog signal to a digital form, and then recognizes the two states S0 and S1.

當辨識出數位經脈動之訊號326的狀態為S1時,DSPx將一功率值Px1提供予功率控制器PCS1x並將一頻率值Fx1提供予AFTS1x。功率值Px1的實例包含圖a1至a18中所示之任一訊號之狀態S1的RMS值。舉例說明,功率值Px1 可為下列的任一者:振幅A2、A4、A6、A8、A10、A12、A14、A16、A18、A20、A22、A24、A26、A28、A30、A32、A34與A36 (圖1A、1B、1C-1、1D-1與1E至1F)。頻率值Fx1的實例包含圖b1至b18中所示之任一訊號之狀態S1的RMS值。舉例說明,頻率值Fx1可為下列的任一者:振幅B2、B4、B6、B8、B10、B12、B14、B16、B18、B20、B22、B24、B26、B28、B30、B32、B34與B36(圖2A、2B、2C-1、2D-1與2E至2F)。When the state of the digital pulsed signal 326 is identified as S1, the DSPx provides a power value Px1 to the power controller PCS1x and a frequency value Fx1 to the AFTS1x. An example of the power value Px1 includes the RMS value of the state S1 of any of the signals shown in FIGS. a1 to a18. For example, the power value Px1 can be any of the following: amplitude A2, A4, A6, A8, A10, A12, A14, A16, A18, A20, A22, A24, A26, A28, A30, A32, A34, and A36. (Figs. 1A, 1B, 1C-1, 1D-1 and 1E to 1F). An example of the frequency value Fx1 includes the RMS value of the state S1 of any of the signals shown in FIGS. b1 to b18. For example, the frequency value Fx1 can be any of the following: amplitude B2, B4, B6, B8, B10, B12, B14, B16, B18, B20, B22, B24, B26, B28, B30, B32, B34 and B36 (Figs. 2A, 2B, 2C-1, 2D-1 and 2E to 2F).

又,當辨識出狀態為S0時,DSPx將一功率值Px0提供予功率控制器PCS0x並將一頻率值Fx0提供予AFTS0x。功率值Px0的實例包含圖a1至a18中所示之任一訊號之狀態S0的RMS值。舉例說明,功率值Px0可為下列任一者:振幅A1、A3、A5、A7、A9、A11、A13、A15、A17、A19、A21、A23、A25、A27、A29、A31、A33與A35(圖1A、1B、1C-1、1D-1與1E至1F)。頻率值Fx0的實例包含圖b1至b18中所示之任一訊號之狀態S0的RMS值。舉例說明,頻率值Fx0可為下列的任一者:振幅B1、B3、B5、B7、B9、B11、B13、B15、B17、B19、B21、B23、B25、B27、B29、B31、B33與B35(圖2A、2B、2C-1、2D-1與2E至2F)。Also, when the state is identified as S0, the DSPx supplies a power value Px0 to the power controller PCS0x and a frequency value Fx0 to the AFTS0x. An example of the power value Px0 includes the RMS value of the state S0 of any of the signals shown in Figures a1 to a18. For example, the power value Px0 can be any of the following: amplitudes A1, A3, A5, A7, A9, A11, A13, A15, A17, A19, A21, A23, A25, A27, A29, A31, A33, and A35 ( 1A, 1B, 1C-1, 1D-1 and 1E to 1F). An example of the frequency value Fx0 includes the RMS value of the state S0 of any of the signals shown in Figures b1 to b18. For example, the frequency value Fx0 can be any of the following: amplitudes B1, B3, B5, B7, B9, B11, B13, B15, B17, B19, B21, B23, B25, B27, B29, B31, B33, and B35 (Figs. 2A, 2B, 2C-1, 2D-1 and 2E to 2F).

應注意,在某些實施例中,RF產生器的AFTs與RF產生器的功率控制器為一或多個邏輯塊。例如,功率控制器PCS1x與PCS0x以及自動頻率調變器AFTS1x與AFTS0x為邏輯塊(如調變迴路等)而為受到DSPx所執行之電腦程式之一部分。在某些實施例中,電腦程式係體現於非瞬變電腦可讀媒體(如記憶體裝置)內。It should be noted that in some embodiments, the AFTs of the RF generator and the power controller of the RF generator are one or more logic blocks. For example, the power controllers PCS1x and PCS0x and the automatic frequency modulators AFTS1x and AFTS0x are logic blocks (such as modulation loops, etc.) and are part of a computer program that is executed by the DSPx. In some embodiments, the computer program is embodied in a non-transitory computer readable medium, such as a memory device.

在一實施例中,使用一硬體裝置(如一硬體控制器、ASIC、PLD等)來取代RF產生器的邏輯塊。例如,使用一硬體控制器取代功率控制器PCS1x、使用另一硬體控制器取代功率控制器PCS0x、使用更另一硬體控制器取代AFTS1x、及使用又另一硬體控制器取代AFTS0x。In one embodiment, a hardware device (such as a hardware controller, ASIC, PLD, etc.) is used in place of the logic blocks of the RF generator. For example, replace the power controller PCS1x with a hardware controller, replace the power controller PCS0x with another hardware controller, replace the AFTS1x with another hardware controller, and replace the AFTS0x with another hardware controller.

在接收功率值Px1後,在狀態S1期間功率控制器PCS1x決定用以產生在狀態S1期間之一正弦訊號之一部分且具有RMS值Px1的複數功率值。類似地,在接收功率值Px0後,在狀態S0期間功率控制器PCS0x決定用以產生在狀態S0期間之一正弦訊號之一部分且具有RMS值Px0的複數功率值。After receiving the power value Px1, the power controller PCS1x determines a complex power value for generating a portion of one of the sinusoidal signals during the state S1 and having the RMS value Px1 during the state S1. Similarly, after receiving the power value Px0, the power controller PCS0x determines the complex power value used to generate a portion of one of the sinusoidal signals during state S0 and having the RMS value Px0 during state S0.

又,在接收頻率值Fx1後,在狀態S1期間頻率自動調變器AFTS1x決定用以產生在狀態S1期間之一正弦訊號之一部分且具有RMS值Fx1的複數頻率值。類似地,在接收頻率值Fx0後,在狀態S0期間頻率自動調變器AFTS0x決定用以產生在狀態S0期間之一正弦訊號之一部分且具有RMS值Fx0的複數頻率值。Further, after receiving the frequency value Fx1, the frequency auto-tuner AFTS1x determines a complex frequency value for generating a portion of one of the sinusoidal signals during the state S1 and having the RMS value Fx1 during the state S1. Similarly, after receiving the frequency value Fx0, the frequency auto-tuner AFTS0x determines the complex frequency value used to generate a portion of one of the sinusoidal signals during state S0 and having the RMS value Fx0 during state S0.

在狀態S1期間,功率控制器PCS1x將自RMS功率值Px1所產生的該複數功率值提供予RF電源PSx。又,在狀態S1期間,頻率自動調變器AFTS1x將自RMS頻率值Fx1所產生的該複數頻率值提供予RF電源PSx。在狀態S1期間,RF電源PSx產生一RF訊號,如RF訊號102(圖3)、RF訊號108(圖3)等的一部分,其具有自該RMS功率值Px1所產生之該複數功率值且具有自該RMS頻率值Fx1所產生之該複數頻率值。During state S1, power controller PCS1x provides the complex power value generated from RMS power value Px1 to RF power source PSx. Also, during the state S1, the frequency auto-tuner AFTS1x supplies the complex frequency value generated from the RMS frequency value Fx1 to the RF power source PSx. During state S1, RF power source PSx generates an RF signal, such as a portion of RF signal 102 (FIG. 3), RF signal 108 (FIG. 3), etc., having the complex power value generated from the RMS power value Px1 and having The complex frequency value generated from the RMS frequency value Fx1.

類似地,在狀態S0期間,功率控制器PCS0x將自RMS功率值Px0所產生的該複數功率值提供予RF電源PSx。又,在狀態S0期間,頻率自動調變器AFTS0x將自RMS頻率值Fx0所產生的該複數頻率值提供予RF電源PSx。在狀態S0期間,RF電源PSx產生該RF訊號(如RF訊號102(圖3)、RF訊號108(圖3))等的剩餘部分,其具有自該RMS功率值Px0所產生之該複數功率值且具有自該RMS頻率值Fx0所產生之該複數頻率值。RF產生器基於複數功率值及/或複數頻率值所產生的RF訊號為正弦訊號,如非固定值、非指數的訊號。x MHz RF產生器所產生的RF訊號係藉由RF纜線304而供給至IMC 302。Similarly, during state S0, power controller PCS0x provides the complex power value generated from RMS power value Px0 to RF power source PSx. Also, during state S0, the frequency auto-tuner AFTS0x supplies the complex frequency value generated from the RMS frequency value Fx0 to the RF power source PSx. During state S0, the RF power source PSx generates the remainder of the RF signal (eg, RF signal 102 (FIG. 3), RF signal 108 (FIG. 3)), etc., having the complex power value generated from the RMS power value Px0. And having the complex frequency value generated from the RMS frequency value Fx0. The RF signal generated by the RF generator based on the complex power value and/or the complex frequency value is a sinusoidal signal, such as a non-fixed value, a non-exponential signal. The RF signal generated by the x MHz RF generator is supplied to the IMC 302 by the RF cable 304.

DSPx將數位經脈動之訊號326提供予y MHz RF產生器的DSPy及z MHz RF產生器的DSPz。當x MHz RF產生器提供數位經脈動之訊號326予y與z MHz RF產生器時,x MHz RF產生器具有主RF產生器的角色且DSPx具有主控制器的角色。y與z MHz RF產生器在接收到數位經脈動之訊號326後,以類似於x MHz RF產生器基於數位經脈動之訊號326產生RF訊號的方式產生正弦RF訊號。y MHz RF產生器所產生的RF訊號係藉由RF纜線320供給至IMC 302,z MHz RF產生器所產生的RF訊號係藉由RF纜線322供給至IMC 302。y MHz RF產生器或z MHz RF產生器所產生之RF訊號的實例包含具有下列者的RF訊號:振幅A1與A2(圖1A)、或振幅A3與A4(圖1A)、或振幅A5與A6(圖1A)、或振幅A7與A8(圖1A)、或振幅A9與A10(圖1B)、或振幅A11與A12(圖1B)、或振幅A13與A14(圖1B)、或振幅A15 與A16(圖1C-1)、或振幅A17與A18(圖1C-1)、或振幅A19與A20(圖1D-1)、或振幅A21與A22(圖1D-1)、或振幅A23與A24(圖1D-1)、或振幅A25與A26(圖1D-1)、或振幅A27與A28(圖1E)、或振幅A29與A30(圖1E)、或振幅A31與A32(圖1E)、或振幅A33與A34(圖1F)、或振幅A35與A36(圖1F)。The DSPx provides the digital pulsed signal 326 to the DSPy of the y MHz RF generator and the DSPz of the z MHz RF generator. When the x MHz RF generator provides digitally pulsed signals 326 to the y and z MHz RF generators, the x MHz RF generator has the role of the primary RF generator and the DSPx has the role of the primary controller. The y and z MHz RF generators, after receiving the digital pulsed signal 326, generate a sinusoidal RF signal in a manner similar to the x MHz RF generator generating an RF signal based on the digital pulsed signal 326. The RF signal generated by the y MHz RF generator is supplied to the IMC 302 via the RF cable 320, and the RF signal generated by the z MHz RF generator is supplied to the IMC 302 via the RF cable 322. Examples of RF signals generated by a y MHz RF generator or a z MHz RF generator include RF signals having amplitudes A1 and A2 (Fig. 1A), or amplitudes A3 and A4 (Fig. 1A), or amplitudes A5 and A6. (Fig. 1A), or amplitudes A7 and A8 (Fig. 1A), or amplitudes A9 and A10 (Fig. 1B), or amplitudes A11 and A12 (Fig. 1B), or amplitudes A13 and A14 (Fig. 1B), or amplitudes A15 and A16 (Fig. 1C-1), or amplitudes A17 and A18 (Fig. 1C-1), or amplitudes A19 and A20 (Fig. 1D-1), or amplitudes A21 and A22 (Fig. 1D-1), or amplitudes A23 and A24 (Fig. 1D-1), or amplitudes A25 and A26 (Fig. 1D-1), or amplitudes A27 and A28 (Fig. 1E), or amplitudes A29 and A30 (Fig. 1E), or amplitudes A31 and A32 (Fig. 1E), or amplitude A33 With A34 (Fig. 1F), or amplitudes A35 and A36 (Fig. 1F).

IMC 302自x、y及z MHz RF產生器接收RF訊號並匹配耦合至IMC 302之一負載的阻抗與耦合至IMC 302之一源的阻抗以產生經修正的RF訊號306。例如,IMC 302匹配RF傳輸線310和電漿室308的阻抗與x MHz RF產生器、y MHz RF產生器、z MHz RF產生器、RF纜線304、RF纜線320和RF纜線322的阻抗,以產生經修正的RF訊號306。又例如,IMC 302匹配耦合至IMC 302之電漿系統300之作為負載之任何元件的阻抗與耦合至IMC 302之電漿系統300之作為源之任何元件的阻抗,以產生經修正的RF訊號306。耦合至IMC 302之作為負載的元件的實例包含RF傳輸線310、電漿室308、及在IMC 302之電漿室308所在側上並耦合至IMC 302的任何其他元件(如濾件等)。耦合至IMC 302作為源之元件的實例包含x、y及z RF產生器、RF纜線304、320及322、及耦合至IMC 302之x、y及z MHz RF產生器所在側的其他元件(如濾件等)。The IMC 302 receives RF signals from the x, y, and z MHz RF generators and matches the impedance coupled to one of the IMC 302 loads and the impedance coupled to one of the sources of the IMC 302 to produce a modified RF signal 306. For example, IMC 302 matches the impedance of RF transmission line 310 and plasma chamber 308 with the impedance of x MHz RF generator, y MHz RF generator, z MHz RF generator, RF cable 304, RF cable 320, and RF cable 322. To generate a corrected RF signal 306. As another example, IMC 302 matches the impedance of any component of the plasma system 300 coupled to IMC 302 as a load and the impedance of any component coupled to the plasma system 300 of IMC 302 as a source to produce a corrected RF signal 306. . Examples of components that are coupled to the IMC 302 as a load include an RF transmission line 310, a plasma chamber 308, and any other components (such as filter elements, etc.) that are on the side of the plasma chamber 308 of the IMC 302 and that are coupled to the IMC 302. Examples of components coupled to IMC 302 as a source include x, y, and z RF generators, RF cables 304, 320, and 322, and other components coupled to the side of the x, y, and z MHz RF generators of IMC 302 ( Such as filter pieces, etc.).

IMC 302藉由RF傳輸線310將經修正的訊號306送至夾頭132。當在上電極134與夾頭132之間供給一或多種製程氣體時且當經修正的RF訊號306被供給至夾頭132時,該一或多種製程氣體會被點燃以在電漿室308內產生電漿。The IMC 302 sends the corrected signal 306 to the collet 132 via the RF transmission line 310. When one or more process gases are supplied between the upper electrode 134 and the collet 132 and when the modified RF signal 306 is supplied to the collet 132, the one or more process gases are ignited to be within the plasma chamber 308. Produce plasma.

在各種實施例中,上電極132包含耦合至中央氣體饋件(未顯示)的一或多個氣體入口(如孔洞等)。中央氣體饋件自氣體供給源(如氣體儲槽等)接收該一或多種製程氣體。製程氣體的實例包含含氧氣體,如O2 。製程氣體的其他實例包含含氟氣體,如四氟化碳(CF4 )、六氟化硫(SF6 )、六氟乙烷(C2 F6 )等。In various embodiments, the upper electrode 132 includes one or more gas inlets (eg, holes, etc.) coupled to a central gas feed (not shown). The central gas feed receives the one or more process gases from a gas supply (eg, a gas reservoir, etc.). Examples of process gases include oxygen containing gases such as O 2 . Other examples of process gases include fluorine-containing gases such as carbon tetrafluoride (CF 4 ), sulfur hexafluoride (SF 6 ), hexafluoroethane (C 2 F 6 ), and the like.

圖6B為用以說明將軟脈動應用至複數變數之電漿系統350之一實施例。 系統350包含x、y與z MHz RF產生器、IMC 302與電漿室308。電漿系統350 更包含相位延遲電路138、間隙控制系統362、壓力控制系統364與流動控制系統366。Figure 6B is an illustration of one embodiment of a plasma system 350 for applying soft pulsation to a complex variable. System 350 includes x, y, and z MHz RF generators, IMC 302, and plasma chamber 308. The plasma system 350 further includes a phase delay circuit 138, a gap control system 362, a pressure control system 364, and a flow control system 366.

在某些實施例中,處理器(如主機系統312的處理器等)取代相位延遲電路138來產生數位經脈動之訊號326的相位延遲。In some embodiments, a processor (such as a processor of host system 312, etc.) replaces phase delay circuit 138 to generate a phase delay of digitally pulsed signal 326.

間隙控制系統362包含間隙處理器130、狀態S1之間隙驅動器GDS1及狀態S0之間隙驅動器GDS0。又,壓力控制系統364包含壓力處理器140、狀態S1之壓力控制PCS1及狀態S0之壓力控制PCS0。又,流動控制系統366包含流動處理器146、狀態S1之流動驅動器FDS1、及狀態S0之流動驅動器FDS0。The gap control system 362 includes a gap processor 130, a gap driver GDS1 of state S1, and a gap driver GDS0 of state S0. Further, the pressure control system 364 includes a pressure processor 140, a pressure control PCS1 of the state S1, and a pressure control PCS0 of the state S0. Further, the flow control system 366 includes a flow processor 146, a flow driver FDS1 of state S1, and a flow driver FDS0 of state S0.

在某些實施例中,驅動器或控制器包含用以產生電流訊號的一或多個電晶體。In some embodiments, the driver or controller includes one or more transistors for generating a current signal.

電漿系統350亦包含馬達136、馬達144及馬達150,馬達136係連接至間隙控制系統362以及上電極134,馬達144係連接至電漿室308之限制環部142A與142B以及壓力控制系統364,馬達150係連接至閥件148及流動控制系統366。應注意,限制環部142A與限制環部142B形成一或多個限制環142。The plasma system 350 also includes a motor 136, a motor 144 coupled to the gap control system 362 and an upper electrode 134, and a motor 144 coupled to the restriction ring portions 142A and 142B of the plasma chamber 308 and a pressure control system 364. Motor 150 is coupled to valve member 148 and flow control system 366. It should be noted that the restriction ring portion 142A and the restriction ring portion 142B form one or more restriction rings 142.

馬達136、上電極134及/或夾頭132有時在文中被稱為間隙控制機械元件。又,馬達144及/或限制環142有時在文中被稱為壓力控制機械元件。又,馬達150、氣體源GS及/或閥件148有時在文中被稱為流動控制機械元件。Motor 136, upper electrode 134, and/or collet 132 are sometimes referred to herein as gap control mechanical elements. Again, motor 144 and/or restraint ring 142 are sometimes referred to herein as pressure control mechanical components. Again, motor 150, gas source GS, and/or valve member 148 are sometimes referred to herein as flow control mechanical components.

在某些實施例中,馬達136係連接至夾頭132而非上電極134,以移動夾頭132而非上電極134。在各種實施例中,一馬達係連接至夾頭132而另一馬達係連接至上電極132,且兩馬達係皆連接至間隙控制系統362。In some embodiments, motor 136 is coupled to collet 132 rather than upper electrode 134 to move collet 132 instead of upper electrode 134. In various embodiments, one motor is coupled to the collet 132 and the other motor is coupled to the upper electrode 132, and both motor systems are coupled to the gap control system 362.

在各種實施例中,限制環142係由導電材料所製成,如矽、多晶矽、碳化矽、碳化硼、陶瓷、鋁等。一般而言,限制環142圍繞電漿室308之一體積382的周圍,電漿將形成於該體積382中。在各種實施例中,除了限制環142外,體積382的周圍係由上電極134、夾頭132、介於電極與電極延伸部之間及上與下電極延伸部之間的一或多個絕緣體環(如介電環等)所定義。In various embodiments, the confinement ring 142 is made of a conductive material such as tantalum, polycrystalline germanium, tantalum carbide, boron carbide, ceramic, aluminum, and the like. In general, the confinement ring 142 surrounds a volume 382 of one of the plasma chambers 308 in which plasma will be formed. In various embodiments, in addition to the confinement ring 142, the volume 382 is surrounded by an upper electrode 134, a collet 132, one or more insulators interposed between the electrode and the electrode extension, and between the upper and lower electrode extensions. Rings (such as dielectric rings, etc.) are defined.

馬達的實例包含將電能轉換為機械能的電機器。馬達的其他實例包含交流(AC)馬達。馬達的其他實施例包含具有一動部(如轉子)與一固定部(如定子)的機器。定子與轉子之間具有間隙。An example of a motor includes an electric machine that converts electrical energy into mechanical energy. Other examples of motors include alternating current (AC) motors. Other embodiments of the motor include a machine having a moving portion (such as a rotor) and a fixed portion (such as a stator). There is a gap between the stator and the rotor.

閥件的實例包含藉由開啟、關閉或部分地阻礙一通道(如殼套之通道)以調節、引導或控制氣體或液體流的裝置。閥件的其他實例包含水力閥、手動閥、電磁閥、電動閥及氣動閥。Examples of valve members include devices that regulate, direct, or control the flow of a gas or liquid by opening, closing, or partially obstructing a passage, such as a passage of a casing. Other examples of valve components include hydraulic valves, manual valves, solenoid valves, electric valves, and pneumatic valves.

數位經脈動之訊號326係由主機系統312的處理器所產生而提供予相位延遲電路138。相位延遲電路138接收數位經脈動之訊號326並以預定的相位延遲數位經脈動之訊號326以產生經修正之經脈動之訊號368。相位延遲係提供予數位經脈動之訊號326,使得電漿系統350的機械元件(如上電極134、夾頭132、閥件148、馬達136、馬達144、馬達150、限制環142等)有時間回應數位經脈動之訊號326。相位延遲電路138係連接於主機系統312及x、y與z MHz RF產生器之DSPs之間。相位延遲電路138延遲數位經脈動之訊號326的相位而產生經修正之經脈動之訊號368,使電漿系統350的機械元件比如DSPs、RF電源、功率控制器、AFTs等電元件有更多的時間回應數位經脈動之訊號326。經修正之經脈動之訊號368係提供予x、y與z MHz RF產生器的DSPs。The digital pulsed signal 326 is generated by the processor of the host system 312 and supplied to the phase delay circuit 138. The phase delay circuit 138 receives the digital pulsed signal 326 and delays the digitally pulsed signal 326 by a predetermined phase to produce a modified pulsed signal 368. The phase delay is provided to the digital pulsed signal 326 such that the mechanical components of the plasma system 350 (such as the electrode 134, the collet 132, the valve member 148, the motor 136, the motor 144, the motor 150, the limit ring 142, etc.) have time to respond The digital pulsed signal 326. Phase delay circuit 138 is coupled between host system 312 and the DSPs of the x, y and z MHz RF generators. The phase delay circuit 138 delays the phase of the digitally pulsed signal 326 to produce a modified pulsed signal 368, such that the mechanical components of the plasma system 350, such as DSPs, RF power supplies, power controllers, AFTs, etc., have more The time responds to the digital pulsed signal 326. The modified pulsating signal 368 is provided to the DSPs of the x, y, and z MHz RF generators.

在某些實施例中,當電元件基於輸入至電元件之經脈動之訊號輸入產生輸出訊號時,電元件回應經脈動之訊號。在各種實施例中,當電元件進行如旋轉、移動、滑動、偏移、關閉、開啟等機械動作以回應經脈動之訊號時,機械元件回應經脈動之訊號。In some embodiments, the electrical component responds to the pulsating signal when the electrical component produces an output signal based on the pulsating signal input to the electrical component. In various embodiments, the mechanical component responds to the pulsating signal when the electrical component performs a mechanical action such as rotation, movement, sliding, offsetting, closing, opening, etc. in response to the pulsating signal.

當DSPx接收經修正之經脈動之訊號368時,x MHz RF產生器產生與經修正之經脈動之訊號368同步的RF訊號。例如,在經修正之經脈動之訊號368的狀態自狀態S0轉換至狀態S1的時間點處, RF訊號之一部分的包絡自負斜率改變至正斜率或改變至零斜率。又例如,在經修正之經脈動之訊號368的狀態自狀態S1轉換至狀態S0的時間點處,RF訊號之一部分的統計量測值自正斜率改變至負斜率或改變至零斜率。類似地,當DSPy接收經修正之經脈動之訊號368時,y MHz RF產生器產生與經修正之經脈動之訊號368同步的RF訊號;當DSPz接收經修正之經脈動之訊號368時,z MHz RF產生器產生與經修正之經脈動之訊號368同步的RF訊號。When the DSPx receives the modified pulsed signal 368, the x MHz RF generator generates an RF signal that is synchronized with the modified pulsed signal 368. For example, at the point in time when the state of the modified pulsating signal 368 transitions from state S0 to state S1, the envelope of one of the RF signals changes from a negative slope to a positive slope or to a zero slope. For another example, at a point in time when the state of the modified pulsed signal 368 transitions from state S1 to state S0, the statistical measurement of a portion of the RF signal changes from a positive slope to a negative slope or to a zero slope. Similarly, when DSPy receives the modified pulsed signal 368, the y MHz RF generator generates an RF signal synchronized with the modified pulsed signal 368; when DSPz receives the modified pulsed signal 368, z The MHz RF generator generates an RF signal that is synchronized with the modified pulsed signal 368.

應注意,在電漿系統350中x MHz RF產生器並非主產生器。電漿系統350的x MHz RF產生器不會產生或不會提供數位經脈動之訊號326予y與z MHz RF產生器。例如,DSPx不會將數位經脈動之訊號326提供予DSPy或提供予DSPz。It should be noted that the x MHz RF generator is not the primary generator in the plasma system 350. The x MHz RF generator of the plasma system 350 does not generate or provide digital pulsating signals 326 to the y and z MHz RF generators. For example, DSPx does not provide digitally pulsed signals 326 to DSPy or to DSPz.

在各種實施例中,被相位延遲電路138所添加的相位延遲係用以在時間t軸上使數位經脈動之訊號326向右偏移而產生經修正之經脈動之訊號368,以更進一步地使機械元件有更多時間控制流入電漿室308之製程氣體流、控制上電極134與夾頭132之間的間隙及/或控制電漿室308內的壓力。In various embodiments, the phase delay added by phase delay circuit 138 is used to shift digitally pulsed signal 326 to the right on time t-axis to produce corrected pulsed signal 368 to further The mechanical component has more time to control the process gas flow into the plasma chamber 308, control the gap between the upper electrode 134 and the collet 132, and/or control the pressure within the plasma chamber 308.

在數個實施例中,數位經脈動之訊號326在時間上係落後經修正之經脈動之訊號368,使機械元件比電元件x MHz RF產生器、y MHz RF產生器、z MHz RF產生器、RF纜線304、320與322、IMC 302及RF傳輸線310有更多時間回應數位經脈動之訊號326。電元件的實例包含RF產生器的DSP、RF產生器的RF電源、電晶體、電阻器、電容器、電感器、纜線、導線、帶、匙、棒等。In several embodiments, the digital pulsed signal 326 lags behind the modified pulsed signal 368 in time to make the mechanical component than the electrical component x MHz RF generator, y MHz RF generator, z MHz RF generator The RF cables 304, 320, and 322, the IMC 302, and the RF transmission line 310 have more time to respond to the digital pulsed signal 326. Examples of electrical components include DSPs for RF generators, RF power supplies for RF generators, transistors, resistors, capacitors, inductors, cables, wires, tapes, spoons, rods, and the like.

間隙處理器130接收數位經脈動之訊號326以識別來自數位經脈動之訊號326的狀態S1與S0。例如,間隙處理器130以類似於上述DSPs識別來自數位經脈動之訊號326之狀態S1與S0的方式識別來自數位經脈動之訊號326的狀態S1與S0。又例如,間隙處理器130辨識出數位經脈動之訊號326在第一時間期間內具有第一振幅(如數位值1、高狀態等)且在第二時間期間內具有第二振幅(如數位值0、低狀態等)。The gap processor 130 receives the digital pulsed signal 326 to identify states S1 and S0 from the digital pulsed signal 326. For example, gap processor 130 identifies states S1 and S0 from digital pulsed signal 326 in a manner similar to the manner in which the DSPs identify states S1 and S0 from digital pulsed signals 326. For another example, the gap processor 130 recognizes that the digital pulsed signal 326 has a first amplitude (eg, a digital value 1, a high state, etc.) during a first time period and a second amplitude (eg, a digital value during a second time period) 0, low state, etc.).

在判斷出狀態為S1後,間隙處理器130針對狀態S1自耦合至間隙處理器130的記憶體裝置(未顯示)辨識出一參數訊號之一部分的值,以應用至上電極134與夾頭132之間的間隙,此參數訊號例如是來自圖a1至a18 (圖1A、1B、1C-1、1D-1、1E至1F)之一者之第一變數的一訊號、來自圖b1至b18 (圖2A、2B、2C-1、2D-1、2E至2F)之一者之第二變數的一訊號等。另一方面,在判斷出狀態為S1後,間隙處理器130針對狀態S0自耦合至間隙處理器130的記憶體裝置(未顯示)辨識出一參數訊號之一部分的值,以應用至上電極134與夾頭132之間的間隙,此參數訊號例如是來自圖a1至a18 (圖1A、1B、1C-1、1D-1、1E至1F)之一者之第一變數的一訊號、來自圖b1至b18 (圖2A、2B、2C-1、2D-1、2E至 2F)之一者之第二變數的一訊號。間隙處理器130將在狀態S1期間欲產生之參數訊號的數值提供至間隙驅動器GDS1、並將在狀態S0期間欲產生之參數訊號的數值提供至間隙驅動器GDS0。After determining that the state is S1, the gap processor 130 recognizes the value of a portion of a parameter signal from the memory device (not shown) coupled to the gap processor 130 for the state S1 for application to the upper electrode 134 and the chuck 132. The gap between the parameters is, for example, a signal from the first variable of one of Figures a1 to a18 (Figs. 1A, 1B, 1C-1, 1D-1, 1E to 1F), from Figs. b1 to b18 (Fig. A signal of the second variable of one of 2A, 2B, 2C-1, 2D-1, 2E to 2F). On the other hand, after determining that the state is S1, the gap processor 130 recognizes the value of a portion of a parameter signal from the memory device (not shown) coupled to the gap processor 130 for the state S0 to apply to the upper electrode 134 and The gap between the chucks 132, such as a signal from the first variable of one of the figures a1 to a18 (Figs. 1A, 1B, 1C-1, 1D-1, 1E to 1F), from the figure b1 A signal to the second variable of one of b18 (Figs. 2A, 2B, 2C-1, 2D-1, 2E to 2F). The gap processor 130 supplies the value of the parameter signal to be generated during the state S1 to the gap driver GDS1, and supplies the value of the parameter signal to be generated during the state S0 to the gap driver GDS0.

間隙驅動器GDS1在狀態S1期間產生具有自間隙處理器130所接收之值之一參數訊號的一部分、並將此部分提供予馬達136。又,間隙驅動器GDS0在狀態S0期間產生具有自間隙處理器130所接收之值之該參數訊號的剩餘部分、並將此剩餘部分提供予馬達136。馬達136在狀態S1期間根據接收自間隙驅動器GDS1之該參數訊號之該一部分的頻率與功率操作例如轉子旋轉,並在狀態S0期間根據接收自間隙驅動器GDS1之該參數訊號之該剩餘部分的頻率與功率操作。當馬達136在狀態S1期間基於一參數訊號之一部分的頻率與功率操作時,一間隙如上電極134與夾頭132之間的距離等根據該頻率與功率改變。又,當馬達136在狀態S0期間基於一參數訊號之剩餘部分的頻率與功率操作時,上電極134與夾頭132之間的距離根據該頻率與功率改變。The gap driver GDS1 generates a portion of the parameter signal having one of the values received from the gap processor 130 during state S1 and provides this portion to the motor 136. Further, the gap driver GDS0 generates the remaining portion of the parameter signal having the value received from the gap processor 130 during the state S0 and supplies the remaining portion to the motor 136. The motor 136 operates, for example, the rotor according to the frequency and power received from the portion of the parameter signal of the gap driver GDS1 during state S1, and according to the frequency of the remaining portion of the parameter signal received from the gap driver GDS1 during state S0. Power operation. When the motor 136 is operated based on the frequency and power of a portion of a parameter signal during the state S1, a gap such as the distance between the electrode 134 and the collet 132 is changed according to the frequency and power. Again, when motor 136 is operating based on the frequency and power of the remainder of a parameter signal during state S0, the distance between upper electrode 134 and collet 132 changes according to the frequency and power.

以類似於針對間隙處理器130所述之方式,壓力處理器140接收數位經脈動之訊號326、以自數位經脈動之訊號326辨識狀態S1與S0。在判斷出數位經脈動之訊號326的狀態為S1後,壓力處理器140針對狀態S1自耦合至壓力處理器140的記憶體裝置辨識出一參數訊號之一部分的值、以應用至限制環142,此參數訊號例如是來自圖a1至a18 (圖1A、1B、1C-1、1D-1、1E至1F)之一者之第一變數的一訊號、來自圖b1至b18 (圖2A、2B、2C-1、2D-1、2E至2F)之一者之第二變數的一訊號等。另一方面,在判斷出狀態為S0後,壓力處理器140針對狀態S0自耦合至壓力處理器140的記憶體裝置辨識出一參數訊號之一部分的值、以應用至限制環142,此參數訊號例如是來自圖a1至a18 (圖1A、1B、1C-1、1D-1、1E至1F)之一者之第一變數的一訊號、來自圖b1至b18 (圖2A、2B、2C-1、2D-1、2E至2F)之一者之第二變數的一訊號等。在狀態S1期間,壓力處理器140將針對狀態S1之一參數訊號的值提供予壓力控制PCS1。又,在狀態S0期間,壓力處理器140將針對狀態S0之一參數訊號的值提供予壓力控制PCS0。In a manner similar to that described for gap processor 130, pressure processor 140 receives digital pulsed signals 326 to identify states S1 and S0 from digitally pulsed signals 326. After determining that the state of the digital pulsed signal 326 is S1, the pressure processor 140 recognizes the value of a portion of a parameter signal from the memory device coupled to the pressure processor 140 for the state S1 to apply to the limit ring 142. The parameter signal is, for example, a signal from the first variable of one of Figures a1 to a18 (Figs. 1A, 1B, 1C-1, 1D-1, 1E to 1F), from Figs. b1 to b18 (Figs. 2A, 2B, A signal of the second variable of one of 2C-1, 2D-1, 2E to 2F). On the other hand, after determining that the state is S0, the pressure processor 140 recognizes the value of a portion of a parameter signal from the memory device coupled to the pressure processor 140 for the state S0 to apply to the limit ring 142, the parameter signal. For example, a signal from the first variable of one of Figures a1 to a18 (Figs. 1A, 1B, 1C-1, 1D-1, 1E to 1F), from Figs. b1 to b18 (Fig. 2A, 2B, 2C-1) a signal of the second variable of one of 2D-1, 2E to 2F), and the like. During state S1, pressure processor 140 provides a value for one of the parameters of state S1 to pressure control PCS1. Also, during state S0, pressure processor 140 provides a value for one of the parameter signals for state S0 to pressure control PCS0.

在狀態S1期間,壓力控制器PCS1產生具有一參數訊號之值的一電流訊號、並將此電流訊號提供予馬達144。又,在狀態S0期間,壓力控制器PCS0產生具有一參數訊號之值的一電流訊號、並將此電流訊號提供予馬達144。馬達144以在狀態S1期間所接收之一參數訊號之一部分之值的頻率與功率操作。馬達144的操作根據在狀態S1期間之一參數訊號之一部分的頻率與功率、改變限制環142相對於電漿室308之體積382的垂直位置以改變體積382內的壓力。類似地,馬達144以在狀態S0期間所接收之一參數訊號之一部分之值的頻率與功率操作。馬達144的操作根據在狀態S0期間之一參數訊號之一部分的頻率與功率、改變限制環142相對於電漿室308之體積382的垂直位置以改變體積382內的壓力。During state S1, pressure controller PCS1 generates a current signal having a value of a parameter signal and provides the current signal to motor 144. Also, during state S0, the pressure controller PCS0 generates a current signal having a value of a parameter signal and provides the current signal to the motor 144. Motor 144 operates at a frequency and power of the value of one of the parameter signals received during state S1. The operation of motor 144 changes the pressure within volume 382 based on the frequency and power of one of the parameter signals during state S1, changing the vertical position of limit ring 142 relative to volume 382 of plasma chamber 308. Similarly, motor 144 operates at the frequency and power of the value of one of the parameter signals received during state S0. The operation of motor 144 changes the pressure within volume 382 based on the frequency and power of one of the parameter signals during state S0, changing the vertical position of limit ring 142 relative to volume 382 of plasma chamber 308.

在馬達144係自限制環142之底側連接至限制環142的各種實施例中,限制環142的垂直位置的變化乃在體積382中上移或下移限制環142。限制環 142上移以覆蓋較大量的體積382並下移以覆蓋較少量的體積382。In various embodiments in which the motor 144 is coupled to the restraining ring 142 from the bottom side of the confinement ring 142, the change in the vertical position of the confinement ring 142 is to move the restriction ring 142 up or down in the volume 382. The confinement ring 142 is moved up to cover a larger volume 382 and is moved down to cover a smaller amount of volume 382.

在數個實施例中,馬達144係自限制環142的上側連接至限制環142。限制環142下移以覆蓋較大部分的體積382及上移覆蓋較少部分的體積382。In several embodiments, the motor 144 is coupled to the confinement ring 142 from the upper side of the confinement ring 142. The confinement ring 142 is moved down to cover a larger portion of the volume 382 and up to cover a smaller portion of the volume 382.

在某些實施例中,馬達144係藉由一桿連接至限制環142且限制環142伸入桿的溝槽中並連接至桿的溝槽。當馬達144的轉子旋轉時,桿自馬達突伸或縮回以改變限制環142的垂直位置。桿係連接至馬達。In some embodiments, the motor 144 is coupled to the restraining ring 142 by a rod and the restraining ring 142 extends into the groove of the rod and is coupled to the groove of the rod. When the rotor of the motor 144 rotates, the rod projects or retracts from the motor to change the vertical position of the limit ring 142. The rod is connected to the motor.

又,流動處理器146接收數位經脈動之訊號326並以類似於DSP辨識數位經脈動之訊號326之狀態S1與S0的方式、辨識數位經脈動之訊號326的狀態S1與S0。在判斷出狀態為S1後,流動處理器146針對狀態S1自耦合至流動處理器146的記憶體裝置辨識出一參數訊號之一部分的值以應用至閥件148,此參數訊號例如是來自圖a1至a18 (圖1A、1B、1C-1、1D-1、1E至1F)之一者之第一變數的一訊號、來自圖b1至b18 (圖2A、2B、2C-1、2D-1、2E至2F)之一者之第二變數的一訊號等。另一方面,在判斷出狀態為S0後,流動處理器146針對狀態S0自耦合至流動處理器146的記憶體裝置辨識出一參數訊號之一部分的值以應用至閥件148,此參數訊號例如是來自圖a1至a18 (圖1A、1B、1C-1、1D-1、1E至1F)之一者之第一變數的一訊號、來自圖b1至b18 (圖2A、2B、2C-1、2D-1、2E至2F)之一者之第二變數的一訊號等。在狀態S1期間,流動處理器146將針對狀態S1的一參數訊號的值提供予流動驅動器FDS1。又,在狀態S0期間,流動處理器146將針對狀態S0之一參數訊號的值提供予流動驅動器FDS0。Moreover, the flow processor 146 receives the digital pulsed signal 326 and recognizes the states S1 and S0 of the digital pulsed signal 326 in a manner similar to the state S1 and S0 of the digitally pulsed signal 326. After determining that the state is S1, the flow processor 146 recognizes the value of a portion of a parameter signal from the memory device coupled to the flow processor 146 for the state S1 to apply to the valve member 148. The parameter signal is, for example, from the figure a1. a signal to the first variable of one of a18 (Figs. 1A, 1B, 1C-1, 1D-1, 1E to 1F), from Figs. b1 to b18 (Figs. 2A, 2B, 2C-1, 2D-1, A signal of the second variable of one of 2E to 2F). On the other hand, after determining that the state is S0, the flow processor 146 recognizes the value of a portion of a parameter signal from the memory device coupled to the flow processor 146 for the state S0 to apply to the valve member 148, such as the parameter signal. Is a signal from the first variable of one of Figures a1 to a18 (Figs. 1A, 1B, 1C-1, 1D-1, 1E to 1F), from Figs. b1 to b18 (Figs. 2A, 2B, 2C-1, A signal of the second variable of one of 2D-1, 2E to 2F), and the like. During state S1, flow processor 146 provides a value for a parameter signal for state S1 to flow driver FDS1. Again, during state S0, flow processor 146 provides a value for one of the parameter signals for state S0 to flow driver FDS0.

在狀態S1期間,流動驅動器FDS1根據狀態S1之一參數訊號之一部分的頻率值與功率值產生一電流訊號以驅動馬達150。又,在狀態S0期間,流動驅動器FDS1根據狀態S0之一參數訊號之剩餘部分的頻率值與功率值產生一電流訊號以驅動馬達150。操作馬達150以改變閥件148在容納有閥件148之殼套(如外罩、管、導管等)內的位置以開啟或關閉。閥件148的位置根據在狀態S1期間所產生之一參數訊號之一部分的頻率與功率及根據在狀態S0期間所產生之該參數訊號之剩餘部分的頻率與功率改變。閥件148位置在狀態S1或狀態S0期間的變化會改變(如增加、減少等)流入體積382內之一或多種製程氣體的流率。一製程氣體或製程氣體的混合物係儲存在氣體源GS中並藉由殼套的通道而被供給至電漿室308。氣體源GS係藉由殼套耦合至電漿室308。當將一或多種製程氣體供給至體積382且夾頭132藉由RF傳輸線310接收經修正的RF訊號306時,在電漿室308中產生電漿。電漿係用以進行上述之一或多種製程操作。During state S1, the flow driver FDS1 generates a current signal to drive the motor 150 based on the frequency value and the power value of one of the parameter signals of the state S1. Also, during state S0, the flow driver FDS1 generates a current signal to drive the motor 150 based on the frequency value and the power value of the remaining portion of one of the state signals S0. The motor 150 is operated to change the position of the valve member 148 within the housing (e.g., housing, tube, conduit, etc.) that houses the valve member 148 to open or close. The position of the valve member 148 is varied according to the frequency and power of one of the parameter signals generated during the state S1 and the frequency and power of the remainder of the parameter signal generated during the state S0. The change in position of valve member 148 during state S1 or state S0 may change (eg, increase, decrease, etc.) the flow rate of one or more process gases within inflow volume 382. A mixture of process gases or process gases is stored in gas source GS and supplied to plasma chamber 308 by passage of the casing. The gas source GS is coupled to the plasma chamber 308 by a jacket. When one or more process gases are supplied to the volume 382 and the chuck 132 receives the corrected RF signal 306 via the RF transmission line 310, a plasma is generated in the plasma chamber 308. The plasma is used to perform one or more of the above process operations.

在某些實施例中,馬達150係藉由一桿連接至閥件148以利用馬達150之轉子的旋轉來改變閥件的位置。In some embodiments, the motor 150 is coupled to the valve member 148 by a lever to change the position of the valve member using the rotation of the rotor of the motor 150.

在各種實施例中,可使用其他機械元件(如電流驅動器等)取代馬達150控制閥件148。例如,閥件148為電磁閥且流動驅動器FDS1與FDS0為狀態S1與S0的電流驅動器。在此些實施例中,當流動處理器146在狀態S1期間接收數位經脈動之訊號326之一部分時,流動處理器146自流動控制系統366的記憶體裝置辨識出一參數訊號的值,此參數訊號的值例如是圖a1至a18 (圖1A、1B、1C-1、1D-1、1E至1F)中所示之第一變數之訊號中的任一訊號的值、來自圖b1至b18 (圖2A、2B、2C-1、2C-2、2E至2F)中之一者之第二變數的訊號的值等。在辨識出狀態S1期間之參數訊號的值後,流動處理器146產生指令訊號指示流動驅動器FDS1產生狀態S1期間之一參數訊號的一部分。類似地,當流動處理器146在狀態S0期間接收數位經脈動之訊號326之一部分時,流動處理器146自流動控制系統366的記憶體裝置辨識出一參數訊號的值,此參數訊號例如是圖a1至a18 (圖1A、1B、1C-1、1D-1、1E至1F)中所示之第一變數之訊號中的任一訊號、來自圖b1至b18 (圖2A、2B、2C-1、2C-2、2E至2F)中之一者之第二變數之訊號等。在辨識出狀態S0之參數訊號的值後,流動處理器146產生指令訊號指示流動驅動器FDS0產生具有狀態S0期間之值之一參數訊號的一部分。流動驅動器FDS1將具有在狀態S1期間所產生之電流值之一參數訊號的一部分發送至閥件148、且流動驅動器FDS0將具有在狀態S0期間所產生之電流值之一參數訊號的一部分發送至閥件148。在接收狀態S1期間之電流值後,閥件148根據電流值開啟或關閉以控制自氣體源GS流入電漿室308之體積382的一或多種製程氣體流。類似地,在接收狀態S0期間之電流值後,閥件148根據電流值開啟或關閉以控制自氣體源GS流入電漿室308之體積382的一或多種製程氣體流。In various embodiments, other mechanical components (such as current drivers, etc.) may be used in place of motor 150 to control valve member 148. For example, valve member 148 is a solenoid valve and flow drivers FDS1 and FDS0 are current drivers for states S1 and S0. In such embodiments, when the flow processor 146 receives a portion of the digital pulsed signal 326 during state S1, the flow processor 146 recognizes the value of a parameter signal from the memory device of the flow control system 366. The value of the signal is, for example, the value of any of the signals of the first variable shown in Figures a1 to a18 (Figs. 1A, 1B, 1C-1, 1D-1, 1E to 1F), from Figs. b1 to b18 ( The value of the signal of the second variable of one of FIGS. 2A, 2B, 2C-1, 2C-2, 2E to 2F), and the like. After identifying the value of the parameter signal during state S1, flow processor 146 generates an instruction signal indicating that flow driver FDS1 is generating a portion of one of the parameter signals during state S1. Similarly, when the flow processor 146 receives a portion of the digital pulsed signal 326 during the state S0, the flow processor 146 recognizes the value of a parameter signal from the memory device of the flow control system 366, such as a map. Any of the signals of the first variable shown in a1 to a18 (Figs. 1A, 1B, 1C-1, 1D-1, 1E to 1F), from Figs. b1 to b18 (Figs. 2A, 2B, 2C-1) The signal of the second variable of one of 2C-2, 2E to 2F), and the like. After recognizing the value of the parameter signal of state S0, flow processor 146 generates an instruction signal instructing flow driver FDS0 to generate a portion of the parameter signal having one of the values during state S0. The flow driver FDS1 sends a portion of the parameter signal having one of the current values generated during the state S1 to the valve member 148, and the flow driver FDS0 sends a portion of the parameter signal having the current value generated during the state S0 to the valve. Piece 148. After receiving the current value during state S1, valve member 148 opens or closes according to the current value to control one or more process gas flows from gas source GS into volume 382 of plasma chamber 308. Similarly, after receiving the current value during state S0, valve member 148 opens or closes according to the current value to control one or more process gas flows from gas source GS into volume 382 of plasma chamber 308.

在某些實施例中,在電漿系統350中使用任何數目的氣體源。每一氣體源儲存不同的製程氣體。例如,一氣體源儲存含氟氣體而另一氣體源儲存含氧氣體。每一氣體源係藉由殼套連接至電漿室308以將如製程氣體、惰性氣體等氣體供給至電漿室308。殼套包含連接至馬達並受馬達控制的閥件,馬達係更連接至流動驅動器FDS1與FDS0並受其控制。In some embodiments, any number of gas sources are used in the plasma system 350. Each gas source stores a different process gas. For example, one gas source stores a fluorine-containing gas and the other gas source stores an oxygen-containing gas. Each gas source is connected to the plasma chamber 308 by a jacket to supply a gas such as a process gas, an inert gas, or the like to the plasma chamber 308. The casing includes a valve member that is coupled to the motor and is controlled by the motor, and the motor is further coupled to and controlled by the flow drives FDS1 and FDS0.

圖7顯示用以說明利用主RF產生器產生數位經脈動之訊號326與經修正之經脈動之訊號368之電漿系統400之一實施例。除了在電漿系統400中(非主機系統312),x MHz RF產生器產生由y MHz RF產生器與z MHz RF產生器接收之經脈動之訊號326與經修正之經脈動之訊號368外,電漿系統400的操作係類似於電漿系統350(圖6B)的操作。例如,DSPx或x MHz RF產生器的時脈源產生數位經脈動之訊號326,數位經脈動之訊號326係被傳送至相位延遲電路138。相位延遲電路138自數位經脈動之訊號326產生經修正之經脈動之訊號368。又例如,x MHz RF產生器的時脈振盪器產生一類比訊號,類比訊號係被x MHz RF產生器的類比轉數位轉換器轉換為數位經脈動之訊號326,數位經脈動之訊號326係被傳送至相位延遲電路138以產生經修正之經脈動之訊號368。FIG. 7 shows an embodiment of a plasma system 400 for utilizing a primary RF generator to generate a digital pulsed signal 326 and a modified pulsed signal 368. In addition to being in the plasma system 400 (non-host system 312), the x MHz RF generator generates a pulsed signal 326 and a modified pulsed signal 368 received by the y MHz RF generator and the z MHz RF generator. The operation of the plasma system 400 is similar to the operation of the plasma system 350 (Fig. 6B). For example, the clock source of the DSPx or x MHz RF generator generates a digital pulsed signal 326, and the digital pulsed signal 326 is transmitted to phase delay circuit 138. Phase delay circuit 138 produces a modified pulsed signal 368 from digitally pulsed signal 326. For another example, the clock oscillator of the x MHz RF generator generates an analog signal, which is converted into a digital pulsed signal 326 by an analog-to-digital converter of the x MHz RF generator, and the digitally pulsed signal 326 is Transmitted to phase delay circuit 138 to produce a modified pulsed signal 368.

x MHz RF產生器將經修正之經脈動之訊號368提供予y MHz RF產生器並提供予z MHz RF產生器,且x MHz RF產生器將數位經脈動之訊號326提供予間隙控制系統362、壓力控制系統364及流動控制系統366。例如,相位延遲電路138將經修正之經脈動之訊號368提供予DSPy與DSPz,且DSPx將數位經脈動之訊號326提供予間隙處理器130、WAP處理器140及流動處理器146。電漿系統400的剩餘操作係類似於上述電漿系統350的操作。The x MHz RF generator provides the modified pulsed signal 368 to the y MHz RF generator and to the z MHz RF generator, and the x MHz RF generator provides the digital pulsed signal 326 to the gap control system 362, Pressure control system 364 and flow control system 366. For example, phase delay circuit 138 provides modified pulsed signal 368 to DSPy and DSPz, and DSPx provides digital pulsed signal 326 to gap processor 130, WAP processor 140, and flow processor 146. The remaining operation of the plasma system 400 is similar to the operation of the plasma system 350 described above.

在某些實施例中,x MHz RF產生器自耦合至x MHz RF產生器的主機系統312接收數位經脈動之訊號326。x MHz RF產生器自數位經脈動之訊號326產生經修正之經脈動之訊號368、並將經修正之經脈動之訊號368提供予DSPy與DSPz。In some embodiments, the x MHz RF generator receives a digital pulsed signal 326 from a host system 312 coupled to the x MHz RF generator. The x MHz RF generator generates a modified pulsed signal 368 from the digital pulsed signal 326 and provides the modified pulsed signal 368 to DSPy and DSPz.

在各種實施例中,相位延遲電路138自主機系統312接收數位經脈動之訊號326以產生經修正之經脈動之訊號368。相位延遲電路138將經修正之經脈動之訊號368提供予x MHz RF產生器。x MHz RF產生器將經修正之經脈動之訊號368提供予DSPy與DSPz。In various embodiments, phase delay circuit 138 receives digital pulsed signal 326 from host system 312 to produce corrected pulsed signal 368. Phase delay circuit 138 provides modified pulsed signal 368 to the x MHz RF generator. The x MHz RF generator provides the modified pulsed signal 368 to DSPy and DSPz.

圖8顯示用以說明使用回饋系統決定提供下一狀態之經修正之經脈動之訊號 368之時間的電漿系統410的一實施例。除了電漿系統410包含回饋系統外,電漿系統410係類似於電漿系統350(圖6B)。FIG. 8 shows an embodiment of a plasma system 410 for illustrating the timing of using the feedback system to determine the corrected pulsed signal 368 for the next state. Plasma system 410 is similar to plasma system 350 (FIG. 6B) except that plasma system 410 includes a feedback system.

回饋系統包含間隙感測器412、流動感測器414及壓力感測器416。間隙感測器412的實例包含電射偵測器、光學感測器、電感式偵測器、電容式偵測器、線性可變差動變壓器(LVDT)感測器等。在某些實施例中,間隙感測器412係位於電漿室308外部且光學地耦合至體積382、以判斷如上電極134與夾頭132間間隙的垂直距離。流動感測器414的實例包含以每分鐘標準立方公分(sccm)作為單位量測製程氣體之流率的流率感測器、光學流量計、科氏力流量計、質量流量計、熱質量流動感測器、體積感測器、壓力式的流量計等。流動感測器414係藉由具有閥件148位於其中之殼套(如氣體線等)中的孔口耦合至殼套的內部體積。壓力感測器416量測電漿室308內之一或多種氣體及/或電漿的壓力。壓力感測器416的實例包含絕對壓力感測器、真空壓力感測器、差動壓力感測器、共振壓力感測器、熱壓力感測器、光學壓力感測器等。在某些實施例中,壓力感測器416係位於體積382的外部以量測體積382內之一或多種氣體及/或電漿的壓力。The feedback system includes a gap sensor 412, a flow sensor 414, and a pressure sensor 416. Examples of the gap sensor 412 include an electric radiation detector, an optical sensor, an inductive detector, a capacitive detector, a linear variable differential transformer (LVDT) sensor, and the like. In some embodiments, the gap sensor 412 is external to the plasma chamber 308 and optically coupled to the volume 382 to determine the vertical distance of the gap between the electrode 134 and the collet 132 as above. Examples of the flow sensor 414 include a flow rate sensor that measures the flow rate of the process gas in standard cubic centimeters per minute (sccm), an optical flow meter, a Coriolis flowmeter, a mass flow meter, and a thermal mass flow. Sensors, volume sensors, pressure flow meters, etc. Flow sensor 414 is coupled to the interior volume of the casing by an orifice having a casing (e.g., a gas line or the like) in which valve member 148 is located. Pressure sensor 416 measures the pressure of one or more gases and/or plasma within plasma chamber 308. Examples of pressure sensor 416 include absolute pressure sensors, vacuum pressure sensors, differential pressure sensors, resonant pressure sensors, thermal pressure sensors, optical pressure sensors, and the like. In certain embodiments, pressure sensor 416 is external to volume 382 to measure the pressure of one or more gases and/or plasma within volume 382.

在使用多氣體源的實施例中,流動感測器係耦合至一氣體源的一殼套、以量測自該氣體源流至電漿室308之氣體的流率。流動感測器係連接至流動處理器146以將量測到的流率提供予流動處理器146。In an embodiment using a multi-gas source, the flow sensor is coupled to a casing of a gas source to measure the flow rate of gas flowing from the gas source to the plasma chamber 308. A flow sensor is coupled to the flow processor 146 to provide the measured flow rate to the flow processor 146.

除了電漿系統410使用回饋系統外,電漿系統410係以類似於電漿系統350(圖6B)的方式操作。例如,在改變上電極134與夾頭132間之間隙後,間隙感測器412量測間隙。間隙感測器412將量測到的間隙量提供予間隙處理器130。間隙處理器130判斷間隙量是否與一狀態的預定間隙量匹配。一狀態之預定間隙量係儲存在間隙控制系統362(圖7)的記憶體裝置中。在記憶體裝置中,一狀態之預定間隙量係與該狀態之電漿室308內之電漿的阻抗量連結。例如,狀態S1之預定間隙量係與阻抗量Z1連結、而狀態S0的預定間隙量係與阻抗量Z2連結。電漿室308內之電漿阻抗為被提供至電漿室308之一或多個RF訊號之一或多個功率、電漿室308內的壓力、電漿室308內上電極134與夾頭132間之間隙、及流入電漿室308之一或多種氣體的流率的函數。In addition to the plasma system 410 using a feedback system, the plasma system 410 operates in a manner similar to the plasma system 350 (Fig. 6B). For example, after changing the gap between the upper electrode 134 and the collet 132, the gap sensor 412 measures the gap. Gap sensor 412 provides the measured amount of gap to gap processor 130. The gap processor 130 determines whether the amount of gap matches a predetermined amount of gap of a state. The predetermined amount of gap in one state is stored in the memory device of the gap control system 362 (Fig. 7). In the memory device, the predetermined amount of gap in one state is coupled to the amount of impedance of the plasma in the plasma chamber 308 in that state. For example, the predetermined gap amount in the state S1 is coupled to the impedance amount Z1, and the predetermined gap amount in the state S0 is coupled to the impedance amount Z2. The plasma impedance in the plasma chamber 308 is one or more of the one or more RF signals provided to the plasma chamber 308, the pressure within the plasma chamber 308, the upper electrode 134 and the chuck within the plasma chamber 308. The gap between 132 and the flow rate of one or more gases flowing into the plasma chamber 308.

針對一狀態達到電漿室308內的電漿阻抗,以更進一步地達到針對該狀態的蝕刻率或沉積率。例如,狀態S0的預定間隙量有助於達到一阻抗以更進一步地達到狀態S0的較低蝕刻率,狀態S1的預定間隙量有助於達到一阻抗以更進一步地達到高於該較低蝕刻率之狀態S1的蝕刻率。又例如,狀態S0的預定間隙量有助於達到一阻抗以更進一步地達到狀態S0的較高沉積率,狀態S1的預定間隙量有助於達到一阻抗以更進一步地達到低於該較高沉積率的狀態S1的沉積率。又例如,狀態S0的預定間隙量有助於達到一阻抗以更進一步地達到狀態S0的沉積率,狀態S1的預定間隙量有助於達到一阻抗以更進一步地達到狀態S1的蝕刻率。沉積率為如遮罩、氧化物、聚合物等一材料沉積在工作件324上的速率、而蝕刻率為蝕刻移除工作件324上之該材料的速率。The plasma impedance within the plasma chamber 308 is reached for a state to further achieve an etch rate or deposition rate for that state. For example, the predetermined amount of gap in state S0 helps to reach an impedance to further reach a lower etch rate of state S0, and the predetermined amount of gap in state S1 helps to reach an impedance to further reach above the lower etch. The rate of etching of the state S1. For another example, the predetermined amount of gap in state S0 helps to reach an impedance to further reach a higher deposition rate of state S0, and the predetermined amount of gap in state S1 helps to reach an impedance to further reach below this higher The deposition rate of the state S1 of the deposition rate. As another example, the predetermined amount of gap in state S0 helps to achieve an impedance to further reach the deposition rate of state S0, and the predetermined amount of gap in state S1 helps to achieve an impedance to further reach the etch rate of state S1. The deposition rate is the rate at which a material such as a mask, oxide, polymer, etc., is deposited on the workpiece 324, and the etch rate is the rate at which the material on the workpiece 324 is etched away.

狀態S1的間隙量係與狀態S1期間一參數訊號之一部分相關,間隙驅動器GDS1(圖7)發送此參數訊號之一部分以操作馬達136;狀態S0的間隙量係與狀態S0期間該參數訊號之剩餘部分相關,間隙驅動器GDS0(圖7)發送此參數訊號之剩餘部分以操作馬達136。The gap amount of state S1 is partially related to one of the parameter signals during state S1, and gap driver GDS1 (Fig. 7) transmits a portion of the parameter signal to operate motor 136; the gap amount of state S0 is the remainder of the parameter signal during state S0 Partially related, the gap driver GDS0 (Fig. 7) transmits the remainder of this parameter signal to operate the motor 136.

在判斷出量測到的間隙量不匹配一狀態之預定間隙量後,間隙處理器130發送指示相同資訊的反饋訊號至相位延遲電路138。在一電流狀態如狀態S0、狀態S1等期間於接收指示量測到的間隙量不匹配現行狀態之預定間隙量的訊號後,相位延遲電路138增加緊接著該現行狀態之下一狀態(如狀態S1、狀態S0等)的相位延遲。相較於該現行狀態的相位延遲、該下一狀態具有較大的相位延遲,此較大的相位延遲被添加至數位經脈動之訊號326以產生經修正之經脈動之訊號368。例如,當相位延遲電路138已將一個循環之狀態S1之經修正之經脈動之訊號368的一部分發送至x MHz RF產生器、y MHz RF產生器及z MHz RF產生器時,相位延遲電路138接收到指示量測到的間隙量不匹配狀態S1的預定間隙量的訊號,因此相位延遲電路138延遲將該循環之狀態S0之經修正之經脈動之訊號368的剩餘部分發送至x MHz RF產生器、y MHz RF產生器及z MHz RF產生器的動作。又例如,當相位延遲電路138已將一個循環之狀態S0之經修正之經脈動之訊號368的一部分發送至x MHz RF產生器、y MHz RF產生器及z MHz RF產生器時,相位延遲電路138接收到指示量測到的間隙量不匹配狀態S0的預定間隙量的訊號,因此相位延遲電路138延遲將該循環之狀態S1之經修正之經脈動之訊號368的剩餘部分發送至x MHz RF產生器、y MHz RF產生器及z MHz RF產生器。After determining that the measured gap amount does not match the predetermined gap amount of a state, the gap processor 130 transmits a feedback signal indicating the same information to the phase delay circuit 138. After a current state such as state S0, state S1, etc., after receiving a signal indicating that the amount of gap measured does not match the predetermined gap amount of the current state, phase delay circuit 138 increases a state immediately following the current state (eg, state) Phase delay of S1, state S0, etc.). The larger phase delay is added to the digital pulsed signal 326 to produce a modified pulsed signal 368 compared to the phase delay of the current state, which has a larger phase delay. For example, phase delay circuit 138 when phase delay circuit 138 has transmitted a portion of the modified pulsed signal 368 of state S1 of a loop to the x MHz RF generator, the y MHz RF generator, and the z MHz RF generator. A signal indicating that the measured gap amount does not match the predetermined gap amount of state S1 is received, so phase delay circuit 138 delays transmitting the remainder of the modified pulsed signal 368 of state S0 of the loop to x MHz RF generation. The action of the y MHz RF generator and the z MHz RF generator. For another example, when the phase delay circuit 138 has sent a portion of the modified pulsed signal 368 of a cyclic state S0 to the x MHz RF generator, the y MHz RF generator, and the z MHz RF generator, the phase delay circuit 138 receives a signal indicating that the measured amount of gap does not match the predetermined amount of gap of state S0, such that phase delay circuit 138 delays transmitting the remainder of the modified pulsed signal 368 of state S1 of the loop to x MHz RF Generator, y MHz RF generator and z MHz RF generator.

另一方面,在判斷出量測到的間隙量匹配一狀態之預定間隙量後,間隙處理器130發送指示相同資訊的反饋訊號至相位延遲電路138。在現行狀態期間於接收指示量測到的間隙量匹配現行狀態之預定間隙量的訊號後,相位延遲電路138便發送下一狀態之經修正之經脈動之訊號368的一部分至x MHz RF產生器、y MHz RF產生器與z MHz RF產生器而不添加比現行狀態之相位延遲更多的任何進一步延遲。例如,當相位延遲電路138已將一個循環之狀態S1之經修正之經脈動之訊號368的一部分發送至x MHz RF產生器、y MHz RF產生器及z MHz RF產生器時,相位延遲電路138接收到指示量測到的間隙量匹配狀態S1的預定間隙量的訊號,因此相位延遲電路138將該循環之狀態S0之經修正之經脈動之訊號368的剩餘部分發送至x MHz RF產生器、y MHz RF產生器及z MHz RF產生器。On the other hand, after determining that the measured gap amount matches the predetermined gap amount of a state, the gap processor 130 transmits a feedback signal indicating the same information to the phase delay circuit 138. The phase delay circuit 138 transmits a portion of the corrected pulsed signal 368 of the next state to the x MHz RF generator after receiving the signal indicating that the measured amount of gap matches the predetermined amount of gap in the current state during the current state. The y MHz RF generator and the z MHz RF generator do not add any further delay than the phase delay of the current state. For example, phase delay circuit 138 when phase delay circuit 138 has transmitted a portion of the modified pulsed signal 368 of state S1 of a loop to the x MHz RF generator, the y MHz RF generator, and the z MHz RF generator. Receiving a signal indicating that the measured gap amount matches the predetermined gap amount of state S1, so phase delay circuit 138 sends the remainder of the corrected pulsed signal 368 of state S0 of the loop to the x MHz RF generator, y MHz RF generator and z MHz RF generator.

又例如,在電漿室308之體積382內的壓力改變後,壓力感測器416量測體積382內之一或多種氣體及/或電漿的壓力。壓力感測器416將量測到的電壓量提供予壓力處理器140。壓力處理器140判斷壓力量是否匹配一狀態的預定壓力量。一狀態的預定壓力量係儲存在壓力控制系統364 (圖7)的記憶體裝置中。在記憶體裝置中,一狀態的預定壓力量係與電漿室308內之電漿的阻抗量連結。例如,狀態S1的預定壓力量係與阻抗量Z1連接而狀態S0的預定壓力量係與阻抗量Z2連結。狀態S1的壓力量係與狀態S1期間之一參數訊號的一部分相關,壓力控制PCS1(圖7)發送此參數訊號的一部分以操作馬達144;狀態S0的壓力量係與狀態S0期間之該參數訊號的剩餘部分相關,壓力控制PCS0(圖7)發送此參數訊號的剩餘部分以操作馬達144。As another example, after the pressure within the volume 382 of the plasma chamber 308 changes, the pressure sensor 416 measures the pressure of one or more gases and/or plasma within the volume 382. Pressure sensor 416 provides the measured amount of voltage to pressure processor 140. The pressure processor 140 determines whether the amount of pressure matches a predetermined amount of pressure in a state. The predetermined amount of pressure in one state is stored in the memory device of pressure control system 364 (Fig. 7). In the memory device, the predetermined amount of pressure in one state is coupled to the amount of impedance of the plasma in the plasma chamber 308. For example, the predetermined pressure amount of the state S1 is connected to the impedance amount Z1, and the predetermined pressure amount of the state S0 is coupled to the impedance amount Z2. The pressure amount of state S1 is related to a portion of one of the parameter signals during state S1, and pressure control PCS1 (Fig. 7) transmits a portion of the parameter signal to operate motor 144; the pressure amount of state S0 and the parameter signal during state S0 The remainder of the correlation is related to the pressure control PCS0 (Fig. 7) transmitting the remainder of this parameter signal to operate the motor 144.

達到一狀態之電漿室308內的電漿阻抗以更進一步地達到該狀態之蝕刻率或沉積率。例如,狀態S0的預定壓力量有助於達到一阻抗以更進一步地達到狀態S0的較低蝕刻率,狀態S1的預定壓力量有助於達到一阻抗以更進一步地達到高於該較低蝕刻率之狀態S1的蝕刻率。又例如,狀態S0的預定壓力量有助於達到一阻抗以更進一步地達到狀態S0的較高沉積率,狀態S1的預定壓力量有助於達到一阻抗以更進一步地達到低於該較低沉積率之狀態S1的沉積率。又例如,狀態S0的預定壓力量有助於達到一阻抗以更進一步地達到狀態S0的沉積率,狀態S1的預定壓力量有助於達到一阻抗以更進一步地達到狀態S1的蝕刻率。The plasma impedance in the plasma chamber 308 of a state is reached to further reach the etch rate or deposition rate of the state. For example, the predetermined amount of pressure in state S0 helps to reach an impedance to further reach a lower etch rate of state S0, and the predetermined amount of pressure in state S1 helps to reach an impedance to further reach above the lower etch. The rate of etching of the state S1. For another example, the predetermined amount of pressure in state S0 helps to reach an impedance to further reach a higher deposition rate of state S0, and the predetermined amount of pressure in state S1 helps to reach an impedance to further reach below this lower The deposition rate of the state S1 of the deposition rate. As another example, the predetermined amount of pressure in state S0 helps to reach an impedance to further reach the deposition rate of state S0, and the predetermined amount of pressure in state S1 helps to reach an impedance to further reach the etch rate of state S1.

在判斷出量測到的壓力量不匹配一狀態之預定壓力量後,壓力處理器140發送指示相同資訊的反饋訊號至相位延遲電路138。在現行狀態期間於接收指示量測到的壓力量不匹配現行狀態之預定間隙量的訊號後,相位延遲電路138增加下一狀態之經脈動的數位訊號326之部分的相位延遲以產生欲發送至x MHz RF產生器、y MHz RF產生器及z MHz RF產生器之經修正之經脈動之訊號368。另一方面,在判斷出量測到的壓力量匹配一狀態之預定壓力量後,壓力處理器140發送指示相同資訊的反饋訊號至相位延遲電路138。在現行狀態期間於接收指示量測到的壓力量匹配現行狀態之預定間隙量的訊號後,相位延遲電路138將下一狀態之經脈動的數位訊號326之部分發送至x MHz RF產生器、y MHz RF產生器及z MHz RF產生器而不添加任何延遲至經脈動的數位訊號326。After determining that the measured amount of pressure does not match the predetermined amount of pressure for a state, the pressure processor 140 sends a feedback signal indicating the same information to the phase delay circuit 138. After receiving the signal indicating that the amount of pressure does not match the predetermined amount of gap in the current state during the current state, the phase delay circuit 138 increases the phase delay of the portion of the pulsed digital signal 326 of the next state to generate a A modified pulsed signal 368 for the x MHz RF generator, the y MHz RF generator, and the z MHz RF generator. On the other hand, after determining that the measured amount of pressure matches a predetermined amount of pressure in a state, the pressure processor 140 transmits a feedback signal indicating the same information to the phase delay circuit 138. The phase delay circuit 138 sends a portion of the pulsed digital signal 326 of the next state to the x MHz RF generator, y, after receiving the signal indicating that the measured amount of pressure matches the predetermined amount of gap in the current state during the current state. The MHz RF generator and the z MHz RF generator do not add any delay to the pulsed digital signal 326.

又更例如,在改變圍繞閥件148之殼套內的流率後,流動感測器414量測自氣體源GS 流至電漿室308之一或多種製程氣體的流率。流動感測器414將量測到的流率量提供予流動處理器146。流動處理器146判斷流率量是否匹配一狀態之預定流率量。一狀態之預定的流率量係儲存於流動控制系統366(圖7)的記憶體裝置中。在記憶體裝置中,一狀態之預定之流率量係與電漿室308內之電漿的阻抗量連結。例如,狀態S1之預定流率量係與阻抗量Z1連結而狀態S0之預定流率量係與阻抗量Z2連結。狀態S1之流率量係與狀態S1期間之一參數訊號的一部分相關,流動驅動器FDS1(圖7)發送此參數訊號的一部分以操作馬達150;狀態S0之流率量係與狀態S0期間之該參數訊號的剩餘部分相關,流動驅動器FDS0(圖7)發送此參數訊號的剩餘部分以操作馬達150。Still further, for example, after varying the flow rate within the casing surrounding the valve member 148, the flow sensor 414 measures the flow rate of one or more process gases flowing from the gas source GS to the plasma chamber 308. Flow sensor 414 provides the measured flow rate amount to flow processor 146. Flow processor 146 determines if the flow rate amount matches a predetermined flow rate amount for a state. The predetermined flow rate amount for a state is stored in the memory device of flow control system 366 (Fig. 7). In the memory device, the predetermined flow rate amount in one state is coupled to the impedance of the plasma in the plasma chamber 308. For example, the predetermined flow rate amount of the state S1 is coupled to the impedance amount Z1, and the predetermined flow rate amount of the state S0 is coupled to the impedance amount Z2. The flow rate of state S1 is related to a portion of one of the parameter signals during state S1, and flow driver FDS1 (Fig. 7) transmits a portion of the parameter signal to operate motor 150; the flow rate of state S0 is during the state S0 The remainder of the parameter signal is correlated, and the flow driver FDS0 (Fig. 7) transmits the remainder of the parameter signal to operate the motor 150.

達到一狀態之電漿室308內的電漿阻抗以更進一步地達到該狀態之蝕刻率或沉積率。例如,狀態S0的預定流率量有助於達到一阻抗以更進一步地達到狀態S0的較低蝕刻率,狀態S1之預定流率量有助於達到一阻抗以更進一步地達到高於該較低蝕刻率之狀態S1的蝕刻率。又例如,狀態S0的預定流率量有助於達到一阻抗以更進一步地達到狀態S0的較高沉積率,狀態S1之預定流率量有助於達到一阻抗以更進一步地達到低於該較高沉積率之狀態S1的沉積率。又例如,狀態S0之預定流率量有助於達到一阻抗以更進一步地達到狀態S0的沉積率而狀態S1之預定流率量有助於達到一阻抗以更進一步地達到狀態S1的蝕刻率。The plasma impedance in the plasma chamber 308 of a state is reached to further reach the etch rate or deposition rate of the state. For example, the predetermined flow rate amount of state S0 helps to reach an impedance to further reach a lower etch rate of state S0, and the predetermined flow rate amount of state S1 helps to reach an impedance to further reach higher than the ratio. The etching rate of the state S1 of low etching rate. For another example, the predetermined flow rate of state S0 helps to reach an impedance to further reach a higher deposition rate of state S0, and the predetermined flow rate of state S1 helps to reach an impedance to further reach below The deposition rate of the state S1 of a higher deposition rate. For another example, the predetermined flow rate of state S0 helps to reach an impedance to further reach the deposition rate of state S0 while the predetermined flow rate of state S1 contributes to an impedance to further reach the etch rate of state S1. .

在判斷出量測到的流率量不匹配一狀態之預定流率量後,流動處理器146將指示相同訊號的反饋訊號發送至相位延遲電路138。在現行狀態期間於接收指示量測到的流率量不匹配現行狀態之預定流率量的訊號後,相位延遲電路138決定將一相位延遲增加至下一狀態之經脈動的數位訊號326之一部分以產生欲發送至x MHz RF產生器、y MHz RF產生器及z MHz RF產生器之經修正之經脈動之訊號368。另一方面,在判斷出量測到的流率量匹配一狀態之預定流率量後,流動處理器146將指示相同訊號的反饋訊號發送至相位延遲電路138。在現行狀態期間於接收指示量測到的流率量匹配現行狀態之預定流率量的訊號後,相位延遲電路138將下一狀態之經脈動的數位訊號326之一部分發送至x MHz RF產生器、y MHz RF產生器及z MHz RF產生器而不添加任何延遲至經脈動的數位訊號326。After determining that the measured flow rate amount does not match the predetermined flow rate amount of a state, the flow processor 146 sends a feedback signal indicating the same signal to the phase delay circuit 138. After receiving the signal indicating that the flow rate amount does not match the predetermined flow rate amount of the current state during the current state, the phase delay circuit 138 determines to increase a phase delay to a portion of the pulsed digital signal 326 of the next state. A modified pulsed signal 368 to be sent to the x MHz RF generator, the y MHz RF generator, and the z MHz RF generator is generated. On the other hand, after determining that the measured flow rate amount matches the predetermined flow rate amount of a state, the flow processor 146 sends a feedback signal indicating the same signal to the phase delay circuit 138. After receiving the signal indicating that the measured flow rate amount matches the predetermined flow rate amount of the current state during the current state, the phase delay circuit 138 transmits a portion of the next state pulsed digital signal 326 to the x MHz RF generator. The y MHz RF generator and the z MHz RF generator are added without any delay to the pulsed digital signal 326.

在各種實施例中,間隙處理器130、WAP處理器140及流動處理器146所產生的反饋訊號係產生以回應x MHz RF產生器所產生之數位經脈動之訊號326及經修正之經脈動之訊號368。In various embodiments, the feedback signals generated by the gap processor 130, the WAP processor 140, and the flow processor 146 are generated in response to the digitally pulsed signal 326 and the modified pulsation generated by the x MHz RF generator. Signal 368.

在各種實施例中,相位延遲電路138將一相位延遲添加至數位經脈動之訊號326,該相位延遲係被決定用來補償間隙控制機械元件之回應時間、壓力控制機械元件之回應時間及流動控制機械元件之回應時間中最慢的回應時間。例如,相位延遲電路138所添加的相位延遲匹配或超過間隙控制機械元件、壓力控制機械元件及流動控制機械元件之回應時間中最長的回應時間。又例如,在接收到指示間隙感測器412所量測到之間隙不匹配一狀態之預定間隙量的訊號、壓力感測器416所量測到之壓力不匹配一狀態之預定壓力量的訊號及流動感測器414所量測到的流率不匹配一狀態之預定流率量的訊號後,相位延遲電路138判斷出用以達成一狀態之預定間隙量所需的時間、用以達成一狀態之預定壓力量所需的時間及用以達成一狀態之預定流率量所需的時間中的最長時間量。相位延遲電路138自相位延遲電路138的記憶體裝置中存取用以達成一狀態之預定間隙量所需的時間、用以達成一狀態之預定壓力量所需的時間及用以達成一狀態之預定流率量所需的時間。在判斷出用以達成一狀態之預定流率量所需的時間為最長時間後,相位延遲電路138將經脈動的數位訊號326的剩餘部分延遲欲達成該狀態之該預定流率量所需的時間。類似地,在判斷出用以達成一狀態之預定壓力量所需的時間為最長時間後,相位延遲電路138將經脈動的數位訊號326的剩餘部分延遲欲達成該狀態之該預定壓力量所需的時間。又,類似地,在判斷出用以達成一狀態之預定間隙量所需的時間為最長時間後,相位延遲電路138將經脈動的數位訊號326的剩餘部分延遲欲達成該狀態之該預定間隙量所需的時間。In various embodiments, phase delay circuit 138 adds a phase delay to digital pulsed signal 326 that is determined to compensate for the response time of the gap control mechanical component, the response time of the pressure control mechanical component, and flow control. The slowest response time of the mechanical component's response time. For example, the phase delay added by phase delay circuit 138 matches or exceeds the longest response time in the response time of the gap control mechanical component, the pressure control mechanical component, and the flow control mechanical component. For another example, a signal indicating that the gap measured by the gap sensor 412 does not match a predetermined amount of gap, and the pressure measured by the pressure sensor 416 does not match the predetermined amount of pressure of a state. After the flow rate measured by the flow sensor 414 does not match the signal of the predetermined flow rate of a state, the phase delay circuit 138 determines the time required to achieve a predetermined amount of gap in a state, to achieve a The time required for the predetermined amount of pressure of the state and the maximum amount of time required to achieve the predetermined flow rate amount for a state. The phase delay circuit 138 accesses from the memory device of the phase delay circuit 138 the time required to achieve a predetermined amount of gap in a state, the time required to achieve a predetermined amount of pressure in a state, and the state used to achieve a state. The time required to reserve the flow rate amount. After determining that the time required to achieve the predetermined flow rate amount for a state is the longest time, the phase delay circuit 138 delays the remainder of the pulsed digital signal 326 by the amount of the predetermined flow rate required to achieve the state. time. Similarly, after determining that the time required to achieve the predetermined amount of pressure for a state is the longest time, phase delay circuit 138 delays the remainder of pulsed digital signal 326 by the predetermined amount of pressure required to achieve the state. time. Moreover, similarly, after determining that the time required to achieve the predetermined amount of gap for a state is the longest time, the phase delay circuit 138 delays the remaining portion of the pulsated digital signal 326 by the predetermined amount of gap to achieve the state. The time required.

在各種實施例中,相位延遲電路138包含一處理器。In various embodiments, phase delay circuit 138 includes a processor.

應注意,在某些實施例中,如間隙控制機械元件、或壓力控制機械元件、或流動控制機械元件等機械元件的回應時間包含該機械元件之一者之回應時間與該機械元件之剩餘者之對應一或多者之一或多個回應時間的總和。例如,在一群兩個機械元件(如兩個間隙控制機械元件、或兩個壓力控制機械元件、或兩個流動控制機械元件等)中,該兩個機械元件的回應時間為該兩個機械元件之第一者之回應時間與該兩個機械元件之第二者之回應時間的總和。It should be noted that in some embodiments, the response time of a mechanical component such as a gap control mechanical component, or a pressure control mechanical component, or a flow control mechanical component, includes a response time of one of the mechanical components and a remainder of the mechanical component The sum of one or more response times corresponding to one or more. For example, in a group of two mechanical components (such as two gap control mechanical components, or two pressure control mechanical components, or two flow control mechanical components, etc.), the response time of the two mechanical components is the two mechanical components The sum of the response time of the first one and the response time of the second of the two mechanical components.

在各種實施例中,機械元件(包含間隙控制機械元件、壓力控制機械元件及流動控制機械元件)的回應時間為機械元件之第一者與機械元件之剩餘者之對應一或多者之一或多個回應時間中最長的回應時間。例如,在一群兩個機械元件(如兩個間隙控制機械元件、或兩個壓力控制機械元件、或兩個流動控制機械元件等)中,該兩個機械元件的回應時間為該兩個機械元件之第一者之回應時間與該兩個機械元件之第二者之回應時間中最長的回應時間。In various embodiments, the response time of the mechanical component (including the gap control mechanical component, the pressure control mechanical component, and the flow control mechanical component) is one of one or more of the first one of the mechanical component and the remainder of the mechanical component or The longest response time among multiple response times. For example, in a group of two mechanical components (such as two gap control mechanical components, or two pressure control mechanical components, or two flow control mechanical components, etc.), the response time of the two mechanical components is the two mechanical components The response time of the first one is the longest response time of the response time of the second of the two mechanical components.

在某些實施例中,相位延遲電路138係於主機系統312(圖6B)內實施。In some embodiments, phase delay circuit 138 is implemented within host system 312 (FIG. 6B).

在使用三狀態的各種實施例中,間隙控制系統362包含三個間隙驅動器而非兩個間隙驅動器,即狀態S2、S3與S4的每一狀態皆有一間隙驅動器。又,在此些實施例中,WAP控制系統364包含三個壓力控制器而非兩個壓力控制器,即狀態S2、S3與S4的每一狀態皆有一壓力控制器。又,在此些實施例中,流動控制系統366包含三個流動驅動器而非兩個流動驅動器,即狀態S2、S3與S4的每一狀態皆有一流動驅動器。在狀態S2期間,間隙處理器130將一訊號發送至狀態S2用的間隙驅動器而控制馬達136以更進一步地控制上電極134的位置。又,在狀態S3期間,間隙處理器130將一訊號發送至狀態S3用的間隙驅動器而控制馬達136以更進一步地控制上電極134的位置。在狀態S4期間,間隙處理器130將一訊號發送至狀態S4用的間隙驅動器而控制馬達136以更進一步地控制上電極134的位置。在狀態S2期間,WAP處理器140將一訊號發送至狀態S2用的壓力控制器而控制馬達144以更進一步地控制限制環142的垂直位置。又,在狀態S3期間,WAP處理器140將一訊號發送至狀態S3用的壓力控制器而控制馬達144以更進一步地控制限制環142的垂直位置。在狀態S4期間,WAP處理器140將一訊號發送至狀態S4用的壓力控制器而控制馬達144以更進一步地控制限制環142的垂直位置。類似地,在狀態S2期間,流動處理器146將一訊號發送至狀態S2用的流動驅動器而控制馬達150以更進一步地控制閥件148的開啟與關閉。又,在狀態S3期間,流動處理器146將一訊號發送至狀態S3用的流動驅動器而控制馬達150以更進一步地控制閥件148的開啟與關閉。在狀態S4期間,流動處理器146將一訊號發送至狀態S4用的流動驅動器而控制馬達150以更進一步地控制閥件148的開啟與關閉。In various embodiments in which the three states are used, the gap control system 362 includes three gap drivers instead of two gap drivers, i.e., each of the states S2, S3, and S4 has a gap driver. Again, in such embodiments, the WAP control system 364 includes three pressure controllers instead of two pressure controllers, i.e., each of the states S2, S3, and S4 has a pressure controller. Again, in such embodiments, flow control system 366 includes three flow drivers instead of two flow drivers, i.e., each state of states S2, S3, and S4 has a flow driver. During state S2, gap processor 130 sends a signal to the gap driver for state S2 to control motor 136 to further control the position of upper electrode 134. Also, during state S3, gap processor 130 sends a signal to the gap driver for state S3 to control motor 136 to further control the position of upper electrode 134. During state S4, gap processor 130 sends a signal to the gap driver for state S4 to control motor 136 to further control the position of upper electrode 134. During state S2, WAP processor 140 sends a signal to the pressure controller for state S2 to control motor 144 to further control the vertical position of limit ring 142. Also, during state S3, WAP processor 140 sends a signal to the pressure controller for state S3 to control motor 144 to further control the vertical position of limit ring 142. During state S4, WAP processor 140 sends a signal to the pressure controller for state S4 to control motor 144 to further control the vertical position of limit ring 142. Similarly, during state S2, flow processor 146 sends a signal to the flow driver for state S2 to control motor 150 to further control the opening and closing of valve member 148. Again, during state S3, flow processor 146 sends a signal to the flow driver for state S3 to control motor 150 to further control the opening and closing of valve member 148. During state S4, flow processor 146 sends a signal to the flow driver for state S4 to control motor 150 to further control the opening and closing of valve member 148.

應注意,在某些實施例中,並非控制限制環142的垂直上下位置,而是由WAP控制器與WAP處理器140控制馬達,以控制限制環的開啟與關閉。開啟與關閉係用以控制電漿室308內的壓力。It should be noted that in some embodiments, instead of controlling the vertical up and down position of the restraint ring 142, the motor is controlled by the WAP controller and the WAP processor 140 to control the opening and closing of the limit ring. Opening and closing are used to control the pressure within the plasma chamber 308.

在某些實施例中,將不同的相位延遲應用至不同的RF產生器。例如,將第一相位延遲應用至x MHz RF產生器並將第二相位延遲應用至MHz RF產生器。用以施加第一相位延遲之第一相位延遲電路係耦合於主機系統312與x MHz RF產生器之間、而用以施加第二相位延遲的第二相位延遲電路係耦合於主機系統312與y MHz RF產生器之間。第一相位延遲電路自主機系統312接收數位經脈動之訊號326、並藉由第一相位延遲使數位經脈動之訊號326的相位延遲,以產生欲提供予x MHz RF產生器之經修正之經脈動之訊號368。x MHz RF產生器接收經修正之經脈動之訊號368、並產生與經修正之經脈動之訊號368同步之一RF訊號。又,第二相位延遲電路自主機系統312接收數位經脈動之訊號326、並藉由第二相位延遲使數位經脈動之訊號326之相位延遲以產生欲提供予y MHz RF產生器之另一經修正之經脈動之訊號。y MHz RF產生器接收該另一經修正之經脈動之訊號並產生與該另一經修正之經脈動之訊號同步的一RF訊號。In some embodiments, different phase delays are applied to different RF generators. For example, a first phase delay is applied to the x MHz RF generator and a second phase delay is applied to the MHz RF generator. A first phase delay circuit for applying a first phase delay is coupled between the host system 312 and the x MHz RF generator, and a second phase delay circuit for applying a second phase delay is coupled to the host system 312 and y Between MHz RF generators. The first phase delay circuit receives the digital pulsed signal 326 from the host system 312 and delays the phase of the digital pulsed signal 326 by the first phase delay to produce a modified version to be provided to the x MHz RF generator. Pulse signal 368. The x MHz RF generator receives the modified pulsed signal 368 and produces an RF signal synchronized with the modified pulsed signal 368. Moreover, the second phase delay circuit receives the digital pulsed signal 326 from the host system 312 and delays the phase of the digital pulsed signal 326 by the second phase delay to produce another corrected to be provided to the y MHz RF generator. The pulse of the pulse. The y MHz RF generator receives the another modified pulsed signal and generates an RF signal synchronized with the other modified pulsed signal.

圖9顯示用以產生三狀態S2、S3與S4之三狀態之經脈動之訊號的一實施例。三狀態S2、S3與S4重覆每一時脈循環。顯示S2、S3與S4中的每一者佔據33%的佔空比。在某些實施例中,狀態S2、S3與S4中的每一者佔據不同於33%的一佔空比。例如,狀態S2佔據20%的佔空比、狀態S3佔據50%的佔空比而狀態S4佔據30%的佔空比。又例如,狀態S2佔據40%的佔空比、狀態S3佔據10%的佔空比而狀態S4佔據50%的佔空比。Figure 9 shows an embodiment of a pulsating signal for generating three states of three states S2, S3 and S4. The three states S2, S3 and S4 repeat each clock cycle. Each of S2, S3, and S4 is shown to occupy a 33% duty cycle. In some embodiments, each of states S2, S3, and S4 occupies a duty cycle that is different from 33%. For example, state S2 occupies a 20% duty cycle, state S3 occupies a 50% duty cycle, and state S4 occupies a 30% duty cycle. As another example, state S2 occupies a 40% duty cycle, state S3 occupies a 10% duty cycle, and state S4 occupies a 50% duty cycle.

並非提供兩狀態經脈動之訊號326(圖6A、6B、7及8)予x MHz RF產生器、y MHz RF產生器、z MHz RF產生器、間隙控制系統362、壓力控制系統364及/或流動控制系統366,而是由一時脈源(如石英振盪器等)或由一電腦產生三狀態經脈動之訊號、然後將其提供予x、y與z MHz RF產生器中的一或多者。在接收三狀態經脈動之訊號後,x MHz RF產生器、y MHz RF產生器及z MHz RF產生器中的任一者產生具有在圖a8(圖1C-2)中、或在圖a9(圖1C-2)中、或在圖a12(圖1D-2)中、或在圖a13(圖1D-2)中所示之統計量測值的一RF訊號。類似地,在接收三狀態經脈動之訊號後,x MHz RF產生器、y MHz RF產生器及z MHz RF產生器中的任一者產生具有在圖b8(圖2C-2)中、或在圖b9(圖2C-2)中、或在圖b12(圖2D-2)中、或在圖b13(圖2D-2)中所示之統計量測值的一RF訊號。又,在接收三狀態經脈動之訊號後,間隙控制系統362、壓力控制系統364及流動控制系統366中的任一者產生具有如圖a8(圖1C-2)中、如圖a9(圖1C-2)中、或如圖a12(圖1D-2)中、或如圖a13(圖1D-2)中所示之一訊號。類似地,在接收三狀態經脈動之訊號後,間隙控制系統362、壓力控制系統364及流動控制系統366中的任一者產生如圖b8(圖2C-2)中、或如圖b9(圖2C-2)中、或如圖b12(圖2D-2)中、或如圖b13(圖2D-2)中所示之一訊號。Rather than providing a two-state pulsed signal 326 (FIGS. 6A, 6B, 7 and 8) to an x MHz RF generator, a y MHz RF generator, a z MHz RF generator, a gap control system 362, a pressure control system 364, and/or The flow control system 366, but generates a three-state pulsed signal from a clock source (such as a quartz oscillator, etc.) or from a computer, and then supplies it to one or more of the x, y, and z MHz RF generators. . After receiving the three-state pulsed signal, any of the x MHz RF generator, the y MHz RF generator, and the z MHz RF generator are generated in Figure a8 (Figure 1C-2), or in Figure a9 ( An RF signal of the statistical measurements shown in Figure 1C-2), or in Figure a12 (Figure 1D-2), or in Figure a13 (Figure 1D-2). Similarly, after receiving the three-state pulsed signal, any of the x MHz RF generator, the y MHz RF generator, and the z MHz RF generator are generated in Figure b8 (Figure 2C-2), or in An RF signal of the statistical measurements shown in Figure b9 (Figure 2C-2), or in Figure b12 (Figure 2D-2), or in Figure b13 (Figure 2D-2). Moreover, after receiving the three-state pulsation signal, any one of the gap control system 362, the pressure control system 364, and the flow control system 366 is generated as shown in FIG. a8 (FIG. 1C-2), as shown in FIG. -2) Medium, or one of the signals shown in Figure a12 (Figure 1D-2) or as shown in Figure a13 (Figure 1D-2). Similarly, after receiving the three-state pulsation signal, either of the gap control system 362, the pressure control system 364, and the flow control system 366 is generated as shown in Figure b8 (Fig. 2C-2), or as shown in Figure b9 (Fig. In 2C-2), or as shown in Figure b12 (Figure 2D-2), or as shown in Figure b13 (Figure 2D-2).

在各種實施例中,在接收三狀態經脈動之訊號後,x、y與z MHz RF產生器之組合產生具有如圖a8(圖1C-2)、圖a9(圖1C-2)、圖a12(圖1D-2)、圖a13(圖1D-2)、圖b8(圖2C-2)、圖b9(圖2C-2)、圖b12(圖2D-2)與圖b13(圖2D-2)之組合中所示之複數統計量測值的複數RF訊號。類似地,在某些實施例中,在接收三狀態經脈動之訊號後,間隙控制系統362、壓力控制系統364及流動控制系統366的組合產生如圖a8(圖1C-2)、圖a9(圖1C-2)、圖a12(圖1D-2)、圖a13(圖1D-2)、圖b8(圖2C-2)、圖b9(圖2C-2)、圖b12(圖2D-2)與圖b13(圖2D-2)之組合中所示之複數訊號。In various embodiments, after receiving the three-state pulsated signal, the combination of the x, y, and z MHz RF generators is generated as shown in FIG. a8 (FIG. 1C-2), FIG. a9 (FIG. 1C-2), and FIG. (Fig. 1D-2), Fig. a13 (Fig. 1D-2), Fig. b8 (Fig. 2C-2), Fig. b9 (Fig. 2C-2), Fig. b12 (Fig. 2D-2) and Fig. b13 (Fig. 2D-2) The complex RF signal of the complex statistical measurements shown in the combination. Similarly, in some embodiments, after receiving the three-state pulsation signal, the combination of gap control system 362, pressure control system 364, and flow control system 366 produces a Figure 8 (Fig. 1C-2), Figure a9 (Fig. 1C-2), a12 (Fig. 1D-2), Fig. a13 (Fig. 1D-2), Fig. b8 (Fig. 2C-2), Fig. b9 (Fig. 2C-2), Fig. b12 (Fig. 2D-2) The complex signal shown in the combination with Figure b13 (Figure 2D-2).

在數個實施例中,三狀態經脈動之訊號係由一時脈源或由一電腦所產生、然後被提供予相位延遲電路138(圖6B、7、8)以產生經延遲之三狀態經脈動之訊號。該經延遲之三狀態經脈動之訊號被提供予x MHz RF產生器、y MHz RF產生器及z MHz RF產生器。在接收該經延遲之三狀態經脈動之訊號後,x MHz RF產生器、y MHz RF產生器及z MHz RF產生器產生與三狀態經脈動之訊號同步的複數RF訊號。In several embodiments, the three-state pulsated signal is generated by a clock source or by a computer and then supplied to phase delay circuit 138 (Figs. 6B, 7, 8) to produce a delayed three-state pulsation. Signal. The delayed three-state pulsation signal is provided to an x MHz RF generator, a y MHz RF generator, and a z MHz RF generator. After receiving the delayed three-state pulsation signal, the x MHz RF generator, the y MHz RF generator, and the z MHz RF generator generate a complex RF signal that is synchronized with the three-state pulsed signal.

在各種實施例中,三狀態經脈動之訊號係由一時脈源或一電腦所產生,然後被提供予間隙處理器130(圖6B、7、8)、WAP處理器140(圖6B、7、8)及流動處理器146(圖6B、7、8)。在接收三狀態經脈動之訊號後,間隙處理器130與流動處理器146針對每一狀態S2、S3與S4而藉由一對應的驅動器控制其各別的馬達136與150。又,在接收三狀態經脈動之訊號後,WAP處理器140針對每一狀態S2、S3與S4而藉由一對應的控制器控制馬達144。In various embodiments, the three-state pulsed signal is generated by a clock source or a computer and then provided to gap processor 130 (FIGS. 6B, 7, 8) and WAP processor 140 (FIGS. 6B, 7, 8) and flow processor 146 (Figs. 6B, 7, 8). After receiving the three-state pulsation signal, gap processor 130 and flow processor 146 control its respective motors 136 and 150 for each state S2, S3, and S4 by a corresponding driver. Again, after receiving the three-state pulsation signal, the WAP processor 140 controls the motor 144 for each state S2, S3, and S4 by a corresponding controller.

在某些實施例中,使用兩個數位時脈源(如處理器、電腦、石英振盪器及類比轉數位轉換器等)產生三狀態之經脈動之訊號。複數數位時脈源中之第一者的第一時脈訊號具有一狀態1與0、而該複數數位時脈源中之第二者的第二時脈訊號具有一狀態1與0。一加法器(如加法電路等)係與該兩數位時脈源耦合、以總和第一與第二數位訊號而產生具有三狀態之經脈動之訊號。該加法器係耦合至x MHz RF產生器及/或y MHz RF產生器及/或z MHz RF產生器及/或相位延遲電路138及/或間隙控制系統362及/或壓力控制系統364及/或流動控制系統366,以將三狀態經脈動之訊號提供予x MHz RF產生器及/或y MHz RF產生器及/或z MHz RF產生器及/或相位延遲電路138及/或間隙控制系統362及/或壓力控制系統364及/或流動控制系統366。In some embodiments, a three-state pulse source (such as a processor, a computer, a quartz oscillator, and an analog-to-digital converter) is used to generate a three-state pulsed signal. The first clock signal of the first of the plurality of bit clock sources has a state of 1 and 0, and the second clock signal of the second of the plurality of clock sources has a state of 1 and 0. An adder (such as an adder circuit, etc.) is coupled to the two-digit clock source to generate a three-state pulsed signal by summing the first and second digital signals. The adder is coupled to an x MHz RF generator and/or y MHz RF generator and/or z MHz RF generator and/or phase delay circuit 138 and/or gap control system 362 and/or pressure control system 364 and/or Or flow control system 366 to provide a three-state pulsed signal to an x MHz RF generator and/or a y MHz RF generator and/or a z MHz RF generator and/or phase delay circuit 138 and/or a gap control system 362 and/or pressure control system 364 and/or flow control system 366.

圖10之圖380係用以說明相較於經脈動之訊號326之相位之第一變數與第二變數的群組相位延遲。圖380將一訊號的振幅繪製於y軸上而將時間t繪製於x軸上。圖380繪製y軸上的第一變數對時間。第一變數被顯示為訊號384。又,圖380繪製y軸上的第二變數對時間。第二變數被顯示為訊號386。380 of FIG. 10 is used to illustrate the group phase delay of the first and second variables compared to the phase of the pulsed signal 326. Figure 380 plots the amplitude of a signal on the y-axis and the time t on the x-axis. Graph 380 plots the first variable versus time on the y-axis. The first variable is shown as signal 384. Again, graph 380 plots the second variable on the y-axis versus time. The second variable is shown as signal 386.

應注意,圖380並未依比例。例如,雖然在某個時間處,訊號326、368、384與386被顯示為具有約相同的振幅,但訊號326、368、384與386中任一者的振幅係不同於訊號326、368、384與386中剩餘者之一或多者的振幅。It should be noted that diagram 380 is not to scale. For example, although at a certain time, signals 326, 368, 384, and 386 are shown to have approximately the same amplitude, the amplitude of any of signals 326, 368, 384, and 386 is different from signals 326, 368, 384. The amplitude of one or more of the remaining ones in 386.

在相位延遲電路138(圖6B、7與8)施加群組相位延遲(如相位延遲φd等)以產生經修正之經脈動之訊號368後,經修正之經脈動之訊號368被應用至x MHz RF產生器、y MHz RF產生器與z MHz RF產生器。x、y與z MHz RF產生器中的任兩者產生具有訊號384與386作為RF訊號之統計量測值的兩個RF訊號。x MHz RF產生器、y MHz RF產生器與z MHz RF產生器中之兩者所提供的兩個RF訊號係於該群組相位延遲之後或同時產生。After the phase delay circuit 138 (Figs. 6B, 7 and 8) applies a group phase delay (e.g., phase delay φd, etc.) to produce a modified pulsated signal 368, the modified pulsating signal 368 is applied to x MHz. RF generator, y MHz RF generator and z MHz RF generator. Either of the x, y, and z MHz RF generators produces two RF signals having signals 384 and 386 as statistical measurements of the RF signal. The two RF signals provided by both the x MHz RF generator, the y MHz RF generator, and the z MHz RF generator are generated after or simultaneously with the group phase delay.

雖然圖380顯示x MHz RF產生器、y MHz RF產生器與z MHz RF產生器中任兩者用之訊號384與386,但在某些實施例中,圖380包含x MHz RF產生器、y MHz RF產生器與z MHz RF產生器中一或多者所產生之複數RF訊號的統計量測值。Although FIG. 380 shows signals 384 and 386 for either the x MHz RF generator, the y MHz RF generator, and the z MHz RF generator, in some embodiments, FIG. 380 includes an x MHz RF generator, y A statistical measure of the complex RF signal generated by one or more of the MHz RF generator and the z MHz RF generator.

在某些實施例中,訊號384表現第一參數而非第一變數、而訊號386表現第二參數而非第二變數。In some embodiments, signal 384 represents a first parameter rather than a first variable, and signal 386 represents a second parameter rather than a second variable.

雖然利用x、y與z MHz RF產生器來說明上述實施例,但在某些實施例中,可使用任何數目之RF產生器,如兩個RF產生器、一個RF產生器、四個RF產生器等。Although the above embodiments are illustrated with x, y, and z MHz RF generators, in some embodiments any number of RF generators can be used, such as two RF generators, one RF generator, four RF generations. And so on.

應注意,雖然上述實施例係參考平行板電漿室308說明,但在一實施例中,將上述實施例應用一其他類型的電漿室,如包含感應耦合電漿(ICP)反應器的電漿室、包含電子迴旋共振(ECR)反應器之電漿室等。例如,x、y與z MHz RF產生器係耦合至ICP電漿室內的電感器。It should be noted that while the above embodiments are described with reference to parallel plate plasma chamber 308, in one embodiment, the above embodiments are applied to other types of plasma chambers, such as those containing inductively coupled plasma (ICP) reactors. A slurry chamber, a plasma chamber containing an electron cyclotron resonance (ECR) reactor, and the like. For example, x, y, and z MHz RF generators are coupled to inductors within the ICP plasma chamber.

應注意,雖然上述實施例係關於提供一RF訊號予夾頭132的下電極和接地上電極134,但在數個實施例中,RF訊號係提供予上電極134但夾頭132的下電極係接地。It should be noted that while the above embodiments are directed to providing an RF signal to the lower electrode of the collet 132 and the grounded upper electrode 134, in several embodiments, the RF signal is provided to the upper electrode 134 but the lower electrode of the collet 132 Ground.

文中所述之實施例可利用各種電腦系統組態實施,包含手持硬體單元、微處理器系統、微處理器系或可程式化之消費電子產品、迷你電腦、主機電腦等。此些實施例亦可在分散的計算環境中實施,在此方式下任務係藉著經由網路連結的遠端處理硬體單元進行。The embodiments described herein can be implemented using a variety of computer system configurations, including hand-held hardware units, microprocessor systems, microprocessor-based or programmable consumer electronics, mini-computers, host computers, and the like. Such embodiments can also be implemented in a decentralized computing environment where tasks are performed by remote processing hardware units connected via a network.

考慮到上述實施例,應瞭解,實施例可進行涉及儲存在電腦系統中之數據的各種電腦施行步驟。此些步驟需要實質操控物理數量。形成實施例之一部分之所述之任何步驟皆為有用的機械步驟。實施例亦關於執行此些步驟的硬體單元或設備。可針對專門用途的電腦專門建構設備。當一電腦被定義為專門用途之電腦時,此電腦除了能夠針對專門用途運行之外,亦可進行其他處理、程式執行或其他非屬特別用途的子程式。在某些實施例中,步驟可由選擇性活化的通用電腦執行或者可由儲存在電腦記憶體、快取記憶體或自網路所獲得的一或多個電腦程式所配置。當數據係自網路獲得時,該數據可由網路上的其他電腦如電端計算資源所處理。In view of the above-described embodiments, it should be appreciated that the embodiments can perform various computer implementation steps involving data stored in a computer system. These steps require substantial manipulation of the physical quantity. Any of the steps described to form part of an embodiment are useful mechanical steps. Embodiments are also directed to hardware units or devices that perform such steps. Equipment can be built specifically for specialized computers. When a computer is defined as a dedicated computer, the computer can be used for other purposes, other processing, program execution or other non-special purpose subprograms. In some embodiments, the steps may be performed by a selectively activated general purpose computer or by one or more computer programs stored in computer memory, cache memory, or from a network. When data is obtained from the network, the data can be processed by other computers on the network, such as computing resources.

可將一或多個實施例製作成非瞬變電腦可讀媒體如儲存裝置上的電腦可讀碼。非瞬變電腦可讀媒體是可儲存數據且後續可被電腦系統讀取的任何儲存裝置。非瞬變電腦可讀媒體的實例包含硬碟、網路附加儲存(NAS)、ROM、RAM、光碟-ROM (CD-ROM)、可錄CD (CD-R)、可重覆寫入之CD (CD-RW)、磁帶及其他光學式與非光學式數據儲存硬體單元。非瞬變電腦可讀媒體可包含分散於網路耦合電腦系統的電腦可讀實質媒體,因此電腦可讀碼係以分散方式儲存及執行。One or more embodiments can be fabricated as a computer readable code on a non-transitory computer readable medium such as a storage device. A non-transitory computer readable medium is any storage device that can store data and subsequently be readable by a computer system. Examples of non-transitory computer readable media include hard disk, network attached storage (NAS), ROM, RAM, compact disk-ROM (CD-ROM), recordable CD (CD-R), re-writable CD (CD-RW), magnetic tape and other optical and non-optical data storage hardware units. The non-transitory computer readable medium can comprise a computer readable physical medium distributed over a network coupled computer system such that the computer readable code is stored and executed in a distributed fashion.

雖然以特定的順序說明前述步驟,但只要能以期望的方式進行延遲步驟的整體處理,在步驟之間可進行其他閒雜步驟或者可調整步驟使其發生的時間略有不同,或者可將步驟分配至允許處理步驟以和處理相關之不同間隔進行的系統中。Although the foregoing steps are described in a specific order, as long as the overall processing of the delay steps can be performed in a desired manner, other idle steps or adjustable steps may be performed between the steps to make them occur slightly differently, or the steps may be assigned To systems that allow processing steps to occur at different intervals associated with processing.

在不脫離本文所述之各種實施例的範圍的情況下,來自任何實施例的一或多個特徵可與任何其他實施例的一或多個特徵結合。One or more features from any embodiment can be combined with one or more features of any other embodiments without departing from the scope of the various embodiments described herein.

雖然為了能清楚瞭解本發明的目的,已詳細說明了前面的實施例,但應明白,在隨附之申請專利範圍的範疇內可進行某些變化與修改。因此,此些實施例應被視為是說明性而非限制性的,且實施例並不限於文中所述的細節,在隨附申請範圍的範疇與等效物內可修改此些實施例。Although the foregoing embodiments have been described in detail for the purpose of the invention, the invention Therefore, the present embodiments are to be considered as illustrative and not restrictive

A1-A36‧‧‧振幅
AFTS0x‧‧‧頻率自動調變器
AFTS0y‧‧‧頻率自動調變器
AFTS0z‧‧‧頻率自動調變器
AFTS1x‧‧‧頻率自動調變器
AFTS1y‧‧‧頻率自動調變器
AFTS1z‧‧‧頻率自動調變器
B1-B36‧‧‧振幅
DSPx‧‧‧數位訊號處理器
DSPy‧‧‧數位訊號處理器
DSPz‧‧‧數位訊號處理器
FDS0‧‧‧流動驅動器
FDS1‧‧‧流動驅動器
GDS0‧‧‧間隙驅動器
GDS1‧‧‧間隙驅動器
GS1‧‧‧氣體源
PCS0‧‧‧壓力控制器
PCS1‧‧‧壓力控制器
PCS0x‧‧‧功率控制器
PCS0y‧‧‧功率控制器
PCS0z‧‧‧功率控制器
PCS1x‧‧‧功率控制器
PCS1y‧‧‧功率控制器
PCS1z‧‧‧功率控制器
PSx‧‧‧RF功率供給器
PSy‧‧‧RF功率供給器
PSz‧‧‧RF功率供給器
S0-S4‧‧‧狀態
Z1‧‧‧阻抗量
Z2‧‧‧阻抗量
101‧‧‧第一部分
102‧‧‧正弦RF訊號
103‧‧‧第二部分
108‧‧‧正弦RF訊號
110‧‧‧統計量測值
130‧‧‧間隙處理器
132‧‧‧夾頭
134‧‧‧上電極
136‧‧‧馬達
138‧‧‧相位延遲電路
140‧‧‧壓力處理器
142‧‧‧限制環
142A‧‧‧限制環部
142B‧‧‧限制環部
144‧‧‧馬達
146‧‧‧流動處理器
148‧‧‧閥件
150‧‧‧馬達
300‧‧‧電漿系統
302‧‧‧阻抗匹配電路
304‧‧‧RF纜線
306‧‧‧RF訊號
308‧‧‧電漿室
310‧‧‧RF傳輸線
312‧‧‧主機系統
320‧‧‧RF纜線
322‧‧‧RF纜線
324‧‧‧工作件
326‧‧‧數位經脈動之訊號
327‧‧‧上表面
350‧‧‧電漿系統
361‧‧‧間隙控制系統
362‧‧‧間隙控制系統
364‧‧‧壓力控制系統
366‧‧‧流動控制系統
368‧‧‧經修正之經脈動之訊號
382‧‧‧體積
384‧‧‧訊號
386‧‧‧訊號
400‧‧‧電漿系統
410‧‧‧電漿系統
412‧‧‧間隙感測器
414‧‧‧流動感測器
416‧‧‧壓力感測器
A1-A36‧‧‧Amplitude
AFTS0x‧‧‧frequency automatic modulator
AFTS0y‧‧‧frequency automatic modulator
AFTS0z‧‧‧frequency automatic modulator
AFTS1x‧‧‧frequency automatic modulator
AFTS1y‧‧‧frequency automatic modulator
AFTS1z‧‧‧frequency automatic modulator
B1-B36‧‧‧ amplitude
DSPx‧‧‧ digital signal processor
DSPy‧‧‧Digital Signal Processor
DSPz‧‧‧Digital Signal Processor
FDS0‧‧‧Mobile Drive
FDS1‧‧‧Mobile Drive
GDS0‧‧‧ gap driver
GDS1‧‧‧clear drive
GS1‧‧‧ gas source
PCS0‧‧‧ Pressure Controller
PCS1‧‧‧ Pressure Controller
PCS0x‧‧‧ power controller
PCS0y‧‧‧Power Controller
PCS0z‧‧‧ power controller
PCS1x‧‧‧ power controller
PCS1y‧‧‧ power controller
PCS1z‧‧‧ power controller
PSx‧‧‧RF Power Supply
PSy‧‧‧RF Power Supply
PSz‧‧‧RF Power Supply
S0-S4‧‧‧ Status
Z1‧‧‧ Impedance
Z2‧‧‧ Impedance
101‧‧‧Part 1
102‧‧‧Sinusoidal RF signal
103‧‧‧Part II
108‧‧‧Sinusoidal RF signal
110‧‧‧statistics
130‧‧‧ gap processor
132‧‧‧ chuck
134‧‧‧Upper electrode
136‧‧ ‧ motor
138‧‧‧ phase delay circuit
140‧‧‧pressure processor
142‧‧‧Restricted ring
142A‧‧‧Restricted ring
142B‧‧‧Restricted ring
144‧‧‧Motor
146‧‧‧Mobile Processor
148‧‧‧ valve parts
150‧‧‧Motor
300‧‧‧ Plasma System
302‧‧‧ impedance matching circuit
304‧‧‧RF cable
306‧‧‧RF signal
308‧‧‧Plastic chamber
310‧‧‧RF transmission line
312‧‧‧Host system
320‧‧‧RF cable
322‧‧‧RF cable
324‧‧‧Workpieces
326‧‧‧ digital pulsating signals
327‧‧‧ upper surface
350‧‧‧ Plasma System
361‧‧‧Gap control system
362‧‧‧Gap control system
364‧‧‧ Pressure Control System
366‧‧‧Flow Control System
368‧‧‧Corrected pulse signal
382‧‧‧ volume
384‧‧‧ signal
386‧‧‧ signal
400‧‧‧Micro plasma system
410‧‧‧ Plasma System
412‧‧‧Gap Sensor
414‧‧‧ Flow Sensor
416‧‧‧ Pressure Sensor

藉著參考配合附圖之下面說明可最佳地瞭解本發明的各種實施例。The various embodiments of the present invention are best understood by reference to the description

圖1A顯示用以說明根據本發明各種實施例之第一變數的軟脈動的圖示。1A shows an illustration of soft pulsations to illustrate a first variation in accordance with various embodiments of the present invention.

圖1B顯示用以說明根據本發明數個實施例之第一變數的軟脈動的額外圖示。Figure 1B shows an additional illustration to illustrate soft pulsations in accordance with a first variation of several embodiments of the present invention.

圖1C-1顯示用以說明根據本發明數個實施例之第一變數的軟脈動的圖示。Figure 1C-1 shows an illustration of soft pulsation to illustrate a first variation of several embodiments in accordance with the present invention.

圖1C-2顯示用以說明根據本發明數個實施例之與經脈動之訊號之三個狀態同步之第一變數的軟脈動的圖示。1C-2 shows an illustration of soft pulsations for illustrating a first variable synchronized with three states of a pulsating signal in accordance with several embodiments of the present invention.

圖1D-1顯示用以說明根據本發明某些實施例之第一變數的軟脈動的更多圖示。1D-1 shows more illustration of soft pulsations to illustrate a first variation in accordance with some embodiments of the present invention.

圖1D-2顯示用以說明根據本發明某些實施例之與經脈動之訊號之三個狀態同步的第一變數的軟脈動的更多圖示。1D-2 shows more illustration of soft pulsations to illustrate a first variable synchronized with three states of a pulsating signal, in accordance with some embodiments of the present invention.

圖1E顯示用以說明根據本發明某些實施例之第一變數的軟脈動的額外圖示。Figure 1E shows an additional illustration to illustrate soft pulsation of a first variation in accordance with some embodiments of the present invention.

圖1F顯示用以說明根據本發明各種實施例之第一變數的軟脈動的圖示。Figure 1F shows an illustration of soft pulsations to illustrate a first variation in accordance with various embodiments of the present invention.

圖2A顯示用以說明根據本發明各種實施例之第二變數的軟脈動的圖示。2A shows an illustration of soft pulsations to illustrate a second variation in accordance with various embodiments of the present invention.

圖2B顯示用以說明根據本發明數個實施例之第二變數的軟脈動的額外圖示。Figure 2B shows an additional illustration of soft pulsations to illustrate a second variation of several embodiments in accordance with the present invention.

圖2C-1顯示用以說明根據本發明數個實施例之第二變數的軟脈動的圖示。2C-1 shows an illustration of soft pulsations to illustrate a second variation of several embodiments in accordance with the present invention.

圖2C-2顯示用以說明根據本發明數個實施例之與經脈動之訊號之三個狀態同步之第二變數的軟脈動的圖示。2C-2 shows an illustration of soft pulsations for illustrating a second variable synchronized with three states of a pulsating signal in accordance with several embodiments of the present invention.

圖2D-1顯示用以說明根據本發明某些實施例之第二變數的軟脈動的圖示。2D-1 shows an illustration of soft pulsations to illustrate a second variation in accordance with some embodiments of the present invention.

圖2D-2顯示用以說明根據本發明某些實施例之與經脈動之訊號之三個狀態同步之第二變數的軟脈動的圖示。2D-2 shows an illustration of soft pulsations to illustrate a second variable synchronized with three states of a pulsated signal, in accordance with some embodiments of the present invention.

圖2E顯示用以說明根據本發明某些實施例之第二變數的軟脈動的額外圖示。2E shows an additional illustration of soft pulsations to illustrate a second variation in accordance with some embodiments of the present invention.

圖2F顯示用以說明根據本發明各種實施例之第二變數的軟脈動的圖示。2F shows an illustration of soft pulsations to illustrate a second variation in accordance with various embodiments of the present invention.

圖3係用以說明圖1A至1F及圖2A至2F每一圖繪製根據本發明各種實施例之藉由射頻(RF)產生器所產生之正弦訊號的統計量測值。3 is a diagram for illustrating each of FIGS. 1A through 1F and FIGS. 2A through 2F depicting statistical measurements of sinusoidal signals generated by a radio frequency (RF) generator in accordance with various embodiments of the present invention.

圖4係用以說明根據本發明數個實施例由RF產生器所產生以達到如圖1A至1F中任一圖所示之第一變數並同時達到如圖2A至2F中任一圖所示之第二變數的RF訊號。4 is a diagram showing the first variable as shown in any of FIGS. 1A to 1F generated by an RF generator in accordance with several embodiments of the present invention and simultaneously achieved as shown in any of FIGS. 2A to 2F. The second variable of the RF signal.

圖5顯示用以說明根據本發明數個實施例之複數圖示之間的相似性的圖示。Figure 5 shows an illustration for illustrating similarities between complex icons in accordance with several embodiments of the present invention.

圖6A為根據本發明某些實施例之電漿系統,其係用以使用來自主機系統的數位經脈動之訊號進行軟脈動。6A is a plasma system for soft pulsing using digital pulsating signals from a host system in accordance with some embodiments of the present invention.

圖6B為根據本發明某些實施例之電漿系統,其係用以說明藉著使用相位延遲電路以及藉著自主機系統接收數位經脈動之訊號而將軟脈動施加至複數變數。6B is a plasma system for illustrating soft pulsation applied to a complex variable by using a phase delay circuit and receiving a digital pulsated signal from a host system, in accordance with some embodiments of the present invention.

圖7為根據本發明各種實施例之電漿系統,其係用以說明使用主RF產生器產生數位經脈動之訊號並用以說明使用相位延遲電路進行軟脈動。7 is a plasma system for illustrating the use of a primary RF generator to generate a digital pulsation signal and to illustrate soft pulsation using a phase delay circuit, in accordance with various embodiments of the present invention.

圖8為根據本發明各種實施例之電漿系統,其係用以說明使用回饋系統決定提供數位經脈動之訊號之下一狀態的時間。Figure 8 is a plasma system for illustrating the timing of providing a state under a digitally pulsed signal using a feedback system in accordance with various embodiments of the present invention.

圖9顯示根據本發明各種實施例之用以產生三狀態之三狀態之經脈動之訊號。Figure 9 shows a pulsating signal for generating a three state of three states in accordance with various embodiments of the present invention.

圖10說明根據本發明各種實施例之與經脈動之訊號同步之第一變數與第二變數。Figure 10 illustrates first and second variables synchronized with a pulsed signal in accordance with various embodiments of the present invention.

AFT‧‧‧自動頻率調變器 AFT‧‧‧Automatic frequency modulator

DSP‧‧‧數位訊號處理器 DSP‧‧‧Digital Signal Processor

PC‧‧‧功率控制器 PC‧‧‧ power controller

PS‧‧‧RF功率供給器 PS‧‧‧RF power supplier

S0‧‧‧狀態 S0‧‧‧ Status

S1‧‧‧狀態 S1‧‧‧ Status

132‧‧‧夾頭 132‧‧‧ chuck

134‧‧‧上電極 134‧‧‧Upper electrode

300‧‧‧電漿系統 300‧‧‧ Plasma System

302‧‧‧阻抗匹配電路 302‧‧‧ impedance matching circuit

304‧‧‧RF纜線 304‧‧‧RF cable

306‧‧‧RF訊號 306‧‧‧RF signal

308‧‧‧電漿室 308‧‧‧Plastic chamber

310‧‧‧RF傳輸線 310‧‧‧RF transmission line

312‧‧‧主機系統 312‧‧‧Host system

320‧‧‧RF纜線 320‧‧‧RF cable

324‧‧‧工作件 324‧‧‧Workpieces

326‧‧‧數位經脈動之訊號 326‧‧‧ digital pulsating signals

327‧‧‧上表面 327‧‧‧ upper surface

Claims (23)

一種電漿系統,包含: 一主射頻(RF)產生器,用以在一第一狀態期間產生一主RF訊號之一第一部分、並在一第二狀態期間產生該主RF訊號之一第二部分,其中該主RF訊號為一正弦訊號; 一阻抗匹配電路,藉由一RF纜線耦合至該主RF產生器,以修正該主RF訊號而產生一經修正的RF訊號;及 一電漿室,藉由一RF傳輸線耦合至該阻抗匹配電路,該電漿室係用以基於該經修正的RF訊號產生電漿,其中該第一部分的統計量測值具有一正斜率或一負斜率。A plasma system comprising: a primary radio frequency (RF) generator for generating a first portion of a primary RF signal during a first state and generating a second one of the primary RF signals during a second state a portion, wherein the primary RF signal is a sinusoidal signal; an impedance matching circuit coupled to the primary RF generator by an RF cable to modify the primary RF signal to generate a modified RF signal; and a plasma chamber And coupled to the impedance matching circuit by an RF transmission line, the plasma chamber is configured to generate a plasma based on the modified RF signal, wherein the first portion of the statistical measurement has a positive slope or a negative slope. 如申請專利範圍第1項之電漿系統,更包含: 一從屬RF產生器,用以在該第一狀態期間自該主RF產生器接收一數位經脈動之訊號的一第一部分、並在該第二狀態期間自該主RF產生器接收該數位經脈動之訊號的一第二部分,該從屬RF產生器並用以在該第一狀態期間產生一從屬RF訊號之一第一部份以及在該第二狀態期間產生該從屬RF訊號之一第二部分,其中該從屬訊號為一正弦訊號,其中該從屬RF訊號之該第一部分的統計量測值具有一正斜率或一負斜率。The plasma system of claim 1, further comprising: a slave RF generator for receiving a first portion of a digitally pulsed signal from the primary RF generator during the first state, and Receiving, from the primary RF generator, a second portion of the digitally pulsed signal during the second state, the slave RF generator configured to generate a first portion of a dependent RF signal during the first state and at the A second portion of the slave RF signal is generated during the second state, wherein the slave signal is a sinusoidal signal, wherein the statistical value of the first portion of the slave RF signal has a positive slope or a negative slope. 如申請專利範圍第2項之電漿系統,其中該從屬RF訊號之該第一部分的該統計量測值在該主RF訊號之該第一部分的該統計量測值具有該正斜率之期間的至少一部分期間內具有該正斜率。The plasma system of claim 2, wherein the statistical value of the first portion of the slave RF signal is at least the period during which the statistical value of the first portion of the primary RF signal has the positive slope This positive slope is present during a portion of the period. 如申請專利範圍第2項之電漿系統,其中該從屬RF訊號之該第一部分的該統計量測值在該主RF訊號之該第一部分的該統計量測值具有該負斜率之期間的至少一部分期間內具有該負斜率。The plasma system of claim 2, wherein the statistical value of the first portion of the slave RF signal is at least during a period in which the statistical value of the first portion of the primary RF signal has the negative slope This negative slope is present during a portion of the period. 如申請專利範圍第1項之電漿系統,其中該主RF訊號具有頻率與功率。A plasma system as claimed in claim 1, wherein the primary RF signal has frequency and power. 如申請專利範圍第1項之電漿系統,更包含: 一間隙控制系統,耦合至該主RF產生器以在該第一狀態期間產生一間隙訊號的一第一部分、並在該第二狀態期間產生該間隙訊號的一第二部分, 其中該電漿室包含一夾頭與面向該夾頭的一上電極,該間隙控制系統更藉由一馬達耦合至該電漿室的該上電極以改變該上電極與該夾頭之間的間隙,其中該間隙訊號具有一正斜率或一負斜率。The plasma system of claim 1, further comprising: a gap control system coupled to the primary RF generator to generate a first portion of a gap signal during the first state and during the second state Generating a second portion of the gap signal, wherein the plasma chamber includes a collet and an upper electrode facing the collet, and the gap control system is further coupled to the upper electrode of the plasma chamber by a motor to change a gap between the upper electrode and the chuck, wherein the gap signal has a positive slope or a negative slope. 如申請專利範圍第6項之電漿系統,其中該間隙控制系統包含一間隙感測器,該間隙感測器係用以判斷該上電極與該夾頭間之該間隙的量。The plasma system of claim 6, wherein the gap control system comprises a gap sensor for determining the amount of the gap between the upper electrode and the chuck. 如申請專利範圍第7項之電漿系統,更包含: 一主控制器,用以產生一經脈動之訊號;及 一相位延遲電路,耦合至該主控制器以基於該間隙之該量延遲該經脈動之訊號的一相位,該主控制器係藉由該相位延遲電路耦合至該主RF產生器。The plasma system of claim 7, further comprising: a main controller for generating a pulsation signal; and a phase delay circuit coupled to the main controller to delay the passage based on the amount of the gap A phase of the pulsating signal, the primary controller being coupled to the primary RF generator by the phase delay circuit. 如申請專利範圍第1項之電漿系統,更包含: 一壓力控制系統,耦合至該主RF產生器以在該第一狀態期間產生一壓力訊號的一第一部分、並在該第二狀態期間產生該壓力訊號的一第二部分,其中該電漿室包含複數限制環,該壓力控制系統係更藉由一馬達而耦合至該複數限制環以改變該電漿室內的壓力,其中該壓力訊號之該第一部分具有一正斜率或一負斜率。The plasma system of claim 1, further comprising: a pressure control system coupled to the primary RF generator to generate a first portion of a pressure signal during the first state and during the second state Generating a second portion of the pressure signal, wherein the plasma chamber includes a plurality of confinement rings, the pressure control system being coupled to the plurality of confinement rings by a motor to change a pressure within the plasma chamber, wherein the pressure signal The first portion has a positive slope or a negative slope. 如申請專利範圍第9項之電漿系統,其中該壓力控制系統包含一壓力感測器,該壓力感測器係用以判斷該電漿室內之該壓力的量。The plasma system of claim 9, wherein the pressure control system comprises a pressure sensor for determining the amount of the pressure in the plasma chamber. 如申請專利範圍第10項之電漿系統,更包含: 一主控制器,用以產生一經脈動之訊號;及 一相位延遲電路,耦合至該主控制器以基於該壓力之該量延遲該經脈動之訊號的一相位,該主控制器係藉由該相位延遲電路而耦合至該主RF產生器。The plasma system of claim 10, further comprising: a main controller for generating a pulsation signal; and a phase delay circuit coupled to the main controller to delay the passage based on the amount of the pressure A phase of the pulsating signal, the primary controller being coupled to the primary RF generator by the phase delay circuit. 如申請專利範圍第1項之電漿系統,更包含: 一流動控制系統,耦合至該主RF產生器以在該第一狀態期間產生一流動訊號之一第一部分、並在該第二狀態期間產生該流動訊號之一第二部分,該流動控制系統係更藉由一馬達而耦合至一閥件以控制流入該電漿室之一氣體的一流率,該流動訊號之該第一部分具有一正斜率或一負斜率。The plasma system of claim 1, further comprising: a flow control system coupled to the primary RF generator to generate a first portion of a flow signal during the first state and during the second state Generating a second portion of the flow signal, the flow control system being coupled to a valve member by a motor to control a rate of gas flowing into a plasma chamber, the first portion of the flow signal having a positive Slope or a negative slope. 如申請專利範圍第12項之電漿系統,其中該流動控制系統包含一流動感測器,該流動感測器係用以判斷該電漿室內之一或多種氣體流的量。A plasma system according to claim 12, wherein the flow control system comprises a flow sensor for determining the amount of one or more gas streams in the plasma chamber. 如申請專利範圍第13項之電漿系統,更包含: 一主控制器,用以產生一經脈動之訊號;及 一相位延遲電路,耦合至該主控制器以基於該氣體流之該量延遲該經脈動之訊號的一相位,該主控制器係藉由該相位延遲電路而耦合至該主RF產生器。The plasma system of claim 13 further comprising: a main controller for generating a pulsating signal; and a phase delay circuit coupled to the main controller to delay the amount based on the amount of the gas flow A phase of the pulsed signal is coupled to the primary RF generator by the phase delay circuit. 如申請專利範圍第1項之電漿系統,其中該第一狀態為一高狀態而該第二狀態為一低狀態。The plasma system of claim 1, wherein the first state is a high state and the second state is a low state. 如申請專利範圍第1項之電漿系統,其中該第一狀態係與該第二狀態相反。The plasma system of claim 1, wherein the first state is opposite to the second state. 如申請專利範圍第1項之電漿系統,其中該阻抗匹配電路藉由匹配耦合至該阻抗匹配電路之一負載之一阻抗與耦合至該阻抗匹配電路之一源的一阻抗而修改該主RF訊號。A plasma system as claimed in claim 1 wherein the impedance matching circuit modifies the primary RF by matching an impedance coupled to one of the load of the impedance matching circuit and an impedance coupled to a source of the impedance matching circuit Signal. 如申請專利範圍第1項之電漿系統,其中該統計量測值包含均方根值、或平均值、或中值、或峰值至峰值振幅、或零至峰值振幅、或其組合。A plasma system according to claim 1, wherein the statistical measurement comprises a root mean square value, or an average value, or a median value, or a peak to peak amplitude, or a zero to peak amplitude, or a combination thereof. 如申請專利範圍第1項之電漿系統,其中該正斜率與該負斜率中的每一者皆為非零的有限斜率。The plasma system of claim 1, wherein the positive slope and the negative slope are each a non-zero finite slope. 一種方法,包含: 在一第一狀態期間產生一主射頻(RF)訊號之一第一部分、並在一第二狀態期間產生該主RF訊號之一第二部分; 基於該主RF訊號匹配一負載之阻抗與一源,以產生一經修正的RF訊號,該源包含一RF產生器與一RF纜線,該負載包含一RF傳輸線與一電漿室;及 接收該經修正的RF訊號以在該電漿室內產生電漿,其中該第一部分的一統計量測值具有一正斜率或一負斜率。A method comprising: generating a first portion of a primary radio frequency (RF) signal during a first state and generating a second portion of the primary RF signal during a second state; matching a load based on the primary RF signal Impedance and a source to generate a modified RF signal, the source comprising an RF generator and an RF cable, the load comprising an RF transmission line and a plasma chamber; and receiving the modified RF signal for A plasma is generated in the plasma chamber, wherein a statistical measure of the first portion has a positive slope or a negative slope. 如申請專利範圍第20項之方法,其中該統計量測值包含均方根值、或平均值、或中值、或峰值至峰值振幅、或零至峰值振幅、或其組合。The method of claim 20, wherein the statistical measurement comprises a root mean square value, or an average, or a median, or a peak to peak amplitude, or a zero to peak amplitude, or a combination thereof. 一種電漿系統,包含: 一第一射頻(RF)產生器,用以在一第一狀態期間產生一第一RF訊號之一第一部分、並在一第二狀態期間產生該第一RF訊號的一第二部分,其中該第一RF訊號為一正弦訊號, 其中該第一RF產生器係耦合至一阻抗匹配電路,該阻抗匹配電路係耦合至一電漿室, 其中該第一RF訊號之該第一部分的統計量測值具有一正斜率或一負斜率。A plasma system comprising: a first radio frequency (RF) generator for generating a first portion of a first RF signal during a first state and generating the first RF signal during a second state a second part, wherein the first RF signal is a sinusoidal signal, wherein the first RF generator is coupled to an impedance matching circuit, the impedance matching circuit is coupled to a plasma chamber, wherein the first RF signal is The statistical value of the first portion has a positive slope or a negative slope. 如申請專利範圍第22項之電漿系統,更包含: 一第二RF產生器,用以在該第一狀態期間產生一第二RF訊號之一第一部分、並在該第二狀態期間產生該第二RF訊號的一第二部分,其中該第二RF訊號為一正弦訊號, 其中該第二RF產生器係藉由一RF纜線耦合至該阻抗匹配電路, 其中該第二RF訊號之該第一部分的統計量測值具有一正斜率或一負斜率。The plasma system of claim 22, further comprising: a second RF generator for generating a first portion of a second RF signal during the first state and generating the second state during the second state a second portion of the second RF signal, wherein the second RF signal is a sinusoidal signal, wherein the second RF generator is coupled to the impedance matching circuit by an RF cable, wherein the second RF signal is The first part of the statistical measurement has a positive slope or a negative slope.
TW104112782A 2014-04-23 2015-04-22 Soft pulsing TWI677263B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/260,051 2014-04-23
US14/260,051 US10157729B2 (en) 2012-02-22 2014-04-23 Soft pulsing

Publications (2)

Publication Number Publication Date
TW201607379A true TW201607379A (en) 2016-02-16
TWI677263B TWI677263B (en) 2019-11-11

Family

ID=54453968

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104112782A TWI677263B (en) 2014-04-23 2015-04-22 Soft pulsing

Country Status (3)

Country Link
KR (1) KR20150122605A (en)
CN (1) CN105047513B (en)
TW (1) TWI677263B (en)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107321586B (en) * 2017-06-29 2018-07-03 华中科技大学 A kind of liquid electric pulse shock wave generation device
CN111434039A (en) * 2017-12-07 2020-07-17 朗姆研究公司 Intra-pulse RF pulses for semiconductor RF plasma processing

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7480571B2 (en) * 2002-03-08 2009-01-20 Lam Research Corporation Apparatus and methods for improving the stability of RF power delivery to a plasma load
US20050241762A1 (en) * 2004-04-30 2005-11-03 Applied Materials, Inc. Alternating asymmetrical plasma generation in a process chamber
KR20090067301A (en) * 2007-12-21 2009-06-25 (주)이큐베스텍 Apparatus for matching impedance
WO2009140371A2 (en) * 2008-05-14 2009-11-19 Applied Materials, Inc. Method and apparatus for pulsed plasma processing using a time resolved tuning scheme for rf power delivery
TWI455172B (en) * 2010-12-30 2014-10-01 Semes Co Ltd Adjustable capacitor, plasma impedance matching device, plasma impedance mathching method, and substrate treating apparatus
TWI599272B (en) * 2012-09-14 2017-09-11 蘭姆研究公司 Adjustment of power and frequency based on three or more states

Also Published As

Publication number Publication date
CN105047513B (en) 2018-03-30
CN105047513A (en) 2015-11-11
KR20150122605A (en) 2015-11-02
TWI677263B (en) 2019-11-11

Similar Documents

Publication Publication Date Title
US10157729B2 (en) Soft pulsing
KR102575053B1 (en) Ion energy control by rf pulse shape
US10325759B2 (en) Multiple control modes
KR20210010946A (en) Active control of radial etch uniformity
US20180240647A1 (en) Systems and methods for tuning to reduce reflected power in multiple states
KR20170017749A (en) Systems and methods for reverse pulsing
TWI726157B (en) Frequency and match tuning in one state and frequency tuning in the other state
US10276350B2 (en) Systems and methods for using computer-generated models to reduce reflected power towards an RF generator during state transitions of the RF generator by controlling RF values of the RF generator
KR102615894B1 (en) Systems and methods for increasing the efficiency of delivered power of a megahertz RF generator in the presence of a kilohertz RF generator
JP2022535282A (en) Systems and methods for adjusting a MHZ RF generator within the operating cycle of a KHZ RF generator
US20220319856A1 (en) Etching isolation features and dense features within a substrate
KR102369627B1 (en) Multi regime plasma wafer processing to increase directionality of ions
TW201607379A (en) Soft pulsing
TW201801573A (en) Systems and methods for reducing reflected power during state transitions by using radio frequency values
TWI784989B (en) Plasma system for using variables based on plasma system state, and method and computer system for controlling the variables
KR102339317B1 (en) Rf impedance model based fault detection
US20230223236A1 (en) Control of pulsing frequencies and duty cycles of parameters of rf signals
JP2024507722A (en) Duty cycle control for uniformity
TW202335030A (en) Extreme edge feature profile tilt control by altering input voltage waveform to edge ring