TW201539718A - Integrated circuit layout and semiconductor device - Google Patents

Integrated circuit layout and semiconductor device Download PDF

Info

Publication number
TW201539718A
TW201539718A TW103146488A TW103146488A TW201539718A TW 201539718 A TW201539718 A TW 201539718A TW 103146488 A TW103146488 A TW 103146488A TW 103146488 A TW103146488 A TW 103146488A TW 201539718 A TW201539718 A TW 201539718A
Authority
TW
Taiwan
Prior art keywords
pitch
fin
sram
patterns
semiconductor device
Prior art date
Application number
TW103146488A
Other languages
Chinese (zh)
Other versions
TWI552314B (en
Inventor
Jhon-Jhy Liaw
Original Assignee
Taiwan Semiconductor Mfg Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Mfg Co Ltd filed Critical Taiwan Semiconductor Mfg Co Ltd
Publication of TW201539718A publication Critical patent/TW201539718A/en
Application granted granted Critical
Publication of TWI552314B publication Critical patent/TWI552314B/en

Links

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • H10B10/12Static random access memory [SRAM] devices comprising a MOSFET load element
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/6681Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET using dummy structures having essentially the same shape as the semiconductor body, e.g. to provide stability
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • H10B10/18Peripheral circuit regions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Engineering & Computer Science (AREA)
  • Geometry (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Semiconductor Memories (AREA)

Abstract

Provided is an embedded FinFET SRAM structure and methods of making the same. The embedded FinFET SRAM structure includes an array of SRAM cells. The SRAM cells have a first pitch in a first direction and a second pitch in a second direction orthogonal to the first direction. The first and second pitches are configured so as to align fin active lines and gate features of the SRAM cells with those of peripheral logic circuits. A layout of the SRAM structure includes three layers, wherein a first layer defines mandrel patterns for forming fins, a second layer defines a first cut pattern for removing dummy fins, and a third layer defines a second cut pattern for shortening fin ends. The three layers collectively define fin active lines of the SRAM structure.

Description

鰭式場效電晶體靜態隨機存取記憶體的結構及方法 Structure and method of fin field effect transistor static random access memory

半導體積體電路工業經歷了快速成長。在積體電路成長的期間,當幾何尺寸(如利用製程創造出最小的元件(或線))減少時,便增加了功能密度(如每一晶片區域的內部連接裝置的數量)。如此的縮小處理一般的好處是,增加生產效率並降低相關的成本。如此的縮小處理也增加了處理與製造積體電路的複雜度,並且為了實現這些發展,需要在積體電路的製造中進行相同的發展。 The semiconductor integrated circuit industry has experienced rapid growth. During the growth of the integrated circuit, the functional density (e.g., the number of internal connections per wafer area) is increased as the geometry (e.g., the smallest component (or line) created by the process) is reduced. The general benefit of such a reduction process is to increase production efficiency and reduce associated costs. Such reduction processing also increases the complexity of processing and manufacturing integrated circuits, and in order to achieve these developments, it is necessary to make the same development in the manufacture of integrated circuits.

舉例而言,邏輯電路與植入的靜態隨機存取記憶體(SRAM)胞經常會被整合在半導體裝置中,用以增加功能密度。在工業中,如此的應用範圍包括科學的次系統、汽車電子、手機、數位相機、微處理器…等等。為了符合較高的SRAM密度要求,簡單地縮小半導體的特徵尺寸是不夠的。舉例而言,在製造較小尺寸的半導體時,具有平坦電晶體的傳統SRAM胞結構的效能會被降低並且具有較大的漏電流。為了解決問題,有一技術係使用三維電晶體,其具有一鰭式或多鰭式結構(如鰭式場效電晶體)。舉例而言,鰭式場效電晶體可用以控制金屬氧化半導體場效電晶體(MOSFETs)的短通道效應。為了達到理想的短通道控制以及降低區域,希望盡可能地薄化鰭式結構。為了薄化鰭式結構,一技術係利用間隙微影。舉例而言, 間隙會被形成在心軸圖案的側壁。在形成鰭式結構時,當心軸圖案被移除後,間隙就會成為一蝕刻遮罩,用以蝕刻一矽基底。心軸圖案與間隙的尺寸控制鰭式結構的寬度與間距。在植入式鰭式場效電晶體SRAM中,嚴格控制心軸圖案與間隙的重要尺寸(CD)的均勻化是一項挑戰。 For example, logic circuits and embedded static random access memory (SRAM) cells are often integrated into semiconductor devices to increase functional density. In the industry, such applications include scientific subsystems, automotive electronics, cell phones, digital cameras, microprocessors, etc. In order to meet the high SRAM density requirements, simply reducing the feature size of the semiconductor is not sufficient. For example, in the fabrication of smaller sized semiconductors, the performance of conventional SRAM cell structures with flat transistors can be reduced and have large leakage currents. To solve the problem, one technique uses a three-dimensional transistor having a fin or multi-fin structure (such as a fin field effect transistor). For example, fin field effect transistors can be used to control the short channel effects of metal oxide semiconductor field effect transistors (MOSFETs). In order to achieve the desired short channel control and reduced area, it is desirable to thin the fin structure as much as possible. In order to thin the fin structure, a technique utilizes gap lithography. For example, A gap will be formed in the sidewall of the mandrel pattern. When the fin structure is formed, when the mandrel pattern is removed, the gap becomes an etch mask for etching a substrate. The mandrel pattern and the size of the gap control the width and spacing of the fin structure. In implantable fin field effect transistor SRAM, it is a challenge to strictly control the homogenization of the important dimension (CD) of the mandrel pattern and the gap.

參考以下附圖可以更好地理解有關本揭露各環節的詳細描述內容。值得注意的是,依據本領域的標準實施辦法,各種特徵並未依比例繪出。事實上,為了能清楚討論,各種特徵的尺寸可被任意放大或是縮小。 A detailed description of each aspect of the disclosure can be better understood with reference to the following drawings. It should be noted that various features are not drawn to scale in accordance with standard practice in the art. In fact, the dimensions of the various features can be arbitrarily enlarged or reduced for clarity of discussion.

第1圖係為根據本揭露的許多觀點的一具有植入式SRAM胞的積體電路的簡單方塊圖。 1 is a simplified block diagram of an integrated circuit with implanted SRAM cells in accordance with many aspects of the present disclosure.

第2圖顯示根據本揭露的許多觀點的具有週邊邏輯電路的植入式SRAM胞。 Figure 2 shows an implanted SRAM cell with peripheral logic circuitry in accordance with many aspects of the present disclosure.

第3圖顯示第2圖的週邊邏輯電路的部份元件的一可能實施例。 Figure 3 shows a possible embodiment of some of the components of the peripheral logic of Figure 2.

第4A與4B圖顯示根據一實施例的六電晶體單埠SRAM胞的示意圖。 4A and 4B are schematic views showing a six transistor 單埠SRAM cell according to an embodiment.

第5-7圖顯示第4A圖所示的六電晶體單埠SRAM胞的部分佈局的不同實施例。 Figures 5-7 show different embodiments of the partial layout of the six transistor 單埠SRAM cells shown in Figure 4A.

第8圖顯示雙埠SRAM胞的一可能示意圖。 Figure 8 shows a possible schematic of a double-twist SRAM cell.

第9圖顯示第8圖所示的雙埠SRAM胞的部分佈局的不同實施例。 Figure 9 shows a different embodiment of the partial layout of the double-turn SRAM cell shown in Figure 8.

第10A與10B圖顯示根據本揭露的許多觀點的植入 SRAM設計的金屬走線示意圖。 Figures 10A and 10B show implants in accordance with many aspects of the present disclosure Schematic diagram of metal traces designed by SRAM.

第11圖係為根據本揭露的許多觀點的具有植入SRAM胞的積體電路的簡單方塊圖。 Figure 11 is a simplified block diagram of an integrated circuit with implanted SRAM cells in accordance with many aspects of the present disclosure.

第12A圖顯示根據本揭露的許多觀點的四SRAM胞的鰭式主動線的佈局示意圖。 Figure 12A shows a layout of the fin active line of a four SRAM cell in accordance with many aspects of the present disclosure.

第12B圖顯示第12A圖所示的鰭式主動線佈局的三層切分一可能示意圖。 Figure 12B shows a possible schematic diagram of a three-layer splitting of the fin active line layout shown in Figure 12A.

第12C圖顯示第12A圖所示的四SRAM胞的鰭式主動線所部分重疊的閘極特徵的一可能示意圖。 Figure 12C shows a possible schematic diagram of the partially overlapping gate features of the fin active lines of the four SRAM cells shown in Figure 12A.

第13圖顯示根據本揭露的許多觀點的形成具有植入SRAM胞的積體電路的方法示意圖。 Figure 13 shows a schematic diagram of a method of forming an integrated circuit with implanted SRAM cells in accordance with many aspects of the present disclosure.

第14-20B圖顯示利用第13圖所示的方法所形成的植入SRAM胞的上視及剖面示意圖。 Figures 14-20B show top and cross-sectional views of an implanted SRAM cell formed using the method illustrated in Figure 13.

第21圖顯示根據本揭露的許多觀點的形成具有植入SRAM胞的積體電路的方法示意圖。 Figure 21 shows a schematic diagram of a method of forming an integrated circuit with implanted SRAM cells in accordance with many aspects of the present disclosure.

第22A-24C圖顯示利用第21圖所示方法所形成的植入SRAM胞的上視及剖面圖。 Figures 22A-24C show top and cross-sectional views of an implanted SRAM cell formed using the method illustrated in Figure 21.

第25A圖顯示根據本揭露的許多觀點的四SRAM胞的鰭式主動線的佈局示意圖。 Figure 25A shows a layout diagram of a fin active line of four SRAM cells in accordance with many aspects of the present disclosure.

第25B圖顯示第25A所示的鰭式主動線局的三層切分示意圖。 Fig. 25B shows a three-layer splitting diagram of the fin active line office shown in Fig. 25A.

第25C圖顯示部分重疊第25A圖所示的四SRSM胞的鰭式主動線的閘極特徵示意圖。 Fig. 25C is a diagram showing the gate characteristics of the fin type active line of the four SRSM cells partially overlapped in Fig. 25A.

本揭露提供各種不同實施例或是範例以實現主題的不同特徵。以下所描述特定實施例是為了簡化本揭露,但本揭露並不限定於此。例如,構成一第一特徵在一第二特徵的上方或之中的描述,可能包括該第一和第二特徵形成為直接接觸之一實施例,亦可能包括有一額外的特徵形成在該第一和第二特徵之間並使該第一和第二特徵可能不會直接接觸之一實施例。此外,本揭露可能在各實施例中重複使用參考數字和/或名詞。上述重複之觀點在於簡單和清楚起見而非指示所討論之各種實施例和/或配置之間之一關係。 The disclosure provides various embodiments or examples to implement different features of the subject matter. The specific embodiments described below are for the purpose of simplifying the disclosure, but the disclosure is not limited thereto. For example, a description of a first feature above or in a second feature may include forming the first and second features in direct contact with one embodiment, and may also include an additional feature formed in the first Between the second feature and the second feature, and the first and second features may not be in direct contact with one embodiment. Furthermore, the disclosure may reuse reference numerals and/or nouns in the various embodiments. The above repetitive views are for simplicity and clarity and do not indicate one of the various embodiments and/or configurations discussed.

此外,複數相對性術語,例如「在...之上」、「以下」、「低於」、「在...之上」、「上方」等等,被使用於此係為了方便說明以描述說明圖示中一元件或特徵與另一元件或特徵之間關係。該等相對性術語旨在涵蓋裝置在使用中的不同方向或是圖示中操作在與描述額外的方向。該裝置可能有其他的定位(例如旋轉90度或其他方向)且本揭露所使用相對性術語同樣可對應解釋。 In addition, plural relative terms such as "above", "below", "below", "above", "above", etc. are used for convenience of explanation. The description illustrates the relationship between one element or feature and another element or feature. The relative terms are intended to cover the particular orientation of the device in the various orientations of the application or the operation. The device may have other orientations (eg, rotated 90 degrees or other directions) and the relative terms used in this disclosure may also be interpreted accordingly.

第1圖顯示一具有SRAM巨集102的半導體裝置100。半導體裝置可為,如一微處理器、一特定應用積體電路、一可規劃邏輯閘陣列、或是一數位信號處理器。半導體裝置100的切確功能並非限制如上。 FIG. 1 shows a semiconductor device 100 having an SRAM macro 102. The semiconductor device can be, for example, a microprocessor, a specific application integrated circuit, a programmable logic gate array, or a digital signal processor. The correct function of the semiconductor device 100 is not limited to the above.

第2圖係為根據本揭露的SRAM巨集102的部分詳細示意圖。請參考第2圖,SRAM巨集102包括複數SRAM胞202以及複數週邊邏輯電路210。在週邊邏輯電路210執行許多邏輯功能時,如寫入及/或讀取位址解碼、字元/位元選擇、資料驅 動、記憶體自我測試…等,每一SRAM胞202儲存一記憶體位元。邏輯電路210具有複數鰭式場效電晶體。每一鰭式場效電晶體具有閘極特徵218以及鰭式主動線212。雖然沒有顯示,但每一SRAM胞202也具有複數鰭式場效電晶體,每一鰭式場效電晶體。具有閘極特徵以及鰭式主動線。另外,雖然第2圖僅顯示16個SRAM胞202,但在半導體裝置100中的SRAM巨集102可能包括更多數量的SRAM胞202。舉例而言,SRAM巨集102可能具有數千或數百萬個SRAM胞202。 2 is a partial detailed schematic diagram of an SRAM macro 102 in accordance with the present disclosure. Referring to FIG. 2, the SRAM macro 102 includes a plurality of SRAM cells 202 and a plurality of peripheral logic circuits 210. When peripheral logic circuit 210 performs many logic functions, such as write and/or read address decoding, character/bit selection, data drive Motion, memory self-test, etc., each SRAM cell 202 stores a memory bit. Logic circuit 210 has a plurality of fin field effect transistors. Each fin field effect transistor has a gate feature 218 and a finned active line 212. Although not shown, each SRAM cell 202 also has a plurality of fin field effect transistors, each fin field effect transistor. It has a gate feature and a fin active line. Additionally, while FIG. 2 shows only 16 SRAM cells 202, the SRAM macro 102 in the semiconductor device 100 may include a greater number of SRAM cells 202. For example, SRAM macro 102 may have thousands or millions of SRAM cells 202.

如第2圖所示,SRAM胞202重疊複數P型井區或P型摻雜區(如n型鰭式場效電晶體或N鰭式場效電晶體)以及複數N型井區或N型摻雜區(如p型鰭式場效電晶體或P鰭式場效電晶體),其中P型井區域N型井區係為長方形半導體區,交替地往X方向設置。將會如同後面所示,每一SRAM胞202具有複數N鰭式場效電晶體以及複數P鰭式場效電晶體。另外,SRAM胞202與緊鄰的SRAM胞之間係以陣列方式排列。每一SRAM胞202佔用SRAM巨集102的一長方形區域,其中長方形區域在X方向具有第一尺寸204以及在Y方向具有一第二尺寸206,Y方向垂直X方向。在下面的敍述中,第一尺寸204稱為SRAM胞202的X間距,並且第二尺寸206稱為SRAM胞202的Y間距。 As shown in FIG. 2, the SRAM cell 202 overlaps a plurality of P-type well regions or P-type doped regions (such as an n-type fin field effect transistor or an N-fin field effect transistor) and a complex N-type well region or N-type doping. A region (such as a p-type fin field effect transistor or a P-fin field effect transistor), wherein the P-type well region N-type well region is a rectangular semiconductor region, which is alternately disposed in the X direction. As will be shown later, each SRAM cell 202 has a plurality of N-fin field effect transistors and a plurality of P-Fin field effect transistors. In addition, the SRAM cells 202 are arranged in an array with the immediately adjacent SRAM cells. Each SRAM cell 202 occupies a rectangular region of the SRAM macro 102, wherein the rectangular region has a first dimension 204 in the X direction and a second dimension 206 in the Y direction, the X direction being perpendicular to the X direction. In the following description, the first size 204 is referred to as the X pitch of the SRAM cell 202, and the second size 206 is referred to as the Y pitch of the SRAM cell 202.

另外,每一SRAM胞202被配置在四個方向之其中一方向。如第2圖所示,群組203包括2X2矩陣裡的四個SRAM胞202,分別以符號Cell-R0、Cell-Mx、Cell-My以及Cell-R180表示,以方便討論。在一實施例中,符號Cell-R0的閘極特徵和鰭式主動線係為符號Cell-Mx在通過群組203中心點並在X方向 的虛線A-A的映射影像。同樣地,符號Cell-R0的閘極特徵和鰭式主動線係為符號Cell-My在通過群組203中心點並在Y方向的虛線B-B的映射影像。同樣地,符號Cell-R180的閘極特徵和鰭式主動線係為符號Cell-Mx在虛線B-B的映射影像以及相對應的符號Cell-My在虛線A-A的映射影像。 In addition, each SRAM cell 202 is arranged in one of four directions. As shown in FIG. 2, group 203 includes four SRAM cells 202 in a 2X2 matrix, represented by symbols Cell-R0, Cell-Mx, Cell-My, and Cell-R180, respectively, for ease of discussion. In an embodiment, the gate feature of the symbol Cell-R0 and the fin active line are symbols Cent-Mx at the center point through the group 203 and in the X direction The mapped image of the dotted line A-A. Similarly, the gate feature of the symbol Cell-R0 and the fin active line are mapped images of the symbol Cell-My at the center point of the group 203 and the dotted line B-B in the Y direction. Similarly, the gate feature and the fin active line of the symbol Cell-R180 are the mapped image of the symbol Cell-Mx at the broken line B-B and the mapped image of the corresponding symbol Cell-My at the dotted line A-A.

當半導體技術進展到小特徵尺寸,如32nm、20nm、或更小時,通常是沿用受限的設計規則,用以改變設計產品。第2圖所示的SRAM巨集102的結構允許週邊的邏輯電路210的特徵(如閘極特徵218與鰭式主動線212)與SRAM胞202的相對應特徵排列成一直線。藉由小心考慮X間距204與鰭式間距214之間的比例以及Y間距206與閘極間距216之間的比例,便可完成。如此的排列可提高鰭式主動線的密度,因而提供許多好處,如高密度的SRAM胞、在光學相鄰效應中具有較高的製造可靠度…等。另外,在Y間距206與閘極間距216之間的固定比例使得某些週邊邏輯電路(如字元線驅動器、解碼器…等)自動地被產生,作為一電路組,其係各別地延著SRAM胞而設置。同樣地,在X間距204與鰭式間距214之間的固定比例使得某些週邊邏輯電路(如行選擇器、位元線預充電電路、解碼器…等)自動地被產生並被設置。 As semiconductor technology progresses to small feature sizes, such as 32 nm, 20 nm, or less, limited design rules are often followed to change the design product. The structure of SRAM macro 102 shown in FIG. 2 allows features of peripheral logic circuit 210 (such as gate feature 218 and fin active line 212) to be aligned with corresponding features of SRAM cell 202. This can be done by carefully considering the ratio between the X pitch 204 and the fin pitch 214 and the ratio between the Y pitch 206 and the gate pitch 216. Such an arrangement can increase the density of the fin active line, thus providing a number of benefits, such as high density SRAM cells, high manufacturing reliability in optical proximity effects, and the like. In addition, a fixed ratio between the Y pitch 206 and the gate pitch 216 causes certain peripheral logic circuits (such as word line drivers, decoders, etc.) to be automatically generated as a circuit group, which are individually extended. Set up with SRAM cells. Likewise, a fixed ratio between the X pitch 204 and the fin pitch 214 causes certain peripheral logic circuits (such as row selectors, bit line precharge circuits, decoders, etc.) to be automatically generated and set.

第3圖為週邊邏輯電路210的部分上視圖。每一鰭式主動線212具有一長方形狀,其長邊係延著Y方向延伸,其寬邊係延著X方向延伸。在本實施例中,兩相鄰鰭式主動線212的邊到邊之間的空隙作為鰭式間距214,或是兩相鄰鰭式主動線212的中心線到中心線之間的空隙作為鰭式間距214。閘極特 徵218垂直鰭式主動線212。每一閘極特徵218具有一長方形狀,其長邊係延著X方向延伸,其寬邊係延著Y方向延伸。在本實施中,閘極間距216係為兩相鄰閘極特徵218的邊對邊之間的空隙,或是兩相鄰閘極特徵218的中心線到中心線之間的空隙。週邊邏輯電路210更包括複數主動接觸220,其耦接複數鰭式主動線212,用以形成相對應的鰭式場效電晶體的共通汲/源極。 FIG. 3 is a partial top view of peripheral logic circuit 210. Each fin type active line 212 has a rectangular shape with a long side extending in the Y direction and a wide side extending in the X direction. In this embodiment, the gap between the edges of the two adjacent fin active lines 212 is used as the fin spacing 214, or the gap between the center line and the center line of the two adjacent fin active lines 212 is used as a fin. Interval 214. Gate Sign 218 vertical fin active line 212. Each of the gate features 218 has a rectangular shape with a long side extending in the X direction and a wide side extending in the Y direction. In the present embodiment, the gate pitch 216 is the gap between the opposite sides of two adjacent gate features 218 or the gap between the centerline and centerline of two adjacent gate features 218. The peripheral logic circuit 210 further includes a plurality of active contacts 220 coupled to the plurality of fin active lines 212 for forming a common germanium/source of the corresponding fin field effect transistors.

第4A圖係為具有六電晶體(6T)單埠(SP)的SRAM胞的示意圖,其可作為第2圖的SRAM胞202。請參考第4A圖,六電晶體單埠SRAM胞202具有兩P鰭式場效電晶體PU-1及PU-2、兩N鰭式場效電晶體PD-1及PD-2、以及兩N鰭式場效電晶體PG-1及PG-2。P鰭式場效電晶體PU-1、PU-2作為上拉電晶體。N鰭式場效電晶體PD-1及PD-2作為下拉電晶體。N鰭式場效電晶體PG-1及PG-2作為通閘電晶體。PU-1與PD1用以形成一反相器(第4B圖所示的Inverter-1)。PU-2與PD2用以形成另一反相器(第4B圖所示的Inverter-2)。反相器Inverter-1與Inverter-2跨越耦接在一起,用以形成SRAM胞202的一儲存單元。第4A圖更顯示字元線(WL)、位元線(BL)以及反相位元線(),用以存取SRAM胞202的儲存單元。 Figure 4A is a schematic diagram of a SRAM cell having six transistors (6T) 單埠 (SP), which can be used as the SRAM cell 202 of Figure 2. Referring to FIG. 4A, the six-electrode 單埠SRAM cell 202 has two P-fin field effect transistors PU-1 and PU-2, two N-fin field effect transistors PD-1 and PD-2, and two N-fin fields. Effect transistors PG-1 and PG-2. P-Fin field effect transistors PU-1 and PU-2 are used as pull-up transistors. N-fin field effect transistors PD-1 and PD-2 are used as pull-down transistors. The N-fin field effect transistors PG-1 and PG-2 function as pass gate transistors. PU-1 and PD1 are used to form an inverter (Inverter-1 shown in Fig. 4B). PU-2 and PD2 are used to form another inverter (Inverter-2 shown in Fig. 4B). Inverter Inverter-1 and Inverter-2 are coupled across each other to form a memory cell of SRAM cell 202. Figure 4A shows the word line (WL), the bit line (BL), and the inverted phase element ( ), a storage unit for accessing the SRAM cell 202.

實際上,可利用許多方法物理實現第4A圖的SRAM胞202(如佈局)。以下將根據本揭露的不同觀點,說明SRAM胞202的三種佈局方式,稱為SRAM胞202A、SRAM胞202B以及SRAM胞202C。本技術領域中具有通常知識者應瞭解這三種實施方式只是用以解釋,並非用以限制本發明的範圍。 In fact, the SRAM cell 202 (e.g., layout) of Figure 4A can be physically implemented using a number of methods. Three layouts of the SRAM cell 202, referred to as SRAM cell 202A, SRAM cell 202B, and SRAM cell 202C, will be described below in accordance with various aspects of the present disclosure. Those skilled in the art should understand that the three embodiments are only for explanation, and are not intended to limit the scope of the present invention.

第5圖顯示具有SRAM胞202A的SRAM巨集102的部分佈局的上視圖。請參考第5圖,SRAM胞202A具有一長方形邊界(如虛線所示),其具有一第一尺寸(X間距)204A以及一第二尺寸(Y間距)206A。此佈局包括一N井主動區以及兩P井主動區,兩P井主動區分別設置在N井主動區的X方向側邊。此佈局更包括兩鰭式主動線222A與224A。兩鰭式主動線222A與224A分別設於兩P井主動區之中,並延Y方向縱長地延伸,並重疊SRAM胞202A。此佈局更包括兩鰭式主動線226A與228A,其均設置於N井主動區中,並延Y方向縱長地延伸,並重疊部分的SRAM胞202A。鰭式主動線222A、226A、228A與224A之間的邊到邊空隙係為2倍的鰭式間距214。在一些實施例中,這些鰭式主動線之間的空隙被設定在鰭式間距214的2~2.5倍之間,用以在形成SRAM胞鰭式線時,提供足夠的設計空間及處理空間。在此例中,X間距204A可以仍被維持在鰭式間距214的整數倍。另外,此佈局包括兩閘極特徵232A與234A與兩閘極特徵236A與238A。兩閘極特徵232A與234A往X方向縱長地延伸,並重疊部分的SRAM胞202,並被SRAM胞202A與相鄰的SRAM胞(未顯示)所共用。兩閘極特徵236A與238A在SRAM胞222A中,往X方向縱長地延伸。上述的閘極特徵與鰭式主動線一起定義出第4圖的六個電晶體PU-1/2、PD-1/2以及PG-1/2。Y間距206A大約等於通閘電晶體(PG-1或PG-2)與下拉電晶體(PD-1或PD-2)的間距總合,其中一電晶體的間距係為其源極與汲極之間的距離。 Figure 5 shows a top view of a partial layout of an SRAM macro 102 with SRAM cells 202A. Referring to FIG. 5, the SRAM cell 202A has a rectangular boundary (shown by a dashed line) having a first size (X pitch) 204A and a second size (Y pitch) 206A. The layout includes an N-well active zone and two P-well active zones, and the two P-well active zones are respectively disposed on the X-direction side of the active zone of the N-well. This layout further includes two fin active lines 222A and 224A. The two-fin active lines 222A and 224A are respectively disposed in the active areas of the two P-wells and extend longitudinally in the Y direction and overlap the SRAM cells 202A. The layout further includes two fin active lines 226A and 228A, each of which is disposed in the active region of the N well and extends longitudinally in the Y direction and overlaps a portion of the SRAM cell 202A. The edge-to-edge gap between the finned active lines 222A, 226A, 228A, and 224A is twice the fin spacing 214. In some embodiments, the gap between the finned active lines is set between 2 and 2.5 times the fin pitch 214 to provide sufficient design space and processing space when forming the SRAM finned line. In this example, the X pitch 204A may still be maintained at an integer multiple of the fin pitch 214. Additionally, this layout includes two gate features 232A and 234A and two gate features 236A and 238A. The two gate features 232A and 234A extend lengthwise in the X direction and overlap a portion of the SRAM cell 202 and are shared by the SRAM cell 202A with an adjacent SRAM cell (not shown). The two gate features 236A and 238A extend lengthwise in the X direction in the SRAM cell 222A. The above-described gate features together with the fin active line define the six transistors PU-1/2, PD-1/2, and PG-1/2 of FIG. The Y pitch 206A is approximately equal to the sum of the pitches of the pass gate transistor (PG-1 or PG-2) and the pull-down transistor (PD-1 or PD-2), wherein the spacing of one transistor is its source and drain the distance between.

在一實施例中,在X間距204A被設定成約為鰭式間 距214(第3圖)的8、8.5或9倍時,Y間距206A被設定成約為閘極間距216(第3圖)的兩倍。如此的設定係考慮SRAM胞202A與週邊邏輯電路210之間的特徵排列,而SRAM胞202A與週邊邏輯電路210之間的特徵排列係為了改善具有SRAM巨集102的半導體裝置100(第1及2圖)的整體製造。舉例而言,在SRAM胞202A與週邊電路210中的單一鰭式間距規則協助改善在微影製程時,統一鰭式主動線的重要尺寸。為了它的佈局簡單,故可提高SRAM產品裡的SRAM胞202A密度。在一實施例中,若需要高密度的記憶胞時,SRAM巨集102(第2圖)僅具有此型態的SRAM胞,並且X間距204A會被設定成約為鰭式間距214(第3圖)的8倍。在另一實施例中,X間距204A被設定成約為鰭式間距214的9倍。在一些實施例中,X間距204A被設定成鰭式間距214的非整數倍,如8.5倍。在SRAM巨集102(第2圖)中,SRAM胞202A的架構可能是四個相鄰的SRAM胞202A,其具有X尺寸,其為鰭式間距214的整數倍(如34倍)。在設置SRAM胞202A後,仍能使SRAM胞202A與週邊邏輯電路210之間的鰭式主動線維持適當的排列,如此的彈性係為本揭露的許多好處中的一項。 In an embodiment, the X pitch 204A is set to be approximately between fins At a distance of 8, 8.5 or 9 from 214 (Fig. 3), the Y pitch 206A is set to be approximately twice the gate pitch 216 (Fig. 3). Such a setting considers the characteristic arrangement between the SRAM cell 202A and the peripheral logic circuit 210, and the feature arrangement between the SRAM cell 202A and the peripheral logic circuit 210 is to improve the semiconductor device 100 having the SRAM macro 102 (1st and 2nd) Figure) The overall manufacture. For example, a single fin pitch rule in SRAM cell 202A and peripheral circuitry 210 assists in improving the important dimensions of the unified fin active line during lithography. For its simple layout, the density of the SRAM cell 202A in the SRAM product can be increased. In one embodiment, if a high density of memory cells is desired, the SRAM macro 102 (Fig. 2) has only SRAM cells of this type, and the X pitch 204A is set to be approximately the fin spacing 214 (Fig. 3). 8 times. In another embodiment, the X pitch 204A is set to be approximately 9 times the fin pitch 214. In some embodiments, the X pitch 204A is set to a non-integer multiple of the fin pitch 214, such as 8.5 times. In SRAM macro 102 (Fig. 2), the architecture of SRAM cell 202A may be four adjacent SRAM cells 202A having an X dimension that is an integer multiple (e.g., 34 times) of fin pitch 214. After the SRAM cell 202A is placed, the fin active line between the SRAM cell 202A and the peripheral logic circuit 210 can still be maintained in an appropriate arrangement. Such flexibility is one of many benefits of the present disclosure.

第6圖顯示SRAM胞202B的部分佈局,第7圖顯示SRAM胞202C的部分佈局。SRAM胞202B與202C在許多方面係相似於SRAM胞202A,為簡潔起見,省略其說明。 Figure 6 shows a partial layout of the SRAM cell 202B, and Figure 7 shows a partial layout of the SRAM cell 202C. SRAM cells 202B and 202C are similar in many respects to SRAM cell 202A, and the description thereof is omitted for the sake of brevity.

請參考第6圖,SRAM胞202B係以長方形邊界表示(如虛線所示),其具有一第一尺寸(X間距)204B和一第二尺寸(Y間距)206B。SRAM胞202B與202A的一不同處在於,在SRAM胞202B中,每一P井主動區具有兩鰭式主動線222B-1/2及 224B-1/2。事實上,SRAM胞202B的電晶體PG-1/2與PD-1/2具有雙鰭式主動線,用以增加電流來源量。此兩鰭式222B-1與222B-2的邊到邊係由一鰭式間距214所隔開,兩鰭式224B-1與224B-2也是如此。在本實施例中,X間距204B約為鰭式間距214(第3圖)的2倍,故大於X間距204A(第5圖)。與第5圖相似的情形包括,Y間距206B大約為極間距216的2倍。在一實施例中,X間距204B與Y間距206B之間比例約為2.7~2.9。 Referring to FIG. 6, the SRAM cell 202B is represented by a rectangular boundary (as indicated by a broken line) having a first size (X pitch) 204B and a second size (Y pitch) 206B. One difference between the SRAM cells 202B and 202A is that in the SRAM cell 202B, each P-well active region has a two-fin active line 222B-1/2 and 224B-1/2. In fact, the transistors PG-1/2 and PD-1/2 of the SRAM cell 202B have a double-fin active line for increasing the amount of current source. The edge-to-edge of the two fins 222B-1 and 222B-2 is separated by a fin spacing 214, as are the two fins 224B-1 and 224B-2. In the present embodiment, the X pitch 204B is approximately twice the fin pitch 214 (Fig. 3), and is therefore larger than the X pitch 204A (Fig. 5). A similar situation to FIG. 5 includes that the Y pitch 206B is approximately twice the pole pitch 216. In one embodiment, the ratio between the X pitch 204B and the Y pitch 206B is about 2.7 to 2.9.

關於第7圖的SRAM胞202C的相似部分為,SRAM胞202C的電晶體PG-1/2與PD-1/2具有三鰭式主動線222C-1/2/3與224C-1/2/3,分別用以增加電流來源量;X間距204C為鰭式間距214(第3圖)的4倍,大於X間距204A(第5圖);以及Y間距206C大約為閘極間距216(第3圖)的2倍。三鰭式222C-1、222C-2與222C-3的邊到邊係由一鰭式間距214所隔開,三鰭式224C-1、224C-2與224C-3也是如此。 A similar portion of the SRAM cell 202C of Fig. 7 is that the transistors PG-1/2 and PD-1/2 of the SRAM cell 202C have three-fin active lines 222C-1/2/3 and 224C-1/2/. 3, respectively, to increase the current source amount; X spacing 204C is 4 times the fin spacing 214 (Fig. 3), greater than the X spacing 204A (Fig. 5); and the Y spacing 206C is approximately the gate spacing 216 (3rd) Figure) 2 times. The edge-to-edge of the three-fin 222C-1, 222C-2, and 222C-3 is separated by a fin spacing 214, as are the three-fin 224C-1, 224C-2, and 224C-3.

第8圖顯示兩埠SRAM胞202D的上視圖,SRAM胞202D可作為第2圖的SRAM胞202。如第8圖所示,SRAM胞202D具有一寫入埠部分802以及一讀取埠部分804。寫入埠部分802實際上係為第4圖所顯示的六電晶體單埠SRAM胞。讀取埠部分804具有一讀取下拉電晶體R_PD以及讀取通閘電晶體R_PG。 Figure 8 shows a top view of two SRAM cells 202D, which can be used as SRAM cells 202 of Figure 2. As shown in FIG. 8, the SRAM cell 202D has a write port portion 802 and a read port portion 804. The write buffer portion 802 is actually a six transistor 單埠SRAM cell as shown in FIG. The read buffer portion 804 has a read pull-down transistor R_PD and a read pass gate transistor R_PG.

實際上,可利用許多方式物理性地(如佈局)製造出第8圖所顯示的SRAM胞202D。第9圖為SRAM胞202D’的部分佈局的上視圖。請參考第9圖,在讀取埠部分804的佈局具有電晶體R_PD與R_PG時,讀入埠部分802的佈局大致上與SRAM胞202B(第6圖)相同,電晶體R_PD與R_PG為雙鰭鰭式場效電晶 體。兩鰭式主動線902-1與902-2的邊到邊之間係被一鰭式間距214所隔開。SRAM胞202D的許多特性都和先前所討論的第5-7圖相似,故不再詳細敍述。在一實施例中,為了改善具有SRAM胞202D的SRAM巨集102的製程與電路密度,在X間距204D為鰭式間距214的整數倍(如15倍)時,Y間距206D會被設定成約為閘極間距216的兩倍。 In fact, the SRAM cell 202D shown in Figure 8 can be fabricated physically (e.g., in a layout) in a number of ways. Figure 9 is a top view of a partial layout of the SRAM cell 202D'. Referring to FIG. 9, when the layout of the read 埠 portion 804 has the transistors R_PD and R_PG, the layout of the read 埠 portion 802 is substantially the same as that of the SRAM cell 202B (Fig. 6), and the transistors R_PD and R_PG are double fins. Fin field effect transistor body. The edge-to-edge of the two-fin active lines 902-1 and 902-2 is separated by a fin spacing 214. Many of the features of SRAM cell 202D are similar to those previously discussed in Figures 5-7 and will not be described in detail. In one embodiment, to improve the process and circuit density of the SRAM macro 102 having the SRAM cell 202D, the Y-spacing 206D is set to be approximately when the X-pitch 204D is an integer multiple (eg, 15 times) the fin pitch 214. Double the gate pitch 216.

第10A與10B圖顯示根據一些實施例的SRAM胞的金屬走線。第10A圖顯示電源供給線(Cvdd)、位元線(BL)以及反相位元線(),其均設置在第一金屬層,字元線(WL)以及接地線(Vss)均設置於第二金屬層。第10B圖顯示字元線(WL)設置於第一金屬層,而電源供給線(Cvdd)、位元線(BL)、反相位元線()以及接地線(Vss)設置於第二金屬層。在一實施例中,第一金屬層位於第二金屬層與各別的SRAM胞的主動區之間。在一實施例中,第一及第二金屬層透過內部層導通孔連接在一起。 Figures 10A and 10B show metal traces of SRAM cells in accordance with some embodiments. Figure 10A shows the power supply line (Cvdd), the bit line (BL), and the inverted phase element ( ), each of which is disposed on the first metal layer, and the word line (WL) and the ground line (Vss) are both disposed on the second metal layer. FIG. 10B shows that the word line (WL) is disposed on the first metal layer, and the power supply line (Cvdd), the bit line (BL), and the inverted phase element line ( And the ground line (Vss) is disposed on the second metal layer. In an embodiment, the first metal layer is between the second metal layer and the active regions of the respective SRAM cells. In one embodiment, the first and second metal layers are connected together through the inner layer vias.

在一些應用中,一半導體裝置可能具有複數SRAM巨集。必須小心的思考,以確保每一SRAM巨集在裝置層級的製程以及電路密度。目前的揭露係用以解決這樣的問題。第11圖顯示半導體裝置100。半導體裝置100除了具有SRAM巨集102還具有其它的SRAM巨集104。雖然第11圖中的兩SRAM巨集係相鄰設置,但事實上,兩SRAM巨集可能設置在半導體裝置100的任何地方。另外,兩SRAM巨集102與104可能具有相同或不同型態的SRAM胞。舉例而言,SRAM巨集102具有SRAM胞202A所構成的陣列,而SRAM巨集104具有由SRAM胞202A、202B、 202C或202D所構成的陣列。以下是半導體裝置100的一些實施例,其中SRAM巨集與週邊邏輯電路可被設置成許多尺寸,用以改善全晶片佈局自動化、均勻化鰭式主動線主要尺寸以及整體裝置的可製造性。 In some applications, a semiconductor device may have a complex SRAM macro. Care must be taken to ensure that each SRAM macro is at the device level and the circuit density. The current disclosure is to solve such problems. FIG. 11 shows the semiconductor device 100. The semiconductor device 100 has other SRAM macros 104 in addition to the SRAM macro 102. Although the two SRAM macros in FIG. 11 are disposed adjacent to each other, in fact, two SRAM macros may be disposed anywhere in the semiconductor device 100. Additionally, the two SRAM macros 102 and 104 may have the same or different types of SRAM cells. For example, SRAM macro 102 has an array of SRAM cells 202A, while SRAM macros 104 have SRAM cells 202A, 202B, An array of 202C or 202D. The following are some embodiments of semiconductor device 100 in which the SRAM macro and peripheral logic can be placed in a number of sizes to improve full wafer layout automation, homogenize fin active line main dimensions, and manufacturability of the overall device.

在一實施例中,SRAM巨集102包括SRAM胞202A(第5圖)所構成的一陣列,並且SRAM巨集104包括SRAM胞202B(第6圖)所構成的一陣列。X間距204B被設定成約為X間距204A加上兩倍的鰭式間距214(第3圖)。在一實施例中,X間距204A被設定成約為八倍的鰭式間距214,並且X間距204B被設定成約為十倍的鰭式間距214。在另一實施例中,X間距204A被設定成約為8.5倍的鰭式間距214,並且X間距204B被設定成約為10.5倍的鰭式間距214。在其它實施例中,X間距204A被設定成約為9倍的鰭式間距214,並且X間距204B被設定成約為11倍的鰭式間距214。Y間距206A和206B均被設定成約為2倍的閘極間距216。另外,X間距204B與Y間距206B的比例約在2.7~2.9之間,如2.8,並且X間距204A與Y間距206A的比例約在2.25~2.28之間,如2.2667。 In one embodiment, SRAM macro 102 includes an array of SRAM cells 202A (Fig. 5), and SRAM macro 104 includes an array of SRAM cells 202B (Fig. 6). The X pitch 204B is set to be approximately X pitch 204A plus twice the fin pitch 214 (Fig. 3). In one embodiment, the X pitch 204A is set to approximately eight times the fin pitch 214, and the X pitch 204B is set to approximately ten times the fin pitch 214. In another embodiment, the X pitch 204A is set to approximately 8.5 times the fin pitch 214, and the X pitch 204B is set to approximately 10.5 times the fin pitch 214. In other embodiments, the X pitch 204A is set to approximately 9 times the fin pitch 214, and the X pitch 204B is set to approximately 11 times the fin pitch 214. The Y pitches 206A and 206B are each set to approximately 2 times the gate pitch 216. In addition, the ratio of the X pitch 204B to the Y pitch 206B is between about 2.7 and 2.9, such as 2.8, and the ratio of the X pitch 204A to the Y pitch 206A is between about 2.25 and 2.28, such as 2.2667.

在一實施例中,SRAM巨集102包括SRAM胞202B(第6圖),而SRAM巨集104包括SRAM胞202D(第8圖)所構成的一陣列。X間距204B被設定成約為10倍的鰭式間距214(第3圖),並且X間距204D被設定成約為15倍的鰭式間距214。Y間距206B和206D均被設定成約為2倍的閘極間距216。 In one embodiment, SRAM macro 102 includes SRAM cell 202B (Fig. 6), while SRAM macro 104 includes an array of SRAM cells 202D (Fig. 8). The X pitch 204B is set to approximately 10 times the fin pitch 214 (Fig. 3), and the X pitch 204D is set to approximately 15 times the fin pitch 214. The Y pitches 206B and 206D are each set to approximately 2 times the gate pitch 216.

在一實施例中,SRAM巨集102包括SRAM胞202B(第6圖),而SRAM巨集104包括SRAM胞202C(第7圖)所構 成的一陣列。X間距204C被設定成約為X間距204B加上2倍的鰭式間距214(第3圖)。舉例而言,X間距204B被設定成約為10倍的鰭式間距214,並且X間距204C被設定成約為12倍的鰭式間距214。舉例而言,X間距204B被設定成約為10.5倍的鰭式間距214,並且X間距204C被設定成約為12.5倍的鰭式間距214。 In one embodiment, SRAM macro 102 includes SRAM cell 202B (Fig. 6), while SRAM macro 104 includes SRAM cell 202C (Fig. 7). An array of them. The X pitch 204C is set to be about the X pitch 204B plus twice the fin pitch 214 (Fig. 3). For example, the X pitch 204B is set to approximately 10 times the fin pitch 214, and the X pitch 204C is set to approximately 12 times the fin pitch 214. For example, the X pitch 204B is set to approximately 10.5 times the fin pitch 214, and the X pitch 204C is set to approximately 12.5 times the fin pitch 214.

第12A圖顯示群組203(第2圖)的鰭式主動線,其包括四個相鄰的SRAM胞202A(第5圖)、Cell-R0、Cell-My、Cell-Mx以及Cell-R180。這四個相鄰的SRAM胞排列成2行及2列。虛線A-A表示這四個相鄰的SRAM胞的X方向的邊界,而虛線B-B表示這四個相鄰的SRAM胞的Y方向的邊界。關於鰭式主動線的結構(在胞裡的形狀、尺寸以及位置),根據在虛線A-A,Cell-R0與Cell-My係為Cell-Mx與Cell-R180的映射影像,並且根據虛線B-B,Cell-R0與Cell-Mx係為Cell-My與Cell-R180的映射影像。在本揭露中,這些鰭式主動線的形成係利用三遮罩(或網線)的間隔蝕刻,如第12B圖所示的1202、1204和1206。 Figure 12A shows a fin active line of group 203 (Fig. 2) comprising four adjacent SRAM cells 202A (Fig. 5), Cell-R0, Cell-My, Cell-Mx, and Cell-R180. The four adjacent SRAM cells are arranged in 2 rows and 2 columns. The dotted line A-A indicates the boundary of the four adjacent SRAM cells in the X direction, and the broken line B-B indicates the boundary of the four adjacent SRAM cells in the Y direction. Regarding the structure of the fin active line (shape, size, and position in the cell), according to the dotted line AA, Cell-R0 and Cell-My are the mapped images of Cell-Mx and Cell-R180, and according to the broken line BB, Cell -R0 and Cell-Mx are mapped images of Cell-My and Cell-R180. In the present disclosure, the formation of these finned active lines is etched using three masks (or mesh lines), such as 1202, 1204, and 1206 shown in FIG. 12B.

請參考第12B圖,此三遮罩1202、1204和1206係為SRAM巨集102(半導體裝置100裡的SRAM巨集102)的設計佈局的三層。遮罩1202定義心軸圖案,用以形成空隙,遮罩1204定義虛擬鰭式切除圖案,用以移除虛擬空隙(或虛擬鰭式線),遮罩1206定義鰭式尾端切除圖案,如使上拉電晶體(如第5圖的PU-1和PU-2)具有短的鰭式線。每一心軸圖案具有一長方形狀(上視圖),往Y方向縱長地延伸。在一實施例中,雖然沒有顯示出來,但每一心軸圖案延伸重疊SRAM胞202A的至少一者(請參考第2圖)。在一實施例中,有四個心軸圖案延伸重疊每一 SRAM胞202A。關於心軸圖案的結構(每一胞的心軸圖案的形狀、尺寸與位置),Cell-R0和Cell-My係為Cell-Mx與Cell-R180在虛線A-A上的映射位置,而Cell-R0和Cell-Mx係為Cell-My與Cell-R180的複製,如在X方向位置將Cell-My與Cell-R180位移X間隔204A。每一虛擬鰭式切除圖案1204也是長方形狀(上視圖),並縱長地往Y方向延伸。鰭式尾端切除圖案1206位於SRAM胞在Y方向的邊界,用以切除鰭式線,如減少電晶體PU-1和PU-2的主動區。將第12A的佈局分隔成如第12B圖所示的三個遮罩,用以利用遮罩1202、1204與1206允許密度及/或規則圖案,用以在光微影過程中大幅改善圖案重要尺寸均勻化。 Referring to FIG. 12B, the three masks 1202, 1204, and 1206 are three layers of the design layout of the SRAM macro 102 (the SRAM macro 102 in the semiconductor device 100). The mask 1202 defines a mandrel pattern for forming a void, the mask 1204 defines a virtual fin cut pattern for removing virtual voids (or virtual fin lines), and the mask 1206 defines a fin tail cut pattern, such as The pull-up transistors (such as PU-1 and PU-2 in Figure 5) have short fin lines. Each mandrel pattern has a rectangular shape (upper view) extending longitudinally in the Y direction. In one embodiment, although not shown, each mandrel pattern extends over at least one of the SRAM cells 202A (see Figure 2). In an embodiment, there are four mandrel patterns extending overlapping each SRAM cell 202A. Regarding the structure of the mandrel pattern (the shape, size and position of the mandrel pattern of each cell), Cell-R0 and Cell-My are the mapping positions of Cell-Mx and Cell-R180 on the dotted line AA, and Cell-R0 And Cell-Mx is a copy of Cell-My and Cell-R180, such as Cell-My and Cell-R180 displacement X are spaced 204A in the X-direction position. Each of the virtual fin cut patterns 1204 is also rectangular (upper view) and extends longitudinally in the Y direction. The fin tail resection pattern 1206 is located at the boundary of the SRAM cell in the Y direction for cutting the fin lines, such as reducing the active areas of the transistors PU-1 and PU-2. Separating the layout of the 12A into three masks as shown in FIG. 12B for allowing density and/or regular patterns with the masks 1202, 1204, and 1206 to substantially improve the important dimensions of the pattern during photolithography Homogenize.

第12C圖顯示群組203的閘極特徵,其係重疊在群組的鰭式主動線之上。每一閘極特徵均為一長方形狀,並往X方向縱長地延伸。閘極特徵與Y方向的閘極特徵之間係以一間隔分隔,該間隔係為Y間隔206A的一半。閘極特徵重疊鰭式主動線,用以形成許多P鰭式場效電晶體與N鰭式場效電晶體。關於閘極特徵的結構(在每一胞裡的閘極特徵的形狀、尺寸、位置),根據虛線A-A,Cell-R0與Cell-My係為Cell-Mx與Cell-R180的映射影像,而根據虛線B-B,Cell-R0與Cell-Mx係為Cell-My與Cell-R180的映射影像。 Figure 12C shows the gate features of group 203 that overlap over the finned active lines of the group. Each gate feature has a rectangular shape and extends longitudinally in the X direction. The gate features are separated from the gate features in the Y direction by an interval that is half of the Y interval 206A. The gate features overlap the fin active lines to form a plurality of P-fin field effect transistors and N-fin field effect transistors. Regarding the structure of the gate feature (the shape, size, and position of the gate feature in each cell), according to the dotted line AA, Cell-R0 and Cell-My are the mapped images of Cell-Mx and Cell-R180, and according to Dotted line BB, Cell-R0 and Cell-Mx are mapped images of Cell-My and Cell-R180.

第13圖為利用遮罩1202、1204、1206(第12B圖)形成群組203(第12A圖)的鰭式主動線的方法1300的一實施例。在執行方法1300之前、之中或之後可執行額外的操作,並且方法1300的部分操作可被置換、刪除或是移除,用以達到本方法的其它額外實施例。以下將利用第14-24C圖說明方法1300。 Figure 13 is an embodiment of a method 1300 of forming a finned active line of group 203 (Fig. 12A) using masks 1202, 1204, 1206 (Fig. 12B). Additional operations may be performed before, during, or after execution of method 1300, and portions of operations of method 1300 may be replaced, deleted, or removed to achieve other additional embodiments of the method. Method 1300 will be described below using Figures 14-24C.

在操作1302中,方法1300(第13圖)沉積介電層1404與1406,用以重疊一矽基底1402(如半導體晶圓)。請參考第14圖,其顯示矽基底1402以及設置在矽基底1402之上的第一介電層1404(如矽氧化物)以及第二介電層1406(如氮化矽)。可作為介電層1404與1406的材料包括,矽氧化物、氮化矽、多晶矽、四氮化三矽(Si3N4)、氮氧化矽(SiON)、四乙基正矽酸鹽(TEOS)、氮化矽含氧化物、一氧化氮、高K值材料(K>5)或是其組合,但不受限於此。介電層1404與1406係由一製程所形成,該製程包含沉積。舉例而言,矽氧化物的第一介電層1404係由熱氧化所形成。矽氮化物(SiN)的第二介電層1406係由化學氣相沉積(CVD)所形成。舉例而言,矽氮化物層係由CVD利用化學物質,如六氯二硅烷(HCD或Si2C16)、乙二氯硅烷(DCS或SiH2C12)、Bis(TertiaryButylAmino)Silane(BTBAS or C8H22N2Si)和乙硅烷(DS or Si2H6)。在一實施例中,介電層1406的厚度大約在20nm~200nm之間。 In operation 1302, method 1300 (FIG. 13) deposits dielectric layers 1404 and 1406 for overlapping a substrate 1402 (eg, a semiconductor wafer). Please refer to FIG. 14, which shows a germanium substrate 1402 and a first dielectric layer 1404 (such as germanium oxide) disposed over the germanium substrate 1402 and a second dielectric layer 1406 (such as tantalum nitride). Materials that can serve as dielectric layers 1404 and 1406 include tantalum oxide, tantalum nitride, polycrystalline germanium, germanium tetrazide (Si 3 N 4 ), bismuth oxynitride (SiON), tetraethyl orthosilicate (TEOS). ), tantalum nitride oxide, nitric oxide, high K material (K>5) or a combination thereof, but is not limited thereto. Dielectric layers 1404 and 1406 are formed by a process that includes deposition. For example, the first dielectric layer 1404 of tantalum oxide is formed by thermal oxidation. The second dielectric layer 1406 of germanium nitride (SiN) is formed by chemical vapor deposition (CVD). For example, the tantalum nitride layer is chemically used by CVD, such as hexachlorodisilane (HCD or Si 2 C 16 ), ethylene dichlorosilane (DCS or SiH 2 C 12 ), Bis (Tertiary Butyl Amino) Silane (BTBAS or C 8 H 22 N 2 Si) and disilane (DS or Si2H6). In one embodiment, the dielectric layer 1406 has a thickness between approximately 20 nm and 200 nm.

方法1300(第13圖)接著執行操作1304,用以在介電層1406中形成心軸圖案1502。請參考第15A圖(上視圖)與第15B圖(沿第15圖所示的A-A線的剖面圖),心軸圖案1502平均地分佈在X方向。藉由執行一製程,用以圖案化介面層1406,用以形成心軸圖案1502,該製程包括一微影程序以及一蝕刻程序。在本實施例中,藉由一旋轉塗佈程序以及軟烘烤程序,在介電層1406之上形成一光阻層。然後,利用遮罩1202(第12B圖)曝光光阻層。利用曝光後烘烤(PEB)、曝光、以及硬烘烤被曝光的光阻層,因而在介電層1406之上形成圖案化的光阻層。接 著,藉由圖案化的光阻層的開口蝕刻介電層1406,用以形成一圖案化介面層1406。接著,利用適當的程序,如濕式剝膜或是等離子灰化,移除圖案化光阻層。在一實施例中,蝕刻程序包括應用一乾(或等離子)蝕刻,用以移除在介面層1406中的具有圖案化光阻層的開口。在另一實施例中,蝕刻程序包括應用一具有氫氟酸(HF)的濕式蝕刻方法,移除具有開口的SiO層1406。在上述的光顯影程序中,在考慮光學鄰近效應下,規律的光軸圖案1502可協助改善圖案重要寸尺均勻化。 Method 1300 (Fig. 13) then performs operation 1304 to form a mandrel pattern 1502 in dielectric layer 1406. Referring to Fig. 15A (top view) and Fig. 15B (cross-sectional view taken along line A-A shown in Fig. 15), the mandrel pattern 1502 is evenly distributed in the X direction. By performing a process for patterning the interface layer 1406 for forming a mandrel pattern 1502, the process includes a lithography process and an etch process. In this embodiment, a photoresist layer is formed over the dielectric layer 1406 by a spin coating process and a soft bake process. Then, the photoresist layer is exposed by the mask 1202 (Fig. 12B). The exposed photoresist layer is formed over the dielectric layer 1406 by post-exposure bake (PEB), exposure, and hard bake of the exposed photoresist layer. Connect The dielectric layer 1406 is etched through the openings of the patterned photoresist layer to form a patterned interface layer 1406. The patterned photoresist layer is then removed using a suitable procedure, such as wet stripping or plasma ashing. In one embodiment, the etching process includes applying a dry (or plasma) etch to remove the opening in the interface layer 1406 with the patterned photoresist layer. In another embodiment, the etching process includes applying a wet etch process with hydrofluoric acid (HF) to remove the SiO layer 1406 having openings. In the light development process described above, the regular optical axis pattern 1502 can help to improve the pattern size uniformity under consideration of the optical proximity effect.

方法1300(第13圖)繼續進行操作1306,用以形成空隙1602。請參考第16A圖(上視圖)以及第16B圖(沿A-A線的剖面圖),其顯示形成在心軸圖案1502的側壁的空隙1602。空隙1602包括一個或多個不同於心軸圖案1502的材料。在一實施例中,空隙1602可能具有一介面材料,如氮化鈦、氮化矽或是鈦氧化物。空隙1602也可能具有以下材料,如多晶矽、二氧化矽(SiO2)、四氮化三矽(Si3N4)、氮氧化矽(SiON)、四乙基正矽酸鹽(TEOS)、氮化矽含氧化物、氮氧化物、高K值材料(K>5)或是其組合,但並不限定於此。可利用許多方式形成空隙1602,如一沉積處理以及一蝕刻處理。舉例而言,該沉積處理包括一化學氣相沉積(CVD)或是一物理氣相沉積(PVD)處理。舉例而言,該蝕刻處理包括一異向性蝕刻,如電漿蝕刻。 Method 1300 (Fig. 13) continues with operation 1306 to form a void 1602. Referring to FIG. 16A (top view) and FIG. 16B (cross-sectional view along line AA), a void 1602 formed in the sidewall of the mandrel pattern 1502 is shown. The void 1602 includes one or more materials other than the mandrel pattern 1502. In one embodiment, the void 1602 may have an interface material such as titanium nitride, tantalum nitride or titanium oxide. The void 1602 may also have materials such as polycrystalline germanium, germanium dioxide (SiO 2 ), germanium tetranitride (Si 3 N 4 ), germanium oxynitride (SiON), tetraethyl orthosilicate (TEOS), nitrogen. The antimony oxide, the nitrogen oxide, the high K material (K>5) or a combination thereof is not limited thereto. The voids 1602 can be formed in a number of ways, such as a deposition process and an etch process. For example, the deposition process includes a chemical vapor deposition (CVD) or a physical vapor deposition (PVD) process. For example, the etching process includes an anisotropic etch such as plasma etching.

方法1300(第13圖)繼續進行操作1308,用以移除心軸圖案1502。請參考第17A圖(上視圖)以及第17B圖(沿A-A線的剖面圖),在移除心軸圖案1502後,空隙1602仍然被維持在介面層1404之上,例如藉由一蝕刻處理,選擇性地移除介面材料 1406,但不移除空隙材料。蝕刻處理可為一濕式蝕刻、一乾式蝕刻或其組合。 Method 1300 (Fig. 13) continues with operation 1308 to remove the mandrel pattern 1502. Referring to FIG. 17A (top view) and FIG. 17B (cross-sectional view along line AA), after the mandrel pattern 1502 is removed, the void 1602 is still maintained over the interface layer 1404, for example by an etching process. Selectively remove interface material 1406, but does not remove void material. The etching process can be a wet etch, a dry etch, or a combination thereof.

方法1300(第13圖)繼續進行操作1310,用以在矽基底1402中形成鰭式線1802。請參考第18B圖,其為第18A圖的A-A線的剖面圖,空隙1602作為一蝕刻遮罩,用以對矽基底1402進行蝕刻。空隙1602與介面層1404依序被移除,因此在矽基底1402(第18C圖)中,形成鰭式線1802。 Method 1300 (Fig. 13) continues with operation 1310 for forming finned lines 1802 in the crucible substrate 1402. Please refer to FIG. 18B, which is a cross-sectional view taken along line A-A of FIG. 18A. The void 1602 serves as an etch mask for etching the germanium substrate 1402. The void 1602 and the interfacial layer 1404 are sequentially removed, so in the crucible substrate 1402 (Fig. 18C), the fin line 1802 is formed.

方法1300(第13圖)繼續進行操作1312,用以利用遮罩1204(第12B圖)執行一第一鰭式切除處理,因而移除虛擬鰭式線。請參考第19A圖(上視圖)與第19B圖(沿A-A線的剖面圖),虛擬鰭式線1802D被移除,因此,剩下鰭式線1802A在矽基底1402之上。在本實施例中,藉由一程序移除虛擬鰭式線1802D,該程序包括一微影處理以及一蝕刻處理。舉例而言,藉由一旋轉塗佈程序以及軟烘烤程序,在矽基底之上形成一光阻層。然後,利用第19A圖的虛線所示的遮罩1204曝光光阻層,第19A圖的虛線所示的遮罩1204表示欲被產生的開口。被曝光的光阻層依序被展開及剝離,因而形成圖案化光阻層。藉由圖案化光阻層保護鰭式線1802A,而虛擬鰭式線1802D沒有光阻層的保護。接著,藉由圖案化光阻層的開口,移除虛擬鰭式線1802D。藉由適合的處理移除圖案化光阻層,如一濕式剝離或是電漿灰化。 The method 1300 (Fig. 13) continues with operation 1312 for performing a first fin cut process using the mask 1204 (Fig. 12B), thereby removing the virtual fin line. Referring to FIG. 19A (top view) and 19B (cross-sectional view taken along line A-A), the virtual fin line 1802D is removed, thus leaving the fin line 1802A over the crucible substrate 1402. In the present embodiment, the virtual fin line 1802D is removed by a program that includes a lithography process and an etch process. For example, a photoresist layer is formed over the germanium substrate by a spin coating process and a soft bake process. Then, the photoresist layer is exposed by the mask 1204 shown by the broken line in Fig. 19A, and the mask 1204 shown by the broken line in Fig. 19A indicates the opening to be generated. The exposed photoresist layer is sequentially unrolled and peeled off, thereby forming a patterned photoresist layer. The fin line 1802A is protected by a patterned photoresist layer, while the dummy fin line 1802D is not protected by a photoresist layer. Next, the dummy fin line 1802D is removed by patterning the opening of the photoresist layer. The patterned photoresist layer is removed by a suitable process, such as a wet strip or a plasma ash.

方法1300(第13圖)繼續進行操作1314,用以遮罩1206(第12B圖)執行一第二鰭式切除處理,因而切除上拉電晶體的鰭式線,如第5圖的PU-1與PU-2。請參考第20A圖(上視圖) 及第20B圖(沿第20A圖的A-A線的剖面圖),部分跨越SRAM胞202A邊界的鰭式線1802A會被移除,因而使得上拉電晶體PU-1及PU-2具有較短的鰭式線。在本實施例中,第二鰭式切除處理相似於前文第19A及19B圖所述的第一鰭式切除處理,不同之處在於,第二鰭式切除處理係利用遮罩1206。 The method 1300 (Fig. 13) continues with operation 1314 for masking 1206 (Fig. 12B) to perform a second fin resection process, thereby cutting the fin line of the pull up transistor, such as PU-1 of Fig. 5. With PU-2. Please refer to Figure 20A (top view) And in FIG. 20B (a cross-sectional view taken along line AA of FIG. 20A), the fin line 1802A partially crossing the boundary of the SRAM cell 202A is removed, thereby making the pull-up transistors PU-1 and PU-2 shorter. Fin line. In the present embodiment, the second fin resection process is similar to the first fin resection process described in the foregoing FIGS. 19A and 19B, except that the second fin resection process utilizes the mask 1206.

方法1300(第13圖)繼續進行操作1316,形成一具有鰭式線1802A的最終裝置。舉例而言,操作1316可能植入摻雜物,用以進行井區及通道摻雜、形成閘極介電層、形成輕摻雜的源極/汲極、形成閘極堆疊…等等。 Method 1300 (Fig. 13) continues with operation 1316 to form a final device having finned line 1802A. For example, operation 1316 may implant dopants for doping well and channel doping, forming a gate dielectric layer, forming a lightly doped source/drain, forming a gate stack, and the like.

第21圖顯示根據一實施例的形成群組203(第12A圖)的鰭式主動線的方法2100,如第12B圖所示,群組203具有三遮罩1202、1204以及1206。額外的操作可在執行方法2100之前、之中或之後進行,並且在其它實施例中,方法2100的部分操作可被置換、消除或是相互交換。方法2100的部分操作相似於方法1300的操作,因此,為簡潔起見,不再贅述。 21 shows a method 2100 of forming a finned active line of group 203 (FIG. 12A), as shown in FIG. 12B, with groups 203 having three masks 1202, 1204, and 1206, in accordance with an embodiment. Additional operations may be performed before, during, or after performing method 2100, and in other embodiments, portions of operations of method 2100 may be replaced, eliminated, or interchanged. Part of the operation of method 2100 is similar to the operation of method 1300 and, therefore, will not be described again for the sake of brevity.

在操作1308後,方法2100(第21圖)形成空隙1602A與1602D(第22A與22B圖),其中空隙1602A將用以形成鰭式主動線,而空隙1602D(虛擬空隙)並不是。 After operation 1308, method 2100 (FIG. 21) forms voids 1602A and 1602D (22A and 22B), wherein void 1602A will be used to form the finned active line, while void 1602D (virtual void) is not.

在操作2110中,方法2100(第21圖)利用遮罩1204移除虛擬空隙1602D,如藉由第19A與第19B圖所述的一光微影處理以及一蝕刻處理,其中該蝕刻處理係選擇性地移除空隙材料(第22C圖)。 In operation 2110, method 2100 (FIG. 21) removes dummy void 1602D using mask 1204, such as by photolithography and an etch process as described in FIGS. 19A and 19B, wherein the etch process is selected The void material is removed sexually (Fig. 22C).

在操作2112中,方法2100(第21圖)藉由遮罩1206(第23A與23B圖)的協助,切除跨越SRAM胞202A邊界的空 隙1602A。藉由一處理,可完成操作2112,該處理相似於第20A與20B圖所述的光微影處理與蝕刻處理,其中蝕刻處選擇性地移除空隙材料(第23B圖)。 In operation 2112, method 2100 (FIG. 21) cuts the space across the boundary of SRAM cell 202A by the aid of mask 1206 (FIGS. 23A and 23B). Gap 1602A. Operation 2112 can be accomplished by a process similar to the photolithography and etching processes described in FIGS. 20A and 20B, wherein the void material is selectively removed at the etch (FIG. 23B).

在操作2114中,方法2100(第21圖)利用剩餘的空隙1602A作為一蝕刻遮罩(第24A與24B)蝕刻矽基底1402。空隙1602A與介電層1404會依序地被移除,因而將電晶體PU-1/2、PD-1/2與PG-1/2的鰭式線1802A形成在矽基底1402中(第24C圖)。 In operation 2114, method 2100 (FIG. 21) etches germanium substrate 1402 using the remaining voids 1602A as an etch mask (24A and 24B). The void 1602A and the dielectric layer 1404 are sequentially removed, thereby forming the TFTs PU-1/2, PD-1/2 and PG-1/2 fin lines 1802A in the germanium substrate 1402 (24C) Figure).

方法2100(第21圖)繼續進行操作1316,用以形成上述具有鰭式線1802A的最終裝置。 Method 2100 (FIG. 21) continues with operation 1316 to form the final device described above with finned line 1802A.

第25A圖顯示群組203(第2圖)的鰭式主動線,群組203具有四個相鄰的SRAM胞202B(第6圖),如Cell_R0、Cell_My、Cell_Mx及Cell_R180。這四個胞排列成兩列及兩行。虛線A-A為該等SRAM胞在X方向的邊界,並且虛線B-B為該等SRAM胞在Y方向的邊界。關於鰭式主動線結構(形狀、尺寸以及位置),Cell_R0與Cell_My係為Cell_Mx、Cell_R180沿虛線A-A的映射影像,而Cell_R0與Cell_Mx係為Cell_My與Cell_R180沿虛線B-B的映射影像。在本實施例中,利用空隙微影與第25B圖所示的三遮罩2502、2504以及2506便可形成這些鰭式主動線。 25A shows a fin active line of group 203 (FIG. 2), and group 203 has four adjacent SRAM cells 202B (FIG. 6), such as Cell_R0, Cell_My, Cell_Mx, and Cell_R180. The four cells are arranged in two columns and two rows. The dotted line A-A is the boundary of the SRAM cells in the X direction, and the broken line B-B is the boundary of the SRAM cells in the Y direction. Regarding the fin active line structure (shape, size, and position), Cell_R0 and Cell_My are the mapped images of Cell_Mx and Cell_R180 along the dotted line A-A, and Cell_R0 and Cell_Mx are the mapped images of Cell_My and Cell_R180 along the broken line B-B. In the present embodiment, these finned active lines can be formed by using the void lithography and the three masks 2502, 2504, and 2506 shown in FIG. 25B.

請參考第25B圖,遮罩2502、2504以及2506相似於第12B圖的遮罩1202、1204以及1206,遮罩2502、2504以及2506係為SRAM巨集102(在半導體裝置100中)的設計佈局中的三層。遮罩2502定義心軸圖案,用以形成空隙,遮罩2504定義虛 擬鰭式切除圖案,用以移除虛擬鰭式線(或虛擬空隙),並且遮罩2506定義鰭式尾端切換圖案,用以使上拉電晶體(如第5圖的PU-1與PU-2)具有較短的鰭式線。如第25B圖所示,心軸圖案平坦地往X方向分佈。每一心軸圖案具有一長方形狀(上視),往Y方向縱長地延伸。在一實施例中,雖然沒有顯示出來,但每一心軸圖案至少延伸重疊四個SRAM胞202B(請參考第2圖)。在本實施例中,該佈局包括五心軸圖案,其延伸重疊每一SRAM胞202B。關於心軸圖案的結構(每一胞的心軸圖案的形狀、尺寸與位置),Cell-R0和Cell-My係為Cell-Mx與Cell-R180在虛線A-A上的映射影像,而Cell-R0和Cell-Mx係為Cell-My與Cell-R180的複製,如在X方向位置將Cell-My與Cell-R180位移X間隔204A。每一虛擬鰭式切除圖案也是長方形狀(上視圖),並縱長地往Y方向延伸。鰭式尾端切除圖案位於SRAM胞202B在Y方向的邊界,用以切除鰭式線,如減少電晶體PU-1和PU-2的主動區。將第25A的佈局分隔成如第25B圖所示的三個遮罩,用以允許密度及/或規則圖案,用以在光微影過程中大幅改善圖案重要尺寸均勻化。可利用上述的方法1300(第13圖)或是方法2100(第21圖)的實施例形成第25A圖的鰭式主動線。 Referring to FIG. 25B, masks 2502, 2504, and 2506 are similar to masks 1202, 1204, and 1206 of FIG. 12B, and masks 2502, 2504, and 2506 are designed for SRAM macro 102 (in semiconductor device 100). The third floor. The mask 2502 defines a mandrel pattern for forming a void, and the mask 2504 defines a virtual A quasi-fin cut pattern to remove dummy fin lines (or virtual voids), and a mask 2506 defines a fin tail switching pattern for pulling up the transistor (eg, PU-1 and PU of Figure 5) -2) has a shorter fin line. As shown in Fig. 25B, the mandrel pattern is distributed flat in the X direction. Each mandrel pattern has a rectangular shape (top view) extending longitudinally in the Y direction. In one embodiment, although not shown, each mandrel pattern extends at least four SRAM cells 202B (see Figure 2). In this embodiment, the layout includes a five-mandrel pattern that extends across each of the SRAM cells 202B. Regarding the structure of the mandrel pattern (the shape, size and position of the mandrel pattern of each cell), Cell-R0 and Cell-My are the mapped images of Cell-Mx and Cell-R180 on the dotted line AA, and Cell-R0 And Cell-Mx is a copy of Cell-My and Cell-R180, such as Cell-My and Cell-R180 displacement X are spaced 204A in the X-direction position. Each of the virtual fin cut patterns is also rectangular (upper view) and extends longitudinally in the Y direction. The fin tail resection pattern is located at the boundary of the SRAM cell 202B in the Y direction for cutting the fin line, such as reducing the active areas of the transistors PU-1 and PU-2. The layout of the 25A is divided into three masks as shown in Fig. 25B to allow density and/or regular patterns to substantially improve the uniform size of the important dimensions of the pattern during photolithography. The fin active line of Fig. 25A can be formed by the embodiment of the method 1300 (Fig. 13) or the method 2100 (Fig. 21) described above.

第25C圖顯示群組203的閘極特徵,其重疊同一群組(第25A圖)的鰭式主動線。每一閘極特徵係為一長方形狀,往X方向延伸。閘極特徵在Y方向的間距約為Y間距206B的一半。閘極特徵延伸重疊鰭式主動線,用以形成許多P鰭式場效電晶體與N鰭式場效電晶體。關於閘極特徵的結構(在每一胞裡的閘極特徵的形狀、尺寸以及位置),根據虛線A-A,Cell-R0 和Cell-My係為Cell-Mx和Cell-R180的映射影像,而根據虛線B-B,Cell-R0和Cell-Mx係為Cell-My和Cell-R180的映射影像。 Figure 25C shows the gate features of group 203, which overlap the fin active lines of the same group (Fig. 25A). Each gate feature is a rectangular shape that extends in the X direction. The pitch of the gate features in the Y direction is approximately half of the Y pitch 206B. The gate feature extends over the finned active line to form a plurality of P-fin field effect transistors and N-fin field effect transistors. Regarding the structure of the gate features (the shape, size and position of the gate features in each cell), according to the dotted line A-A, Cell-R0 And Cell-My is a mapped image of Cell-Mx and Cell-R180, and according to the dotted line B-B, Cell-R0 and Cell-Mx are mapped images of Cell-My and Cell-R180.

雖然並非用以限制,但本揭露提供許多優點。舉例而言,本揭露定義一被植入的鰭式場效電晶體SRAM巨集結構,其用以排列SRAM胞與週邊邏輯電路之間各自的特徵(如鰭式主動線、閘極特徵…等)。舉例而言,這樣的排列有助於高密集的鰭式主動線結構以及單一鰭式間距設計。植入的鰭式場效電晶體SRAM巨集構係具有彈性的,其可能包括高密度SRAM胞、大電流的SRAM胞、單一埠SRMA包、雙埠SRAM胞、或是其組合。因此,其可被設置在許多應用領域中,如電腦、通訊、行動電話、以及自動化電子中。目前的揭露更教導SRAM胞的鰭式主動區的佈局設計及方法。在一些實施例中,鰭式主動區被劃分成一心軸圖案層(單一罩遮)以及兩切除圖案層(複數遮罩)。在光顯影處理中,這些心軸圖案係為密集、並行、長方形狀以及增加重要尺寸均勻化。 Although not intended to be limiting, the present disclosure provides many advantages. For example, the present disclosure defines an implanted field-effect transistor SRAM macro structure for arranging respective characteristics between SRAM cells and peripheral logic circuits (eg, fin active lines, gate features, etc.) . For example, such an arrangement facilitates a highly dense fin active line structure as well as a single fin pitch design. The implanted FinFET SRAM macromechanics is flexible, which may include high density SRAM cells, high current SRAM cells, single 埠SRMA packets, double 埠SRAM cells, or a combination thereof. Therefore, it can be installed in many application fields such as computers, communications, mobile phones, and automation electronics. The current disclosure further teaches the layout design and method of the fin active area of the SRAM cell. In some embodiments, the fin active region is divided into a mandrel pattern layer (single mask) and two ablation pattern layers (complex mask). In the light development process, these mandrel patterns are dense, parallel, rectangular, and add important size uniformity.

在一實施例中,本揭露係為一積體電路(IC)佈局。IC佈局包括一第一長方形區域,其中第一長方形區域在一第一方向上具有一較長的側邊,並在一第二方向上具有一較短的側邊,第一方向垂直第二方向;一第一虛線以及一第二虛線依照,逆時鐘順序,將第一長方形區域劃分成一第一次區域、一第二次區域、一第三次區域、一第四次區域,第一次區域位第一長方形狀的右上位置,第一虛線在第一方向上穿過第一長方形區域的一幾合中心點,第二虛線在第二方向上穿過第一長方形區域的幾合中心點。IC佈局更包括至少8個第一圖案位於IC 佈局的第一層中,其中每一第一圖案係為一長方形狀,往第二方向縱長地延伸,並重疊第一長方形區域,第一圖案在第一方向上彼此分隔;第一圖案的第一、第二、第三以及第四部分分別部分重疊第一、第二、第三及第四次區域;根據第一虛線,第一圖案的第一及第二部分分別為第一圖案的第四與第三部分的映射影像;第一圖案的第一及第四部分分別為第一案的第二及第三部分的複製。IC佈局更包括至少8個第二圖案,其位於IC佈局的第二層,其中每一第二圖案係為一長方形狀,並往第二方向縱長地延伸,第二圖案在第一方向上彼此分隔,當第一及第二層疊加在一起時,每一第二圖案部分重疊第一圖案之一者,並完全地重疊第一圖案的較長的側邊。IC佈局更包括複數第三圖案,其位於IC佈局的第三層,其中每一第三圖案係為一長方形狀,第三圖案彼此分隔,當第一、第二及第三層疊加在一起時,每一第三圖案部分重疊第一圖案之一者,並重疊未重疊第二圖案的第一圖案的較長側邊的一部分。在上述IC佈局中,第一、第二及第三圖案的整體係用以定義複數主動區域,用以形成電晶體;並且當第一、第二及第三層被疊加在一起時,根據未重疊第二及第三圖案的第一圖案的較長側邊定義該等主動區域。 In one embodiment, the present disclosure is an integrated circuit (IC) layout. The IC layout includes a first rectangular region, wherein the first rectangular region has a longer side in a first direction and a shorter side in a second direction, the first direction being perpendicular to the second direction a first dotted line and a second broken line are divided into a first sub-area, a second sub-area, a third sub-area, a fourth sub-area, and a first sub-area according to an inverse clock sequence Positioned in a first rectangular upper right position, the first dashed line passes through a plurality of center points of the first rectangular area in the first direction, and the second broken line passes through the plurality of center points of the first rectangular area in the second direction. The IC layout further includes at least 8 first patterns in the IC In the first layer of the layout, each of the first patterns is a rectangular shape extending longitudinally in the second direction and overlapping the first rectangular regions, the first patterns being separated from each other in the first direction; the first pattern The first, second, third, and fourth portions partially overlap the first, second, third, and fourth sub-regions, respectively; according to the first dashed line, the first and second portions of the first pattern are respectively the first pattern The fourth and third portions of the mapped image; the first and fourth portions of the first pattern are copies of the second and third portions of the first case, respectively. The IC layout further includes at least eight second patterns, which are located in the second layer of the IC layout, wherein each of the second patterns is a rectangular shape and extends longitudinally in the second direction, and the second pattern is in the first direction. Separated from each other, when the first and second layers are stacked together, each of the second patterns partially overlaps one of the first patterns and completely overlaps the longer sides of the first pattern. The IC layout further includes a plurality of third patterns located in the third layer of the IC layout, wherein each of the third patterns is a rectangular shape, and the third patterns are separated from each other when the first, second, and third layers are stacked together Each of the third patterns partially overlaps one of the first patterns and overlaps a portion of the longer side of the first pattern that does not overlap the second pattern. In the above IC layout, the entirety of the first, second, and third patterns is used to define a plurality of active regions for forming a transistor; and when the first, second, and third layers are stacked together, according to The longer sides of the first pattern overlapping the second and third patterns define the active regions.

在另一實施例中,本揭露係為一半導體裝置。該半導體裝置具有一第一SRAM巨集,其中第一SRAM巨集包括複數第一單埠SRAM胞以及複數第二週邊邏輯電路,第一單埠SRAM胞被排列成在一第一方向上具有第一間距,並在第二方向上具有一第二間距,第一方向垂直第二方向,第一單埠SRAM 胞具有複數鰭式場效電晶體,其具有第一閘極特徵以及第一鰭式主動線,第二週邊邏輯電路具有鰭式場效電晶體,其具有第二閘極特徵以及第二鰭式主動線,第二閘極特徵被排列成在第二方向上具有一第三間距,第二鰭式主動線被排列成在第一方向上具有第四間距。半導體裝置更包括一第二SRAM巨集,其中第二SRAM巨集具有複數第三單埠SRAM胞以及複數第四週邊邏輯電路,第三單埠SRAM胞在第一方向上具有第五間距,並在第二方向上具有一第六間距,第三單埠SRAM胞具有複數鰭式場效電晶體,其具有第三閘極特徵以及第三鰭式主動線,第四週邊邏輯電路具有鰭式場效電晶體,其具有第四閘極特徵以及第四鰭式主動線,第四閘極特徵被排列成在第二方向上具有第三間距,第四鰭式主動線被排列成在第一方向上具有第四間距。在上述的半導體裝置中,第二間距大約是第三間距的2倍;第六間距大約等於第二間距;並且第五間距大於第一間距,第一間距大約是第四間距的2倍。 In another embodiment, the present disclosure is a semiconductor device. The semiconductor device has a first SRAM macro set, wherein the first SRAM macro includes a plurality of first SRAM cells and a plurality of second peripheral logic circuits, the first SRAM cells being arranged to have a first direction a pitch and a second pitch in the second direction, the first direction being perpendicular to the second direction, the first 單埠SRAM The cell has a plurality of fin field effect transistors having a first gate feature and a first fin active line, the second peripheral logic circuit having a fin field effect transistor having a second gate feature and a second fin active line The second gate features are arranged to have a third pitch in the second direction, and the second fin active lines are arranged to have a fourth pitch in the first direction. The semiconductor device further includes a second SRAM macro set, wherein the second SRAM macro has a plurality of third SRAM cells and a plurality of fourth peripheral logic circuits, the third SRAM cell having a fifth pitch in the first direction, and Having a sixth pitch in the second direction, the third 單埠SRAM cell has a plurality of fin field effect transistors having a third gate feature and a third fin active line, and the fourth peripheral logic circuit has a fin field effect a crystal having a fourth gate feature and a fourth fin active line, the fourth gate feature being arranged to have a third pitch in the second direction, the fourth fin active line being arranged to have the first direction Fourth spacing. In the above semiconductor device, the second pitch is approximately twice the third pitch; the sixth pitch is approximately equal to the second pitch; and the fifth pitch is greater than the first pitch, the first pitch being approximately twice the fourth pitch.

在另一實施例中,本揭露係為一半導體裝置。半導體裝置具有一第一SRAM巨集,其中第一SRAM巨集包括複數第一單埠SRAM胞以及複數第二週邊邏輯電路,第一單埠SRAM胞被排列成在一第一方向上具有第一間距,並在第二方向上具有一第二間距,第一方向垂直第二方向,第一單埠SRAM胞具有第一鰭式場效電晶體,其具有第一閘極特徵以及第一鰭式主動線,第二週邊邏輯電路具有第二鰭式場效電晶體,其具有第二閘極特徵以及第二鰭式主動線,第二閘極特徵被排列成在第二方向上具有一第三間距,第二鰭式主動線被排列成在第 一方向上具有第四間距。半導體裝置更包括一第二SRAM巨集,其中第二SRAM巨集具有複數第三雙埠SRAM胞以及複數第四週邊邏輯電路,第三雙埠SRAM胞被排列成在第一方向上具有第五間距,並在第二方向上具有一第六間距,第三雙埠SRAM胞具有第三鰭式場效電晶體,其具有第三閘極特徵以及第三鰭式主動線,第四週邊邏輯電路具有第四鰭式場效電晶體,其具有第四閘極特徵以及第四鰭式主動線,第四閘極特徵被排列成在第二方向上具有第三間距,第四鰭式主動線被排列成在第一方向上具有第四間距。在上述的半導體裝置中,第二間距大約是第三間距的2倍;第六間距大約等於第二間距;第一與第四間距之間的比例並非整數;並且在第五與第四間距之間的比例一整數。 In another embodiment, the present disclosure is a semiconductor device. The semiconductor device has a first SRAM macro set, wherein the first SRAM macro includes a plurality of first SRAM cells and a plurality of second peripheral logic circuits, the first SRAM cells being arranged to have a first direction in a first direction a pitch and a second pitch in the second direction, the first direction being perpendicular to the second direction, the first 單埠SRAM cell having a first fin field effect transistor having a first gate feature and a first fin active a second peripheral logic circuit having a second fin field effect transistor having a second gate feature and a second fin active line, the second gate feature being arranged to have a third pitch in the second direction, The second fin active line is arranged in the first There is a fourth pitch in one direction. The semiconductor device further includes a second SRAM macro set, wherein the second SRAM macro has a plurality of third dual-SRAM cells and a plurality of fourth peripheral logic circuits, and the third dual-SRAM cells are arranged to have a fifth direction in the first direction. a pitch, and having a sixth pitch in the second direction, the third double-sided SRAM cell having a third fin field effect transistor having a third gate feature and a third fin active line, the fourth peripheral logic circuit having a fourth fin field effect transistor having a fourth gate feature and a fourth fin active line, the fourth gate feature being arranged to have a third pitch in the second direction, the fourth fin active line being arranged There is a fourth pitch in the first direction. In the above semiconductor device, the second pitch is approximately twice the third pitch; the sixth pitch is approximately equal to the second pitch; the ratio between the first and fourth pitches is not an integer; and at the fifth and fourth pitches The ratio between the ones is an integer.

本揭露雖以較佳實施例揭露如上,使得本領域具有通常知識者能夠更清楚地理解本揭露的內容。然而,本領域具有通常知識者應理解到他們可輕易地以本揭露做為基礎,設計或修改其它的處理與結構,用以進行相同的觀點和/或達到這裡介紹的實施例的相同優點。因此本揭露之保護範圍當視後附之申請專利範圍所界定者為準。 The disclosure of the present invention has been disclosed in the above preferred embodiments, so that those skilled in the art can understand the present disclosure more clearly. However, those of ordinary skill in the art should understand that the invention can be readily practiced in the light of the disclosure. Therefore, the scope of protection of this disclosure is subject to the definition of the scope of the patent application.

Claims (20)

一種積體電路佈局,包括:一第一長方形區域,其中該第一長方形區域一第一方向上具有一較長側邊並在一第二方向上具有一較短側邊,該第二方向垂直該第一方向,並且一第一虛線在該第一方向上通過該第一長方形區域的一幾何中心點,並且一第二虛線在該第二方向上通過該幾何中心點,根據逆時鐘順序,該第一及第二虛線將該第一長方形區域劃分成一第一次區域、一第二次區域、一第三次區域以及一第四次區域,該第一次區域位於該第一長方形區域的一右上位置;至少八第一圖案,位於該積體電路佈局的一第一層,其中每一第一圖案係為一長方形狀,往該第二方向縱長地延伸,並重疊該第一長方形區域;該等第一圖案在該第一方向上彼此分隔;該等第一圖案的一第一部分、一第二部分、一第三部分以及一第四部分各自部分重疊該第一、第二、第三及第四次區域;根據該第一虛線,該等第一圖案的該第一及第二部分分別為該第一圖案的該第四及第三部分的映射影像;並且該第一圖案的該第一及第四部分分別為該第一圖案的該第二及第三部分的複製;至少八第二圖案,位於該積體電路佈局的一第二層,其中每一第二圖案係為一長方形狀,往該第二方向縱長地延伸,該等第二圖案在該第一方向上彼此分隔;當該第一及第二層疊加在一起時,每一第二圖案部分重疊該等第一圖案之一者,並完全地重疊各自的第一圖案的一較長側邊; 以及複數第三圖案,其位於該積體電路佈局的一第三層,其中該等第三圖案之每一者係為一長方形狀,該等第三圖案彼此分隔,當該第一、第二及第三層疊加在一起時,該等第三圖案之每一者部分重疊該等第一圖案之一者,並重疊未重疊該等第二圖案的該第一圖案的較長側邊的一部分,其中,該等第一、第二及第三圖案用以定義複數主動區域,用以形成電晶體;並且當該第一、第二及第三層被疊加在一起時,根據未重疊該第二及第三圖案的該等第一圖案的較長側邊定義該等主動區域。 An integrated circuit layout comprising: a first rectangular region, wherein the first rectangular region has a longer side in a first direction and a shorter side in a second direction, the second direction being vertical The first direction, and a first dashed line passes through a geometric center point of the first rectangular area in the first direction, and a second broken line passes the geometric center point in the second direction, according to an inverse clock order, The first and second broken lines divide the first rectangular area into a first sub-area, a second sub-area, a third sub-area and a fourth sub-area, wherein the first sub-area is located in the first rectangular area a top right position; at least eight first patterns, located in a first layer of the integrated circuit layout, wherein each of the first patterns is a rectangular shape extending lengthwise in the second direction and overlapping the first rectangle The first patterns are separated from each other in the first direction; a first portion, a second portion, a third portion, and a fourth portion of the first patterns each partially overlap the first and second portions And a third sub-region; the first and second portions of the first patterns are respectively mapped images of the fourth and third portions of the first pattern; and the first pattern is The first and fourth portions are respectively a copy of the second and third portions of the first pattern; at least eight second patterns are located in a second layer of the integrated circuit layout, wherein each of the second patterns is a rectangular shape extending longitudinally in the second direction, the second patterns being spaced apart from each other in the first direction; when the first and second layers are stacked together, each second pattern partially overlapping the same One of the first patterns and completely overlapping a longer side of the respective first pattern; And a plurality of third patterns, which are located in a third layer of the integrated circuit layout, wherein each of the third patterns is a rectangular shape, and the third patterns are separated from each other when the first and second And when the third layer is superimposed, each of the third patterns partially overlaps one of the first patterns, and overlaps a portion of the longer side of the first pattern that does not overlap the second patterns The first, second, and third patterns are used to define a plurality of active regions for forming a transistor; and when the first, second, and third layers are stacked together, according to the non-overlapping The longer sides of the first patterns of the second and third patterns define the active regions. 如申請專利範圍第1項所述之積體電路佈局,其中該等主動區域係為鰭式主動線,用以形成鰭式場效電晶體型式的電晶體。 The integrated circuit layout as described in claim 1, wherein the active regions are fin active lines for forming a fin field effect transistor type of transistor. 如申請專利範圍第1項所述之積體電路佈局,更包括:複數閘極特徵,位於該積體電路佈區的一閘極層,其中該等閘極特徵的每一者係為一長方形狀,縱長地往第一方向延伸;在該第二方向上,該等閘極特徵之間具有一閘極間距;該等閘極特徵的一第一部分、一第二部分、一第三部分與一第四部分各自部分重疊該第一、第二、第三及第四次區域;根據該第一虛線,該等閘極特徵的該第一及第二部分分別為該等閘極特徵的第四與第三部分的映射影像;根據該第二虛線,該等閘極特徵的該第一及第四部分分別為該等閘極特徵的第二與第三部分的映射影像;部 分閘極特徵與相對的主動區域形成P型電晶體;並且部分閘極特徵與相對的主動區域形成N型電晶體。 The integrated circuit layout as described in claim 1, further comprising: a plurality of gate features, a gate layer located in the integrated circuit region, wherein each of the gate features is a rectangle Extending longitudinally in a first direction; in the second direction, the gate features have a gate spacing therebetween; a first portion, a second portion, and a third portion of the gate features And partially overlapping the first, second, third and fourth sub-regions with a fourth portion; according to the first dashed line, the first and second portions of the gate features are respectively characterized by the gates The fourth and third portions of the mapped image; according to the second dotted line, the first and fourth portions of the gate features are respectively mapped images of the second and third portions of the gate features; The gate feature and the opposite active region form a P-type transistor; and a portion of the gate feature and the opposite active region form an N-type transistor. 如申請專利範圍第3項所述之積體電路佈局,其中在每一次區域中,該等閘極特徵和該等主動區域用以形成至少六電晶體,並且在每一次區域中,該至少六電晶體用以形成一SRAM胞。 The integrated circuit layout of claim 3, wherein in each region, the gate features and the active regions are used to form at least six transistors, and in each region, the at least six The transistor is used to form an SRAM cell. 如申請專利範圍第4項所述之積體電路佈局,其中在每一次區域中,該至少六電晶體均為鰭式場效電晶體。 The integrated circuit layout of claim 4, wherein in each of the regions, the at least six transistors are fin field effect transistors. 如申請專利範圍第1項所述之積體電路佈局,更包括一第二長方形區域,該第二長方形區域大致相同於該第一長方形區域,並且延該第二方向延伸,並與該第一長方形區域邊對邊地排列,其中該等第一及第二圖案延伸重疊該第一及第二長方形區域之至少一者。 The integrated circuit layout of claim 1, further comprising a second rectangular area, the second rectangular area being substantially the same as the first rectangular area, extending in the second direction, and the first The rectangular regions are arranged side to side, wherein the first and second patterns extend over at least one of the first and second rectangular regions. 如申請專利範圍第1項所述之積體電路佈局,其中該等八第一圖案延伸重疊該第一長方形區域,並且在該第一及第二層疊加在一起時,該八第一圖案的每一者部分重疊該等第二圖案之一者。 The integrated circuit layout of claim 1, wherein the eight first patterns extend over the first rectangular area, and when the first and second layers are superposed together, the eight first patterns Each of them partially overlaps one of the second patterns. 如申請專利範圍第1項所述之積體電路佈局,其中該等十第一圖案延伸重疊該第一長方形區域,並且在該第一及第二層疊加在一起時,該十第一圖案之兩者並未部分重疊該等第二圖案之任一者。 The integrated circuit layout of claim 1, wherein the ten first patterns extend over the first rectangular region, and when the first and second layers are stacked together, the ten first pattern The two do not partially overlap any of the second patterns. 一種半導體裝置,包括:一第一SRAM巨集,其中該第一SRAM巨集包括複數第一單埠SRAM胞以及複數第二週邊邏輯電路,該等第一單埠 SRAM胞被排列成在一第一方向上具有一第一間距,並在一第二方向上具有一第二間距,該第一方向垂直該第二方向,該等第一單埠SRAM胞具有複數鰭式場效電晶體,其具有複數第一閘極特徵以及複數第一鰭式主動線,該等第二週邊邏輯電路具有複數鰭式場效電晶體,其具有複數第二閘極特徵以及複數第二鰭式主動線,該等第二閘極特徵被排列成在該第二方向上具有一第三間距,該等第二鰭式主動線被排列成在該第一方向上具有一第四間距;以及一第二SRAM巨集,其中該第二SRAM巨集具有複數第三單埠SRAM胞以及複數第四週邊邏輯電路,該等第三單埠SRAM胞在該第一方向上具有一第五間距,並在該第二方向上具有一第六間距,該等第三單埠SRAM胞具有複數鰭式場效電晶體,其具有複數第三閘極特徵以及複數第三鰭式主動線,該等第四週邊邏輯電路具有複數鰭式場效電晶體,其具有複數第四閘極特徵以及複數第四鰭式主動線,該等第四閘極特徵被排列成在該第二方向上具有該第三間距,該等第四鰭式主動線被排列成在該第一方向上具有該第四間距,其中,該第二間距大約是該第三間距的2倍;該第六間距大約等於該第二間距;以及該第五間距大於該第一間距,該第一間距大約是該第四間距的2倍。 A semiconductor device comprising: a first SRAM macro, wherein the first SRAM macro comprises a plurality of first SRAM cells and a plurality of second peripheral logic circuits, the first The SRAM cells are arranged to have a first pitch in a first direction and a second pitch in a second direction, the first direction being perpendicular to the second direction, the first 單埠SRAM cells having a plurality of a fin field effect transistor having a plurality of first gate features and a plurality of first fin active lines, the second peripheral logic circuit having a plurality of fin field effect transistors having a plurality of second gate features and a plurality of second a fin active line, the second gate features are arranged to have a third pitch in the second direction, and the second fin active lines are arranged to have a fourth pitch in the first direction; And a second SRAM macro set, wherein the second SRAM macro has a plurality of third SRAM cells and a plurality of fourth peripheral logic circuits, the third SRAM cells having a fifth pitch in the first direction And having a sixth pitch in the second direction, the third 單埠SRAM cells having a plurality of fin field effect transistors having a plurality of third gate features and a plurality of third fin active lines, the Four peripheral logic circuits have complex fins a field effect transistor having a plurality of fourth gate features and a plurality of fourth fin active lines, the fourth gate features being arranged to have the third pitch in the second direction, the fourth fins The drive line is arranged to have the fourth pitch in the first direction, wherein the second pitch is approximately twice the third pitch; the sixth pitch is approximately equal to the second pitch; and the fifth pitch is greater than The first pitch is about twice the fourth pitch. 如申請專利範圍第9項所述之半導體裝置,其中在該第一及第四間距之間的比例為8、8.5或9。 The semiconductor device of claim 9, wherein the ratio between the first and fourth pitches is 8, 8.5 or 9. 如申請專利範圍第9項所述之半導體裝置,其中在該第一及第四間距之間的比例為10、10.5或11。 The semiconductor device of claim 9, wherein the ratio between the first and fourth pitches is 10, 10.5 or 11. 如申請專利範圍第9項所述之半導體裝置,其中在該第一及第二間距之間的比例約在2.25~2.28之間。 The semiconductor device of claim 9, wherein the ratio between the first and second pitches is between about 2.25 and 2.28. 如申請專利範圍第9項所述之半導體裝置,其中該在該第一及第四間距之間的比例不為一整數,並且該整數的2倍為一整數。 The semiconductor device of claim 9, wherein the ratio between the first and fourth pitches is not an integer, and the integer is twice an integer. 如申請專利範圍第9項所述之半導體裝置,其中該等第一單埠SRAM胞的一第一金屬層具有複數電源供給線、複數位元線以及複數反相位元線;該等第一單埠SRAM胞的一第二金屬層具有複數字元線以及複數接地線;以及該第一金屬層位於該第二金屬層與該半導體裝置之一層之間,該半導體裝置之該層具有該等第一鰭式主動線。 The semiconductor device of claim 9, wherein a first metal layer of the first 單埠SRAM cells has a plurality of power supply lines, a plurality of bit lines, and a plurality of inverted phase lines; a second metal layer of the SRAM cell has a complex digital line and a plurality of ground lines; and the first metal layer is between the second metal layer and a layer of the semiconductor device, the layer of the semiconductor device having the same The first fin type active line. 如申請專利範圍第9項所述之半導體裝置,其中該等第一單埠SRAM胞的複數字元線設置在一第一金屬層中;該等第一單埠SRAM胞的複數電源供線、複數位元線、複數反相位元線以及複數接地線設置在一第二金屬層中;以及該第一金屬層位於該第二金屬層與該半導體之一層之間,該半導體裝置之該層具有該等第一鰭式主動線。 The semiconductor device of claim 9, wherein the complex digital lines of the first 單埠SRAM cells are disposed in a first metal layer; the plurality of power supply lines of the first 單埠SRAM cells, a plurality of bit lines, a plurality of anti-phase elements, and a plurality of ground lines are disposed in a second metal layer; and the first metal layer is between the second metal layer and a layer of the semiconductor, the layer of the semiconductor device There are these first fin active lines. 一種半導體裝置,包括:一第一SRAM巨集,其中該第一SRAM巨集包括複數第一單埠SRAM胞以及複數第二週邊邏輯電路,該等第一單埠 SRAM胞被排列成在一第一方向上具有一第一間距,並在一第二方向上具有一第二間距,該第一方向垂直該第二方向,該等第一單埠SRAM胞具有複數第一鰭式場效電晶體,其具有複數第一閘極特徵以及複數第一鰭式主動線,該等第二週邊邏輯電路具有複數第二鰭式場效電晶體,其具有複數第二閘極特徵以及複數第二鰭式主動線,該等第二閘極特徵被排列成在該第二方向上具有一第三間距,該等第二鰭式主動線被排列成在該第一方向上具有一第四間距;以及一第二SRAM巨集,其中該第二SRAM巨集具有複數第三雙埠SRAM胞以及複數第四週邊邏輯電路,該等第三雙埠SRAM胞被排列成在該第一方向上具有一第五間距,並在該第二方向上具有一第六間距,該等第三雙埠SRAM胞具有複數第三鰭式場效電晶體,其具有複數第三閘極特徵以及複數第三鰭式主動線,該等第四週邊邏輯電路具有複數第四鰭式場效電晶體,其具有複數第四閘極特徵以及複數第四鰭式主動線,該等第四閘極特徵被排列成在該第二方向上具有該第三間距,該等第四鰭式主動線被排列成在該第一方向上具有該第四間距;其中,該第二間距大約是該第三間距的2倍;該第六間距大約等於該第二間距;該第一與第四間距之間的一第一比例並非整數;並且在該第五與第四間距之間的一第二比例係為一整數。 A semiconductor device comprising: a first SRAM macro, wherein the first SRAM macro comprises a plurality of first SRAM cells and a plurality of second peripheral logic circuits, the first The SRAM cells are arranged to have a first pitch in a first direction and a second pitch in a second direction, the first direction being perpendicular to the second direction, the first 單埠SRAM cells having a plurality of a first fin field effect transistor having a plurality of first gate features and a plurality of first fin active lines, the second peripheral logic circuits having a plurality of second fin field effect transistors having a plurality of second gate features And a plurality of second fin active lines, the second gate features being arranged to have a third pitch in the second direction, the second fin active lines being arranged to have a first direction a fourth pitch; and a second SRAM macro, wherein the second SRAM macro has a plurality of third dual-slice SRAM cells and a plurality of fourth peripheral logic circuits, the third dual-SRAM cells being arranged at the first Having a fifth pitch in the direction and a sixth pitch in the second direction, the third double 埠SRAM cells having a plurality of third fin field effect transistors having a plurality of third gate features and a plurality of Tri-fin active line, the fourth perimeter The logic circuit has a plurality of fourth fin field effect transistors having a plurality of fourth gate features and a plurality of fourth fin active lines, the fourth gate features being arranged to have the third pitch in the second direction The fourth fin active lines are arranged to have the fourth pitch in the first direction; wherein the second pitch is approximately twice the third pitch; the sixth pitch is approximately equal to the second pitch A first ratio between the first and fourth pitches is not an integer; and a second ratio between the fifth and fourth pitches is an integer. 如申請專利範圍第16項所述之半導體裝置,其中該第一比 例為10.5,並且該第二比例為15。 The semiconductor device of claim 16, wherein the first ratio An example is 10.5 and the second ratio is 15. 如申請專利範圍第16項所述之半導體裝置,其中一閘極特徵重疊一鰭式主動線,用以形成該等第一鰭式場效電晶體之一者。 The semiconductor device of claim 16, wherein a gate feature overlaps a fin active line for forming one of the first fin field effect transistors. 如申請專利範圍第16項所述之半導體裝置,其中該等閘極特徵之一者重疊該等鰭式主動線之兩者,用以形成該等第一鰭式場效電晶體之兩者。 The semiconductor device of claim 16, wherein one of the gate features overlaps both of the fin active lines to form both of the first fin field effect transistors. 如申請專利範圍第16項所述之半導體裝置,其中該等雙埠SRAM胞包括一寫入埠部分以及一讀取埠部分;以及該寫入埠部分大致相同於該單埠SRAM胞。 The semiconductor device of claim 16, wherein the double-sided SRAM cells comprise a write buffer portion and a read buffer portion; and the write buffer portion is substantially identical to the top SRAM cell.
TW103146488A 2014-02-27 2014-12-31 Integrated circuit layout and semiconductor device TWI552314B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US14/191,789 US9257439B2 (en) 2014-02-27 2014-02-27 Structure and method for FinFET SRAM

Publications (2)

Publication Number Publication Date
TW201539718A true TW201539718A (en) 2015-10-16
TWI552314B TWI552314B (en) 2016-10-01

Family

ID=53882980

Family Applications (1)

Application Number Title Priority Date Filing Date
TW103146488A TWI552314B (en) 2014-02-27 2014-12-31 Integrated circuit layout and semiconductor device

Country Status (4)

Country Link
US (6) US9257439B2 (en)
KR (2) KR101706420B1 (en)
CN (1) CN104882444B (en)
TW (1) TWI552314B (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10056390B1 (en) 2017-04-20 2018-08-21 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET SRAM having discontinuous PMOS fin lines
TWI715127B (en) * 2019-07-30 2021-01-01 瑞昱半導體股份有限公司 Integrated circuit layout method
CN112347728A (en) * 2019-08-08 2021-02-09 瑞昱半导体股份有限公司 Integrated circuit layout method
TWI755868B (en) * 2019-09-26 2022-02-21 台灣積體電路製造股份有限公司 Semiconductor device and fabricating method thereof
TWI794752B (en) * 2020-06-12 2023-03-01 台灣積體電路製造股份有限公司 Integrated circuit and forming method thereof

Families Citing this family (82)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9257439B2 (en) 2014-02-27 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET SRAM
US9418728B2 (en) * 2014-07-24 2016-08-16 Taiwan Semiconductor Manufacturing Company, Ltd. Dual-port static random-access memory cell
CN105719688B (en) * 2014-12-04 2019-03-29 中芯国际集成电路制造(上海)有限公司 SRAM memory and the method for forming SRAM memory
US10475886B2 (en) * 2014-12-16 2019-11-12 International Business Machines Corporation Modified fin cut after epitaxial growth
KR102347185B1 (en) * 2015-02-03 2022-01-04 삼성전자주식회사 Semiconductor device and method for fabricating the same
US9496854B2 (en) * 2015-03-10 2016-11-15 International Business Machines Corporation High-speed latch circuits by selective use of large gate pitch
US9673056B2 (en) 2015-03-16 2017-06-06 International Business Machines Corporation Method to improve finFET cut overlay
KR102593109B1 (en) * 2015-09-23 2023-10-26 삼성전자주식회사 Method for forming semiconductor device, structure of the same
US10079186B2 (en) * 2015-09-23 2018-09-18 Samsung Electronics Co., Ltd. Semiconductor device and method of fabricating the same
US20170140992A1 (en) * 2015-11-16 2017-05-18 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor and method for fabricating the same
KR102413371B1 (en) * 2015-11-25 2022-06-28 삼성전자주식회사 Semiconductor device
JP2017108031A (en) * 2015-12-11 2017-06-15 ルネサスエレクトロニクス株式会社 Semiconductor device
CN106887249A (en) * 2015-12-15 2017-06-23 北京大学 Static RAM and its operating method
US9871046B2 (en) * 2016-02-24 2018-01-16 Taiwan Semiconductor Manufacturing Company, Ltd. SRAM circuits with aligned gate electrodes
US9786647B1 (en) * 2016-04-07 2017-10-10 United Microelectronics Corp. Semiconductor layout structure
US10510599B2 (en) * 2016-04-13 2019-12-17 Taiwan Semiconductor Manufacturing Company Limited FinFET switch
US10050046B2 (en) 2016-04-27 2018-08-14 United Microelectronics Corp. Static random-access memory (SRAM) cell array and forming method thereof
US9728541B1 (en) * 2016-06-17 2017-08-08 United Microelectronics Corp. Static random-access memory (SRAM) cell array and forming method thereof
TWI690056B (en) * 2016-04-27 2020-04-01 聯華電子股份有限公司 Static random-access memory (sram)cell array and forming method thereof
US10074657B2 (en) 2016-04-28 2018-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing fins and semiconductor device which includes fins
US9704859B1 (en) 2016-05-06 2017-07-11 International Business Machines Corporation Forming semiconductor fins with self-aligned patterning
KR102637621B1 (en) 2016-05-25 2024-02-20 삼성전자주식회사 Method for manufacturing semiconductor device
US10008500B2 (en) * 2016-06-06 2018-06-26 Globalfoundries Inc. Semiconductor devices
JP6875643B2 (en) * 2016-07-01 2021-05-26 株式会社ソシオネクスト Semiconductor integrated circuit equipment
JP2018010707A (en) * 2016-07-12 2018-01-18 ルネサスエレクトロニクス株式会社 Semiconductor device
WO2018025580A1 (en) * 2016-08-01 2018-02-08 株式会社ソシオネクスト Semiconductor integrated circuit device
US10282504B2 (en) * 2016-09-30 2019-05-07 Taiwan Semiconductor Manufacturing Co., Ltd. Method for improving circuit layout for manufacturability
US10515969B2 (en) 2016-11-17 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11625523B2 (en) 2016-12-14 2023-04-11 iCometrue Company Ltd. Logic drive based on standard commodity FPGA IC chips
TW202404049A (en) 2016-12-14 2024-01-16 成真股份有限公司 Logic drive based on standard commodity fpga ic chips
US10170625B2 (en) 2017-01-20 2019-01-01 Globalfoundries Singapore Pte. Ltd. Method for manufacturing a compact OTP/MTP technology
KR102336784B1 (en) * 2017-06-09 2021-12-07 삼성전자주식회사 Semiconductor device
US10050045B1 (en) 2017-06-16 2018-08-14 Taiwan Semiconductor Manufacturing Co., Ltd. SRAM cell with balanced write port
CN111785721B (en) * 2017-06-27 2023-06-06 联华电子股份有限公司 SRAM cell array
US10447274B2 (en) 2017-07-11 2019-10-15 iCometrue Company Ltd. Logic drive based on standard commodity FPGA IC chips using non-volatile memory cells
US10957679B2 (en) 2017-08-08 2021-03-23 iCometrue Company Ltd. Logic drive based on standardized commodity programmable logic semiconductor IC chips
KR102360410B1 (en) 2017-08-30 2022-02-08 삼성전자주식회사 Semiconductor device
US10630296B2 (en) 2017-09-12 2020-04-21 iCometrue Company Ltd. Logic drive with brain-like elasticity and integrality based on standard commodity FPGA IC chips using non-volatile memory cells
US10522528B2 (en) 2017-09-28 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device layout
US10861692B2 (en) 2017-10-26 2020-12-08 Taiwan Semiconductor Manufacturing Co., Ltd. Substrate carrier deterioration detection and repair
CN109904160A (en) * 2017-12-11 2019-06-18 中芯国际集成电路制造(北京)有限公司 Static RAM and its manufacturing method
KR102484393B1 (en) 2018-01-17 2023-01-03 삼성전자주식회사 Method of Manufacturing Semiconductor Device and Semiconductor Device by the Same
US10608642B2 (en) 2018-02-01 2020-03-31 iCometrue Company Ltd. Logic drive using standard commodity programmable logic IC chips comprising non-volatile radom access memory cells
TWI754722B (en) 2018-02-13 2022-02-11 聯華電子股份有限公司 Layout of semiconductor device, semiconductor device and method of forming the same
US10623000B2 (en) 2018-02-14 2020-04-14 iCometrue Company Ltd. Logic drive using standard commodity programmable logic IC chips
US10515954B2 (en) 2018-03-18 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having fin structures of varying dimensions
US11404423B2 (en) * 2018-04-19 2022-08-02 Taiwan Semiconductor Manufacturing Co., Ltd Fin-based strap cell structure for improving memory performance
US10629706B2 (en) 2018-05-10 2020-04-21 Taiwan Semiconductor Manufacturing Co., Ltd. Fin and gate dimensions for optimizing gate formation
US10608638B2 (en) 2018-05-24 2020-03-31 iCometrue Company Ltd. Logic drive using standard commodity programmable logic IC chips
US10741540B2 (en) * 2018-06-29 2020-08-11 Taiwan Semiconductor Manufacutring Company, Ltd. Integrated circuit layout method and device
US11094802B2 (en) 2018-08-17 2021-08-17 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and semiconductor device
US11309334B2 (en) 2018-09-11 2022-04-19 iCometrue Company Ltd. Logic drive using standard commodity programmable logic IC chips comprising non-volatile random access memory cells
US10892011B2 (en) 2018-09-11 2021-01-12 iCometrue Company Ltd. Logic drive using standard commodity programmable logic IC chips comprising non-volatile random access memory cells
DE102019117897B4 (en) 2018-09-28 2024-03-21 Taiwan Semiconductor Manufacturing Co., Ltd. SEMICONDUCTOR DEVICE FOR LOGIC AND MEMORY CO-OPTIMIZATION AND CIRCUIT
US10763863B2 (en) * 2018-09-28 2020-09-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device for logic and memory co-optimization
US10937762B2 (en) 2018-10-04 2021-03-02 iCometrue Company Ltd. Logic drive based on multichip package using interconnection bridge
US11616046B2 (en) 2018-11-02 2023-03-28 iCometrue Company Ltd. Logic drive based on chip scale package comprising standardized commodity programmable logic IC chip and memory IC chip
US11211334B2 (en) 2018-11-18 2021-12-28 iCometrue Company Ltd. Logic drive based on chip scale package comprising standardized commodity programmable logic IC chip and memory IC chip
US10664638B1 (en) * 2018-12-17 2020-05-26 Globalfoundries Inc. Measuring complex structures in semiconductor fabrication
US11127746B2 (en) * 2019-01-31 2021-09-21 Taiwan Semiconductor Manufacturing Co., Ltd. Fin-based strap cell structure for improving memory performance
US11675949B2 (en) 2019-02-21 2023-06-13 Taiwan Semiconductor Manufacturing Company, Ltd. Space optimization between SRAM cells and standard cells
US10818674B2 (en) * 2019-03-07 2020-10-27 Globalfoundries Inc. Structures and SRAM bit cells integrating complementary field-effect transistors
US11094695B2 (en) * 2019-05-17 2021-08-17 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit device and method of forming the same
CN112018042B (en) * 2019-05-30 2023-10-24 中芯国际集成电路制造(上海)有限公司 Semiconductor device and method of forming the same
US10985154B2 (en) 2019-07-02 2021-04-20 iCometrue Company Ltd. Logic drive based on multichip package comprising standard commodity FPGA IC chip with cryptography circuits
US11227838B2 (en) 2019-07-02 2022-01-18 iCometrue Company Ltd. Logic drive based on multichip package comprising standard commodity FPGA IC chip with cooperating or supporting circuits
US11887930B2 (en) 2019-08-05 2024-01-30 iCometrue Company Ltd. Vertical interconnect elevator based on through silicon vias
KR20210017309A (en) 2019-08-07 2021-02-17 삼성전자주식회사 Semi-dynamic flip-flop implemented as multi-height standard cell and method of designing integrated circuit including the same
US11087831B2 (en) 2019-08-22 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Gate-all-around memory devices
US11508735B2 (en) * 2019-08-28 2022-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Cell manufacturing
US11637056B2 (en) 2019-09-20 2023-04-25 iCometrue Company Ltd. 3D chip package based on through-silicon-via interconnection elevator
US11600526B2 (en) 2020-01-22 2023-03-07 iCometrue Company Ltd. Chip package based on through-silicon-via connector and silicon interconnection bridge
US11557590B2 (en) * 2020-02-19 2023-01-17 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor gate profile optimization
US11515211B2 (en) 2020-02-27 2022-11-29 Taiwan Semiconductor Manufacturing Co., Ltd. Cut EPI process and structures
US11404570B2 (en) 2020-02-27 2022-08-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices with embedded ferroelectric field effect transistors
TW202139270A (en) 2020-02-27 2021-10-16 台灣積體電路製造股份有限公司 A method of forming semiconductor structure
CN113658915B (en) * 2020-05-12 2023-05-12 中芯国际集成电路制造(上海)有限公司 Method for forming semiconductor device
US11527539B2 (en) 2020-05-29 2022-12-13 Taiwan Semiconductor Manufacturing Co., Ltd. Four-poly-pitch SRAM cell with backside metal tracks
US11488969B1 (en) 2021-04-08 2022-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Two-port SRAM cells with asymmetric M1 metalization
US11784228B2 (en) 2021-04-09 2023-10-10 Taiwan Semiconductor Manufacturing Company, Ltd. Process and structure for source/drain contacts
CN113488474A (en) * 2021-07-15 2021-10-08 广东省大湾区集成电路与系统应用研究院 High-density static random access memory bit cell structure and process method thereof
US11665877B1 (en) 2021-12-29 2023-05-30 International Business Machines Corporation Stacked FET SRAM design

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005142289A (en) * 2003-11-05 2005-06-02 Toshiba Corp Semiconductor storage device
KR100654535B1 (en) * 2005-05-18 2006-12-05 인터내셔널 비지네스 머신즈 코포레이션 Finfet sram cell using inverted finfet thin film transistors
KR100675290B1 (en) 2005-11-24 2007-01-29 삼성전자주식회사 Method of fabricating semiconductor devices having mcfet/finfet and related device
US8124976B2 (en) * 2005-12-02 2012-02-28 Nec Corporation Semiconductor device and method of manufacturing the same
EP1804282A1 (en) 2005-12-29 2007-07-04 Interuniversitair Microelektronica Centrum vzw ( IMEC) Methods for manufacturing dense integrated circuits
US7812373B2 (en) * 2007-02-12 2010-10-12 Infineon Technologies Ag MuGFET array layout
US8642474B2 (en) * 2007-07-10 2014-02-04 Advanced Micro Devices, Inc. Spacer lithography
US7829951B2 (en) * 2008-11-06 2010-11-09 Qualcomm Incorporated Method of fabricating a fin field effect transistor (FinFET) device
US7989355B2 (en) * 2009-02-12 2011-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method of pitch halving
US8004042B2 (en) * 2009-03-20 2011-08-23 Taiwan Semiconductor Manufacturing Company, Ltd. Static random access memory (SRAM) cell and method for forming same
JP2011019741A (en) 2009-07-16 2011-02-03 Hoya Corp Biopsy forceps for endoscope
US8258572B2 (en) * 2009-12-07 2012-09-04 Taiwan Semiconductor Manufacturing Company, Ltd. SRAM structure with FinFETs having multiple fins
US9362290B2 (en) * 2010-02-08 2016-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Memory cell layout
US8675397B2 (en) 2010-06-25 2014-03-18 Taiwan Semiconductor Manufacturing Company, Ltd. Cell structure for dual-port SRAM
US8964455B2 (en) * 2010-03-10 2015-02-24 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for a SRAM circuit
US8621398B2 (en) * 2010-05-14 2013-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. Automatic layout conversion for FinFET device
US9159627B2 (en) * 2010-11-12 2015-10-13 Tela Innovations, Inc. Methods for linewidth modification and apparatus implementing the same
US8389383B1 (en) * 2011-04-05 2013-03-05 Micron Technology, Inc. Patterned semiconductor bases, and patterning methods
US8597994B2 (en) * 2011-05-23 2013-12-03 GlobalFoundries, Inc. Semiconductor device and method of fabrication
JP5705053B2 (en) * 2011-07-26 2015-04-22 ルネサスエレクトロニクス株式会社 Semiconductor device
US9152039B2 (en) * 2011-10-18 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple patterning technology method and system for achieving minimal pattern mismatch
US8669186B2 (en) * 2012-01-26 2014-03-11 Globalfoundries Inc. Methods of forming SRAM devices using sidewall image transfer techniques
US8964453B2 (en) * 2012-06-28 2015-02-24 Synopsys, Inc. SRAM layouts
US9012287B2 (en) * 2012-11-14 2015-04-21 Taiwan Semiconductor Manufacturing Company, Ltd. Cell layout for SRAM FinFET transistors
US9257439B2 (en) 2014-02-27 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET SRAM
US9184169B2 (en) * 2014-04-10 2015-11-10 Globalfoundries Inc. Methods of forming FinFET devices in different regions of an integrated circuit product

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10056390B1 (en) 2017-04-20 2018-08-21 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET SRAM having discontinuous PMOS fin lines
TWI638432B (en) * 2017-04-20 2018-10-11 台灣積體電路製造股份有限公司 Integrated circuit chip, semiconductor device and forming method thereof
US10490560B2 (en) 2017-04-20 2019-11-26 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET SRAM having discontinuous PMOS fin lines
US10818676B2 (en) 2017-04-20 2020-10-27 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET SRAM having discontinuous PMOS fin lines
US11488966B2 (en) 2017-04-20 2022-11-01 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET SRAM having discontinuous PMOS fin lines
TWI715127B (en) * 2019-07-30 2021-01-01 瑞昱半導體股份有限公司 Integrated circuit layout method
CN112347728A (en) * 2019-08-08 2021-02-09 瑞昱半导体股份有限公司 Integrated circuit layout method
TWI755868B (en) * 2019-09-26 2022-02-21 台灣積體電路製造股份有限公司 Semiconductor device and fabricating method thereof
US11469238B2 (en) 2019-09-26 2022-10-11 Taiwan Semiconductor Manufacturing Co., Ltd. Non-interleaving N-well and P-well pickup region design for IC devices
TWI794752B (en) * 2020-06-12 2023-03-01 台灣積體電路製造股份有限公司 Integrated circuit and forming method thereof

Also Published As

Publication number Publication date
US9673202B2 (en) 2017-06-06
US10971503B2 (en) 2021-04-06
CN104882444A (en) 2015-09-02
US9257439B2 (en) 2016-02-09
US20210225852A1 (en) 2021-07-22
KR20150101894A (en) 2015-09-04
KR101706420B1 (en) 2017-02-13
US20190096893A1 (en) 2019-03-28
KR20150123761A (en) 2015-11-04
US20150243667A1 (en) 2015-08-27
US11342337B2 (en) 2022-05-24
US20200066733A1 (en) 2020-02-27
US10141318B2 (en) 2018-11-27
US20160118390A1 (en) 2016-04-28
CN104882444B (en) 2018-01-26
US10461087B2 (en) 2019-10-29
TWI552314B (en) 2016-10-01
US20170271343A1 (en) 2017-09-21
KR101594743B1 (en) 2016-02-16

Similar Documents

Publication Publication Date Title
TWI552314B (en) Integrated circuit layout and semiconductor device
CN106057869B (en) Semiconductor device and method for manufacturing the same
US20160329338A1 (en) Semiconductor device
US20230247817A1 (en) Method of making semiconductor device which includes fins
US11302636B2 (en) Semiconductor device and manufacturing method of the same
US11616067B2 (en) Method of making semiconductor device which includes Fins
JP2013128059A (en) Pattern forming method
US9627389B1 (en) Methods to form merged spacers for use in fin generation in IC devices
JP2012038848A (en) Semiconductor device and manufacturing method thereof
CN106158663A (en) Form method and the semiconductor device thereof of the fin of FINFET semiconductor device
US11842964B2 (en) Semiconductor device
TW200820383A (en) Flash memory device and method of manufacturing the same
US9553048B1 (en) Semiconductor device and manufacturing method of semiconductor device
WO2023032025A1 (en) Columnar semiconductor manufacturing method
TWI774226B (en) Integrated chip and method of designing and manufacturing the same