TW201535059A - 微影製程及極紫外線微影製程 - Google Patents

微影製程及極紫外線微影製程 Download PDF

Info

Publication number
TW201535059A
TW201535059A TW103128744A TW103128744A TW201535059A TW 201535059 A TW201535059 A TW 201535059A TW 103128744 A TW103128744 A TW 103128744A TW 103128744 A TW103128744 A TW 103128744A TW 201535059 A TW201535059 A TW 201535059A
Authority
TW
Taiwan
Prior art keywords
target
patterned mask
mask
lithography process
exposure dose
Prior art date
Application number
TW103128744A
Other languages
English (en)
Other versions
TWI550361B (zh
Inventor
Yen-Cheng Lu
Shinn-Sheng Yu
Jeng-Horng Chen
Anthony Yen
Original Assignee
Taiwan Semiconductor Mfg Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Mfg Co Ltd filed Critical Taiwan Semiconductor Mfg Co Ltd
Publication of TW201535059A publication Critical patent/TW201535059A/zh
Application granted granted Critical
Publication of TWI550361B publication Critical patent/TWI550361B/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/22Exposing sequentially with the same light pattern different positions of the same surface
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70358Scanning exposure, i.e. relative movement of patterned beam and workpiece during imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/7055Exposure light control in all parts of the microlithographic apparatus, e.g. pulse length control or light interruption
    • G03F7/70558Dose control, i.e. achievement of a desired dose

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

在具有一光阻層的一標靶(例如,一半導體晶圓)上實施極紫外線微影製程。此方法包括提供沿著第一方向的一維圖案化罩幕。此圖案化罩幕包括一基板,此基板包括一第一區域及一第二區域。一多層鏡位於第一及第二區域之上,一吸收層位於第二區域中且位於多層鏡之上,以及一缺陷位於第一區域中。此方法更包括利用一照明器曝光圖案化罩幕,以及在曝光圖案化罩幕的期間中,將圖案化罩幕與標靶設置為沿著第一方向彼此相對移動。如此一來,此標靶所接收到的一累積曝光劑量為一最佳化曝光劑量。

Description

微影製程及極紫外線微影製程
本發明係有關於一種微影及極紫外線微影系統及製程,且特別是有關於一種改善缺陷可轉印性的微影及極紫外線微影系統及製程。
在過去數十年間,半導體積體電路(IC)工業已經歷快速成長。在半導體材料及設計方面的技術進步已經能夠製造持續縮小且更加複雜的電路。這些材料及設計方面的進步使得與加工及製造相關的技術也得以實現技術上的進步。作為最小組件的尺寸下降,許多的挑戰接踵而至。例如,對於能夠實現更高解析度之微影製程的需求逐漸增加。一種微影技術為極紫外線(extreme ultraviolet,EUV)微影製程。其他技術包括X射線微影製程(X-Ray lithography)、離子束投影微影製程(ion beam projection lithography)、電子束投影微影製程(electron beam projection lithography)以及多電子束無罩幕微影製程(multiple electron beam maskless lithography)。
對非常小的半導體技術節點(例如14nm,甚至是更小)而言,極紫外線微影製程是一種備受矚目的圖案化技術。與其他光學微影製程非常相似,在極紫外線微影製程中,需要 一罩幕以轉印晶圓,差異之處在於極紫外線微影製程採用的光源為波長在極紫外線(EUV)波段的光,例如,在約13.5nm。對波長13.5nm的光而言,大部分材料皆為高度吸收。因此,在極紫外線微影製程中,通常採用反射光學系統,而非折射光學系統。雖然極紫外線微影製程的既有方法已普遍足以達成預期的目標,然而這些方法卻無法完全滿足各方面的所有需求。例如,缺陷可轉印性(defect printability)成為極紫外線微影製程中一個更嚴重的問題。因此在本領域中需要尋求進一步的改善。
本發明之一實施例係揭示一種微影製程,包括:提供一圖案化罩幕,具有沿著一第一方向的一或多個一維圖案;提供一標靶,具有一感光層設置於其上;利用一照明器曝光圖案化罩幕,其中照明器具有一光強度;以及將圖案化罩幕的一圖像與標靶設置為在一時間沿著第一方向彼此相對移動,此標靶在上述時間中所接收到的一累積曝光劑量為一最佳化曝光劑量。
本發明之另一實施例係揭示一種微影製程,包括:提供一圖案化罩幕,具有沿著一第一方向的多個一維圖案;提供一標靶,具有一感光層設置於其上;利用一照明器曝光圖案化罩幕,其中照明器具有一第一光強度;以及在曝光圖案化罩幕的期間,將圖案化罩幕設置為停留在一固定的位置,並且將標靶設置為沿著第一方向以一速度從一第一位置移動到一第二位置,此標靶所接收到的一累積曝光劑量為一最佳化 曝光劑量。
本發明之又一實施例係揭示一種極紫外線微影製程,包括:提供一標靶,具有一感光層設置於其上;提供一圖案化罩幕,其具有沿著一第一方向的一或多個一維圖案,且此圖案化罩幕包括:一基板,包括一第一區域及一第二區域;一多層鏡位於第一區域及第二區域之上;一吸收層位於第二區域中且位於多層鏡之上;以及一缺陷位於第一區域中;利用一照明器曝光圖案化罩幕,其中照明器具有一第一光強度;以及在曝光圖案化罩幕的期間,將圖案化罩幕的一圖像與標靶設置為沿著該第一方向以一速度從一第一位置到一第二位置彼此相對移動,此標靶所接收到的一累積曝光劑量為一最佳化曝光劑量。
為讓本發明之上述和其他目的、特徵、和優點能更明顯易懂,下文特舉出較佳實施例,並配合所附圖式,作詳細說明如下。應注意的是,按照本技術領域的標準做法,各種特徵結構並未依照比例繪示。事實上,為使討論更加清晰,各種特徵結構的尺寸可任意放大或縮小。
10‧‧‧微影製程系統(極紫外線微影製程系統)
32‧‧‧輻射源(極紫外線輻射源)
34‧‧‧照明器
35‧‧‧罩幕平台
36‧‧‧罩幕(圖案化罩幕)
37‧‧‧圖案化罩幕
38‧‧‧投影光學盒
40‧‧‧標靶
42‧‧‧基板平台
70‧‧‧圖案化輻射曝光
105‧‧‧導電層
110‧‧‧罩幕基板
115‧‧‧缺陷
120‧‧‧多重膜層(反射多重膜層)
130‧‧‧保護層
140‧‧‧吸收層
150‧‧‧不透光區域
160‧‧‧反射區域
170‧‧‧缺陷區域(相位缺陷區域)
210‧‧‧多邊形
210A‧‧‧多邊形
220‧‧‧場域
300‧‧‧方法
302、304、306、308‧‧‧步驟
310‧‧‧區域
310A‧‧‧區域
510‧‧‧結構
AB‧‧‧第一方向
C‧‧‧第一位置
D‧‧‧第二位置
L‧‧‧長度
v‧‧‧速度
第1圖為一方塊圖,用以繪示依據一些實施例所建構之用以實施一或多個實施例之微影製程系統;第2圖為一剖面示意圖,用以繪示依據一些實施例所建構之極紫外線罩幕在一微影製程不同階段的一實施例;第3圖為一俯視示意圖,用以繪示依據一些實施例所建構 之用以實施一或多個實施例之微影製程之一罩幕;第4圖為一流程圖,用以繪示依據一些實施例建構一微影製程系統之流程;第5圖為一透視示意圖,用以繪示依照第4圖所繪示之方法而使用於微影製程中的一罩幕及一標靶,其中此微影製程用以實施一或多個實施例;第6圖為一平面示意圖,用以繪示依據一些實施例所建構之用以實施一或多個實施例之微影製程之另一罩幕。
應可了解的是本說明書以下的揭露內容提供許多不同的實施例或範例,以實施本發明的不同特徵結構。而本說明書以下的揭露內容是敘述各個構件及其排列方式的特定範例,以求簡化本發明的說明。當然,這些特定的範例並非用以限定本發明。例如,若是本說明書以下的揭露內容敘述了將一第一特徵結構形成於一第二特徵結構之上或上方,即表示其包含了所形成的上述第一特徵結構與上述第二特徵結構是直接接觸的實施例,亦包含了尚可將附加的特徵結構形成於上述第一特徵結構與上述第二特徵結構之間,而使上述第一特徵結構與上述第二特徵結構可能未直接接觸的實施例。另外,本發明的說明中不同範例可能使用重複的參考符號及/或用字。這些重複符號或用字係為了簡化與清晰的目的,並非用以限定各個實施例及/或所述外觀結構之間的關係。
此外,本說明書中所使用之空間相對用語,例如”向下”、”之下”、”下部”、”之上”、”上部”及其他類似之用語, 係用以簡化描述或敘述一部件或特徵結構與另一(些)部件或特徵結構之相對關係,如圖式所繪示。除了在圖示中所繪示的相對關係外,這些空間相對用語亦可涵蓋裝置在使用或操作中的不同排列方式。舉例而言,若翻轉圖示中所繪示的裝置,則原本描述為其他部件或特徵結構”下方”或”之下”的部件,應排列為位於上述其他部件或特徵結構”上方”或”之上”。因此,例示性用語”之下”可包括之上或之下兩種排列方式。裝置可以其他定位或方向排列(旋轉90度或以其他方向排列),並且本說明書所使用的空間相對描述同樣可以相應地進行解釋。
請參照第1圖,其揭露具有本發明之一或多個實施例之優點的一極紫外線微影製程系統10。微影製程系統10僅繪示部分,並且以示意的方式繪示。在本實施例中,微影製程系統10是一個極紫外線微影製程系統,其設計成藉由極紫外線對光阻層進行曝光。上述光阻層對極紫外線輻射具光敏性。極紫外線微影製程系統10使用一輻射源32來產生極紫外線,例如具有波長介於1nm至100nm的範圍之間的極紫外線。在一個特定實施例中,極紫外線輻射源32產生的極紫外線具有波長集中在約13.5nm。
極紫外線微影製程系統10也使用一照明器(illuminator)34。在各種實施例中,照明器34包括各種折射光學元件,例如單透鏡或具有多個透鏡(波帶片(zone plate))的透鏡系統或反射式的光學部件,例如單反射鏡或具有多個反射鏡的反射鏡系統,藉以使光從輻射源32指向罩幕36。在本實施例中,輻射源32產生在極紫外線波長範圍內的光,本實施例係使 用反射光學系統。然而,也可使用折射光學系統,例如,波帶片。在一實施例中,照明器34的反射鏡是可切換的,藉以將極紫外線反射到不同的照明位置上。在另一實施例中,照明器34之前的平台可額外包括其他可切換的反射鏡,這些可切換的反射鏡是可控制的,藉以使極紫外線指向具有照明器34的反射鏡之不同照明位置。因此,微影製程系統10能夠實現不同的照明模式而不犧牲照明能量。
極紫外線微影製程系統10也包括一罩幕平台35,用以固定光罩幕36(在本揭露中,罩幕、光罩幕和倍縮光罩幕(reticle)等術語用以指示相同的物品)。罩幕36可為穿透型罩幕或反射型罩幕。在本實施例中,罩幕36為反射型罩幕,且下文中將有更詳細的描述。
依據本發明之多個實施例,接著將更詳細的描述罩幕36的結構及其製造方法。罩幕製造程序包括兩個操作步驟:空白罩幕製造步驟及罩幕圖案化步驟。在空白罩幕製造步驟中,藉由在一合適的基板上沉積合適的膜層(例如,多個反射膜層)以形成一空白罩幕。在罩幕圖案化步驟中,圖案化此空白罩幕,以使空白罩幕具有一積體電路的一膜層之設計圖案。接著利用已圖案化之罩幕將積體電路圖案(例如,積體電路膜層的設計圖案)轉移到一半導體晶圓上。此圖案可藉由多次的微影製程一次又一次地轉移到多個晶圓上。可利用數個罩幕(例如,15至30個的一組罩幕)構建一個完整的積體電路。一般來說,可製造各種罩幕以使用於各種製程中。
接下來的說明內容係關於罩幕36、罩幕製造及罩 幕修復程序。罩幕製造及罩幕修復程序包括三個步驟:空白罩幕製造步驟、罩幕圖案化步驟及罩幕修復步驟。在空白罩幕製造步驟中,藉由在一合適的基板上沉積合適的膜層(例如,多個反射膜層)以形成一空白罩幕。在罩幕圖案化步驟中,圖案化此空白罩幕,以使空白罩幕具有與積體電路裝置(或晶片)相對應的膜層之設計圖案。可在罩幕圖案化步驟之前或之後實施罩幕修復步驟,以減少在空白罩幕上或圖案化罩幕上之缺陷的可轉印性。經過修復之後,利用已圖案化之罩幕將此設計圖案轉移到一半導體晶圓上。此設計圖案可藉由多次的微影製程一次又一次地轉移到多個晶圓上。可利用數個罩幕(例如,15至30個的一組罩幕)構建一個完整的積體電路。
一般來說,可製造各種罩幕以使用於各種製程中。極紫外線罩幕的類型包括雙光強度罩幕(binary intensity masks,BIM)和相位移罩幕(phase-shifting masks,PSM)。一例示性雙光強度罩幕包括一幾乎完全吸收區域(亦稱為暗區)及一反射區域。在不透光區域(opaque region)中,存在一吸收體,且入射光幾乎完全受到此吸收體的吸收。在反射區域中,移除此吸收體,且入射光受到多重膜層(multilayer,ML)的反射。相位移罩幕包括一吸收區域及一反射區域。從吸收區域反射的一部分的光與從反射區域反射的一部分的光之間的相位差(通常為180°)提高了解析度和圖像品質。相位移罩幕可以是衰減式相位移罩幕(attenuated PSM,AttPSM)或相交替式相位移罩幕(alternating PSM,AltPSM)。衰減式相位移罩幕從其吸收體通常具有2%-15%的反射率,而相交替式相位移罩幕從其吸收體 通常具有大於50%的反射率。
請參照第2圖,罩幕36包括一罩幕基板110,罩幕基板110是由低熱膨脹材料(low thermal expansion material,LTEM)所製成。低熱膨脹材料可包括摻雜二氧化鈦(TiO2)的二氧化矽(SiO2)及/或其他本技術領域習知的低熱膨脹材料。低熱膨脹材料的罩幕基板110用以最小化肇因於罩幕加熱所導致的圖像失真。在本實施例中,低熱膨脹材料的罩幕基板包括具有低缺陷度及平滑表面的材料。此外,為了靜電吸附(electrostatic chucking)的目的,可在低熱膨脹材料的罩幕基板110的背側表面形成導電層105(如圖中所繪示)。在一個實施例中,導電層105包括氮化鉻(CrN)或其他合適的導電材料。
罩幕36在前側表面上包括一反射多重膜層120設置於罩幕基板110之上。根據菲涅耳(Fresnel)方程式,當光線傳導穿過具有不同折射率的兩種材料之間的界面時會發生光反射。當折射率的差異值越大,則反射光的量越大。為了增加反射光的量,也可沉積由交錯設置的材料所構成之多重膜層,藉以增加界面的數量,並且可針對多重膜層中的每一層選擇適當的厚度,藉此讓從不同界面反射的光產生建設性干涉。然而,多重膜層所使用的材料對光的吸收會限制所能夠達到的最高反射率。多重膜層120包括多組成對的薄膜組,例如,成對的鉬-矽(Mo/Si)薄膜組(例如,在每一組薄膜對中,鉬層位於矽層之上或之下)。此外,多重膜層120可包括成對的鉬-鈹(Mo/Be)薄膜組,或任何在極紫外線波長範圍中具有高反射性之合適的材料。多重膜層120之每一層的厚度取決於極紫外線的波長及 入射角。調整多重膜層120的厚度,以使在每個界面所反射的極紫外線的建設性干涉最大化,並且使極紫外線受到多重膜層120的吸收最小化。可選擇多重膜層120,使其對於一選定的輻射類型及/或波長能夠提供高反射率。在一個典型的例子中,在多重膜層120中,成對的薄膜組之數量介於20到80對之間,成對的薄膜組也可能是任何數量。在一實施例中,多重膜層120包括40對的鉬-矽薄膜組。每一對鉬-矽薄膜組具有7nm的厚度,因此總厚度為280nm。在本實施例中,可達到70%的反射率。
罩幕36包括保護層130形成在多重膜層120之上,保護層130具有一種或多種功能。在一實施例中,保護層130的功能是作為圖案化製程或其他操作步驟中的蝕刻停止層(例如,修復步驟或清潔步驟)。在另一實施例中,保護層130的功能是防止多重膜層120氧化。保護層130可以包括一個單一膜層或多重膜層,以實現其預定的功能。在一些實施例中,保護層包括一覆蓋層設置在多重膜層120之上,以及一緩衝層設置在覆蓋層之上。設計覆蓋層的目的是為了防止多重膜層120氧化。在一些實例中,覆蓋層包括厚度4至7nm的矽。在其他實例中,可選擇一低溫沉積製程形成覆蓋層,以防止多重膜層120的相互擴散(inter-diffusion)。緩衝層形成於覆蓋層之上,用以作為圖案化製程或修復製程中吸收層的蝕刻停止層。緩衝層具有與吸收層不同的蝕刻特性。在一些實施例中,緩衝層包括釕(ruthenium,Ru)、釕化合物(例如,硼化釕(RuB)、矽化釕(RuSi))、鉻(chromium,Cr)、鉻的氧化物及鉻的氮化物。通常 選擇一低溫沉積製程形成緩衝層,以防止多重膜層120的相互擴散。
罩幕36亦包括一吸收層140形成在保護層130之上。在本實施例中,吸收層140吸收投射到圖案化罩幕上的極紫外線波長範圍之輻射。吸收層140包括多重膜層,且這些膜層包含鉻、氧化鉻、氮化鉻、鈦、氧化鈦、氮化鈦、鉭、氧化鉭、氮化鉭、氮氧化鉭、氮硼化鉭、氧硼化鉭、氮氧硼化鉭、鋁、鋁-銅、氧化鋁、銀、氧化銀、鈀、釕、鉬、其他合適的材料,或上述材料之組合。藉由正確地配置多重膜層,可利用每個膜層不同的蝕刻特性,使吸收層140在之後的蝕刻製程過程中提供製程的操作彈性。
然後,依據積體電路的佈局圖案(或簡稱為積體電路圖案)圖案化吸收層140。圖案化吸收層140以定義不透光區域150及反射區域160,在不透光區域150中,保持吸收層140存在,而在反射區域160中,移除吸收層140。
在本實施例中,罩幕36包括至少一缺陷115。缺陷115可包括例如凸塊或凹坑,這些凸塊或凹坑是位於低熱膨脹材料的罩幕基板110的表面上(反射多重膜層120下方),或是埋設於反射多重膜層120之中。缺陷115可能在製造低熱膨脹材料的罩幕基板110的製程、製造反射多重膜層120的製程或任何其它製程中形成。缺陷115可能會導致所有後續位於其上方的膜層產生局部變形,而形成一個變形區域,稱之為缺陷區域170,在本實施例中,至少有一個反射區域160包括一缺陷區域170。對於從反射區域160中缺陷區域170所在處反射的光線而言,缺 陷區域170可能會對於此光線的相位會造成很大的影響。這就是缺陷區域170之所以也被稱為相位缺陷區域170的原因。舉例而言,假設所有後續形成的膜層都以保形(conformal)的方式沉積,高度或深度為輻射源32的波長四分之一的缺陷115可能會導致從這個區域反射的光線產生180°相位差。事實上,一個相對小於180°的相位差,例如,30°,可對微影製程窗口產生顯著的影響,甚至會引起圖案保真度(fidelity)的問題。因此,需要一個無缺陷的低熱膨脹材料的罩幕基板110及一個無缺陷的反射多重膜層120。然而,這使得罩幕製造受到限制,並且可能使罩幕製造變得更加昂貴。本發明提供一方法300,可用以減少相位缺陷區域170的影響。
請參照第3圖,圖案化罩幕36的反射區域160(或是折射型罩幕的透光區域)配置為多邊形210,多邊形210具有沿著第一方向AB的長度L,代表電路設計,以及不透光區域150配置為場域(field)220,代表罩幕上不包括多邊形的背景區域。圖案化罩幕36在反射區域160中具有一或多個缺陷或缺陷區域170。請再次參照第1圖,微影製程系統10還採用一投影光學盒(projection optical box,POB)38,根據本領域習知的設計選擇,投影光學盒38可包括折射式光學系統及/或反射式光學系統。投影光學盒38將圖案化的輻射導向標靶40(例如,半導體晶圓)上。標靶40包括對輻射具光敏性的感光層(例如,光阻或阻劑)。輻射曝光將光阻中的高分子破壞成碎片,這些碎片優先溶解於顯影溶液中。取決於光阻的類型,顯影溶液可移除曝光或未曝光的部分,以定義一圖案。輻射曝光的適當劑量稱之 為最佳化曝光劑量。最佳化曝光劑量是基於用以使圖案化罩幕上的預定圖案在基板上達到預定尺寸的曝光劑量。
可利用基板平台42承載標靶40。基板平台42提供控制基板位置的功能,使得圖案化罩幕36的圖像以一重複的方式(雖然其它微影方法亦有可能)掃描到基板上。
第4圖是用於一超紫外光微影製程之方法300的流程圖。根據本發明之各種實施例,方法300可用以在積體電路的製造過程中實施微影製程。第5圖是依據方法300建構之微影製程系統之透視示意圖。請參照第1圖及第4圖,方法300包括操作步驟302,將圖案化罩幕36裝載到微影製程系統10。在方法300中的操作步驟302還可包括其它步驟,例如將已修復之罩幕37固定在罩幕平台後的對準(alignment)。
仍請參照第1圖及第4圖,方法300還包括操作步驟304,將標靶40裝載到微影製程系統10的基板平台42。在本實施例中,標靶40為半導體基板,例如矽晶圓。在標靶40上塗有光阻層,此光阻層對極紫外線具光敏性。光阻層是藉由微影曝光製程使其圖案化,使得圖案化罩幕36的積體電路設計佈局(積體電路圖案)轉移到光阻層。
請參照第4圖及第5圖,方法300還包括操作步驟306,將圖案化罩幕36與標靶40設置為沿著第一方向(AB方向)彼此相對移動。在一實施例中,圖案化罩幕36停留在一個固定的位置,而標靶40沿著第一方向(AB方向)移動。在另一實施方案中,圖案化罩幕36沿著第一方向(AB方向)移動,而標靶40停留在一個固定的位置。在又一實施例中,圖案化罩幕36與標 靶40兩者沿著第一方向(AB方向)相對地移動。
請再次參照第4圖及第5圖,方法300進行到操作步驟308,對標靶40進行微影曝光製程。來自輻射源32的極紫外線藉由照明器34進行調整,圖案化罩幕36之積體電路的極紫外線圖像從圖案化罩幕36受到導引而轉移到標靶40。
為了清晰起見,第5圖已經過簡化,以更佳地理解本發明的發明概念。圖案化罩幕36具有多邊形210和210A。多邊形210A具有缺陷區域170。在本實施例中,多邊形210與多邊形210A皆具有一第一尺寸以及垂直於第一尺寸的一第二尺寸。第一尺寸是沿著第一方向(AB方向),且第一尺寸基本上比第二尺寸更長。圖案化罩幕36停留在一個固定的位置,而標靶40沿著第一方向(AB方向)以一速度v從第一位置C移動到第二位置D。從第一位置C開始,標靶40的區域310及310A開始接收從圖案化罩幕36反射(或穿透)的一圖案化輻射曝光70。在標靶40向第二位置D移動的期間,區域310及310A持續接收圖案化輻射曝光70,直到標靶到達第二位置D。
在本實施例中,將圖案化輻射曝光70的光強度及標靶40的速度v設計成在標靶40到達第二位置D之後,區域310所接收的累積曝光劑量即為最佳化曝光劑量。此外,將圖案化輻射曝光70的光強度及標靶40的速度v設計成在第一位置C及第二位置D之間的每一個移動位置,區域310所接收的累積曝光劑量遠低於最佳化曝光劑量。因此,從缺陷區域170反射(或穿透)的輻射曝光不足以使缺陷區域170在標靶40中的相應區域410A是可轉印的(printable)。
藉由應用此缺陷不可轉印(defect-non-printable)的性質,在另一個實施例中,添加小尺寸的結構510到圖案化罩幕36,其稱之為圖案化罩幕37。舉例而言,為了降低圖案化罩幕37的脆弱性(fragility),結構510包括在多邊形210中的一條狀物(場域220配置為微結構510),如第6圖所示。在本實施例中,在第一方向中,結構510的尺寸遠小於多邊形310的尺寸。
基於以上所述,本揭露提出了一種微影系統及製程,此微影系統及製程在曝光製程中採用圖案化罩幕與標靶之間的相對移動。由於上述相對移動,標靶上的每一個點接收來自於圖案化罩幕之許多個點的累積曝光劑量。因此,來自於缺陷的曝光劑量遠低於將缺陷轉印在標靶上所需要的最佳化劑量。此微影系統及製程能夠使缺陷的可轉印性明顯降低。
本揭露是有關於一種微影系統及製程。在一實施例中,微影系統及製程包括提供一圖案化罩幕,具有沿著一第一方向的一或多個一維圖案。此微影系統及製程亦包括提供一標靶,具有一感光層設置於其上,利用一照明器曝光圖案化罩幕,其中照明器具有一光強度,以及在曝光圖案化罩幕的期間,將圖案化罩幕與標靶設置為沿著第一方向彼此相對移動。標靶所接收到的累積曝光劑量為一最佳化曝光劑量。
在另一實施例中,微影系統及製程包括提供一圖案化罩幕,具有沿著一第一方向的多個一維圖案。此微影系統及製程亦包括提供一標靶,具有一感光層設置於其上。此微影系統及製程亦包括利用一照明器曝光圖案化罩幕,此照明器具有一光強度。此微影系統及製程亦包括將圖案化罩幕設置為停 留在一固定的位置,並且將標靶沿著第一方向以一速度移動。在曝光圖案化罩幕的期間,此標靶從一第一位置移動到一第二位置。標靶所接收到的一累積曝光劑量為一最佳化曝光劑量。
在又一實施例中,一種極紫外線微影製程包括提供一標靶,其具有一感光層設置於其上,以及提供一圖案化罩幕,具有沿著一第一方向的一或多個一維圖案。此圖案化罩幕包括一基板,此基板包括一第一區域及一第二區域。此圖案化罩幕亦包括一多層鏡位於第一區域及第二區域之上,一吸收層位於第二區域中且位於多層鏡之上,以及一缺陷位於第一區域中。此極紫外線微影製程亦包括利用一照明器曝光圖案化罩幕,以及將圖案化罩幕與標靶設置為沿著第一方向以一速度彼此相對移動。在曝光圖案化罩幕的期間,圖案化罩幕或標靶從一第一位置到一第二位置。標靶所接收到的一累積曝光劑量為一最佳化曝光劑量。
雖然本發明已以數個較佳實施例揭露如上,然其並非用以限定本發明,任何所屬技術領域中具有通常知識者,在不脫離本發明之精神和範圍內,當可作任意之更動與潤飾,因此本發明之保護範圍當視後附之申請專利範圍所界定者為準。
300‧‧‧方法
302、304、306、308‧‧‧步驟

Claims (10)

  1. 一種微影製程,包括:提供一圖案化罩幕,具有沿著一第一方向的一或多個一維圖案;提供一標靶,具有一感光層設置於其上;利用一照明器曝光該圖案化罩幕,其中該照明器具有一光強度;以及將該圖案化罩幕的一圖像與該標靶設置為在一時間沿著該第一方向彼此相對移動,其中該標靶在該時間中所接收到的一累積曝光劑量為一最佳化曝光劑量。
  2. 如申請專利範圍第1項所述之微影製程,其中在曝光一場域的期間,該圖案化罩幕停留在一固定的位置,且該標靶沿著該第一方向移動,或是該標靶停留在一固定的位置,且該圖案化罩幕沿著該第一方向移動。
  3. 如申請專利範圍第1項所述之微影製程,其中該最佳化曝光劑量是基於用以使該圖案化罩幕上的一預定圖案在該標靶上達到一預定尺寸的一曝光劑量。
  4. 如申請專利範圍第1項所述之微影製程,其中該圖案化罩幕包括:一反射區域,配置為沿著該第一方向的一多邊形;一不透光區域,配置為一場域;一或多個小尺寸條狀物位於該反射區域中且垂直於該第一方向;以及一缺陷區域位於該反射區域中。
  5. 如申請專利範圍第1項所述之微影製程,其中該圖案化罩幕為一反射型罩幕或一穿透型罩幕。
  6. 一種微影製程,包括:提供一圖案化罩幕,具有沿著一第一方向的多個一維圖案;提供一標靶,具有一感光層設置於其上;利用一照明器曝光該圖案化罩幕,其中該照明器具有一第一光強度;以及在曝光該圖案化罩幕的期間,將該圖案化罩幕設置為停留在一固定的位置,並且將該標靶設置為沿著該第一方向以一速度從一第一位置移動到一第二位置,其中該標靶所接收到的一累積曝光劑量為一最佳化曝光劑量。
  7. 如申請專利範圍第6項所述之微影製程,其中該圖案化罩幕包括:沿著該第一方向的一或多個一維多邊形,其中一反射區域配置為該一維多邊形;一場域,其中一不透光區域配置為該場域;一或多個小尺寸場域條狀物垂直於該第一方向;以及一或多個缺陷區域位於該等一維多邊形的至少其中一個之中。
  8. 一種極紫外線微影製程,包括:提供一標靶,具有一感光層設置於其上;以及提供一圖案化罩幕,具有沿著一第一方向的一或多個一維圖案,且其中該圖案化罩幕包括:一基板,包括一第一區域及一第二區域; 一多層鏡位於該第一區域及該第二區域之上;一吸收層位於該第二區域中且位於該多層鏡之上;一缺陷位於該第一區域中;利用一照明器曝光該圖案化罩幕,其中該照明器具有一第一光強度;以及在曝光該圖案化罩幕的期間,將該圖案化罩幕的一圖像與該標靶設置為沿著該第一方向以一速度從一第一位置到一第二位置彼此相對移動,其中該標靶所接收到的一累積曝光劑量為一最佳化曝光劑量。
  9. 如申請專利範圍第8項所述之極紫外線微影製程,其中該第一光強度及該速度設定為:使該標靶從該第一位置移動到該第二位置之後,該標靶所接收到的一累積曝光劑量為一最佳化曝光劑量。
  10. 如申請專利範圍第8項所述之極紫外線微影製程,其中該圖案化罩幕更包括:一或多個小尺寸條狀物垂直於該第一方向。
TW103128744A 2013-03-13 2014-08-21 微影製程及極紫外線微影製程 TWI550361B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201361780130P 2013-03-13 2013-03-13
US14/209,450 US9034569B2 (en) 2013-03-13 2014-03-13 Extreme ultraviolet lithography process and mask

Publications (2)

Publication Number Publication Date
TW201535059A true TW201535059A (zh) 2015-09-16
TWI550361B TWI550361B (zh) 2016-09-21

Family

ID=51528530

Family Applications (1)

Application Number Title Priority Date Filing Date
TW103128744A TWI550361B (zh) 2013-03-13 2014-08-21 微影製程及極紫外線微影製程

Country Status (2)

Country Link
US (2) US9034569B2 (zh)
TW (1) TWI550361B (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109307989A (zh) * 2017-07-28 2019-02-05 台湾积体电路制造股份有限公司 光刻工艺方法
CN110955111A (zh) * 2018-09-27 2020-04-03 台湾积体电路制造股份有限公司 光罩及使用其的光微影方法
CN112305854A (zh) * 2019-07-31 2021-02-02 台湾积体电路制造股份有限公司 微影装置、安装光罩保护膜的方法及晶圆的制造方法

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9034569B2 (en) * 2013-03-13 2015-05-19 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography process and mask
US10921531B2 (en) 2018-09-12 2021-02-16 Senko Advanced Components, Inc. LC type connector with push/pull assembly for releasing connector from a receptacle using a cable boot

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7588869B2 (en) * 2003-12-30 2009-09-15 Lg Display Co., Ltd. Divided exposure method for making a liquid crystal display
US7567338B2 (en) * 2006-08-30 2009-07-28 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US9034569B2 (en) * 2013-03-13 2015-05-19 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography process and mask

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109307989A (zh) * 2017-07-28 2019-02-05 台湾积体电路制造股份有限公司 光刻工艺方法
CN110955111A (zh) * 2018-09-27 2020-04-03 台湾积体电路制造股份有限公司 光罩及使用其的光微影方法
CN110955111B (zh) * 2018-09-27 2023-08-22 台湾积体电路制造股份有限公司 光罩及使用其的光微影方法
CN112305854A (zh) * 2019-07-31 2021-02-02 台湾积体电路制造股份有限公司 微影装置、安装光罩保护膜的方法及晶圆的制造方法

Also Published As

Publication number Publication date
US20150227059A1 (en) 2015-08-13
US9244366B2 (en) 2016-01-26
US20140272721A1 (en) 2014-09-18
TWI550361B (zh) 2016-09-21
US9034569B2 (en) 2015-05-19

Similar Documents

Publication Publication Date Title
US11086227B2 (en) Method to mitigate defect printability for ID pattern
KR101485669B1 (ko) 극자외선 리소그래피 방법
TWI490633B (zh) 極紫外線光罩的形成方法
US8785084B2 (en) Method for mask fabrication and repair
US9442384B2 (en) Extreme ultraviolet lithography process and mask
TWI550361B (zh) 微影製程及極紫外線微影製程
US8663878B2 (en) Mask and method for forming the same
TWI587072B (zh) 微影系統、微影光罩及其製造方法
US20160048071A1 (en) Extreme Ultraviolet Lithography Process and Mask
KR100607201B1 (ko) 극자외선 리소그래피 공정에서 웨이퍼 상의 임계 치수편차를 보정하는 방법
JP2007306004A (ja) パターンをeuvマスクから基板に投影するための装置およびその方法
KR101713382B1 (ko) 극자외선 리소그래피 공정 및 마스크
KR101690373B1 (ko) 향상된 결함 복원성을 갖는 집적 회로를 제조하는 방법
US9448491B2 (en) Extreme ultraviolet lithography process and mask
US9354507B2 (en) Extreme ultraviolet lithography process and mask
US9535334B2 (en) Extreme ultraviolet lithography process to print low pattern density features
US9829785B2 (en) Extreme ultraviolet lithography process and mask
KR20100001817A (ko) Euv용 노광마스크 및 이를 이용한 반도체 소자의 형성방법
TWI595308B (zh) 光微影方法與系統
KR20090095388A (ko) 반사형 포토마스크의 제조방법
US9195135B2 (en) Method for mask fabrication and repair
KR20100042470A (ko) Euv 노광마스크의 형성 방법
KR20100019706A (ko) Euv 노광마스크 및 그 형성 방법
KR20130006748A (ko) 극자외선 노광마스크 및 이를 포함하는 노광기

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees