TW201532241A - Integrated circuit device and method for manufacturing the same - Google Patents

Integrated circuit device and method for manufacturing the same Download PDF

Info

Publication number
TW201532241A
TW201532241A TW103145709A TW103145709A TW201532241A TW 201532241 A TW201532241 A TW 201532241A TW 103145709 A TW103145709 A TW 103145709A TW 103145709 A TW103145709 A TW 103145709A TW 201532241 A TW201532241 A TW 201532241A
Authority
TW
Taiwan
Prior art keywords
dielectric layer
integrated circuit
height
circuit device
gate
Prior art date
Application number
TW103145709A
Other languages
Chinese (zh)
Other versions
TWI574373B (en
Inventor
Che-Hao Tu
William Wei-Lun Hong
Ying-Tsung Chen
Original Assignee
Taiwan Semiconductor Mfg Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Mfg Co Ltd filed Critical Taiwan Semiconductor Mfg Co Ltd
Publication of TW201532241A publication Critical patent/TW201532241A/en
Application granted granted Critical
Publication of TWI574373B publication Critical patent/TWI574373B/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • H01L21/31055Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823462MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0928Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors comprising both N- and P- wells in the substrate, e.g. twin-tub
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823878Complementary field-effect transistors, e.g. CMOS isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Ceramic Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

A method of forming an integrated circuit device includes forming dummy gates over a semiconductor substrate, depositing a first dielectric layer over the dummy gates, chemical mechanical polishing to recede the first dielectric layer to the height of the dummy gates, etching to recess the first dielectric layer below the height of the gates, depositing one or more additional dielectric layers over the first dielectric layer, and chemical mechanical polishing to recede the one or more additional dielectric layers to the height of the gates. The method provides integrated circuit devices having metal gate electrodes and an inter-level dielectric at the gate level that includes a capping layer. The capping layer resists etching and preserves the gate height through a replacement gate process.

Description

用於閘極層間介電層之化合物結構 Compound structure for gate interlayer dielectric layer

本發明係關於積體電路裝置,更關於以閘極後製製程形成具有高介電常數介電層與金屬閘極的積體電路裝置。 The present invention relates to an integrated circuit device, and more particularly to an integrated circuit device having a high dielectric constant dielectric layer and a metal gate formed by a gate post process.

為了增加裝置密度,多年來的研究主題為減少積體電路裝置的關鍵尺寸(CD)。上述研究結果係將習知閘極結構置換為高介電常數介電層與金屬閘極。高介電常數介電層比同厚度的氧化矽層具有更高的電容。具有適當功函數的金屬閘極,可避免靠近高介電常數介電層與閘極界面的電荷載子消耗。用於p型與n型電晶體之閘極需要採用不同材料,以提供適當功函數。 In order to increase device density, the subject of research over the years has been to reduce the critical size (CD) of integrated circuit devices. The above findings replace the conventional gate structure with a high-k dielectric layer and a metal gate. The high-k dielectric layer has a higher capacitance than the yttria layer of the same thickness. A metal gate with a suitable work function avoids charge carrier consumption near the high-k dielectric layer and the gate interface. Gates for p-type and n-type transistors require different materials to provide an appropriate work function.

用以形成源極與汲極區之製程,不利於適用於閘極的金屬。在特定實施例中,修復源極與汲極佈植損傷的回火製程,可能會改變金屬閘極的功函數。上述問題導致多種新的製程技術,比如置換閘極(或後製閘極)製程。在置換閘極製程中,先以多晶矽而非金屬形成閘極堆疊。在形成源極與汲極區後,接著移除多晶矽以形成溝槽,再將所需的閘極金屬填入溝槽中。 The process used to form the source and drain regions is not conducive to the metal suitable for the gate. In a particular embodiment, repairing the tempering process of source and drain implant damage may alter the work function of the metal gate. The above problems have led to a variety of new process technologies, such as replacement gate (or post gate) processes. In the replacement gate process, a gate stack is formed first with polysilicon instead of metal. After the source and drain regions are formed, the polysilicon is then removed to form trenches, and the desired gate metal is filled into the trenches.

第1圖係本發明一實施例中,製程的流程圖。 1 is a flow chart of a process in an embodiment of the present invention.

第2至11圖係本發明另一實施例中,依據第1圖之製程形成積體電路裝置的示意圖。 2 to 11 are schematic views showing the formation of an integrated circuit device in accordance with the process of Fig. 1 in another embodiment of the present invention.

第12至13圖係本發明又一實施例中,以第1圖之製程形成積體電路裝置的示意圖。 12 to 13 are schematic views showing a device for forming an integrated circuit in the process of Fig. 1 in still another embodiment of the present invention.

習知技術中,移除虛置閘極製程可能會造成預期之外的閘極高度縮減,近而負面影響裝置效能。本發明解決上述問題的方法為與閘極高度等高的層間介電層(ILD0)為兩層或多層的複合物,其較上層對移除虛置閘極的蝕刻製程具有較高抗性。複合的ILD0在閘極置換製程中對閘極高度的維持性較佳,並可讓金屬閘極的積體電路裝置具有較易預測且一致的高度。 In the prior art, removing the dummy gate process may cause an unexpected height reduction of the gate, which may adversely affect device performance. The method for solving the above problem is that the interlayer dielectric layer (ILD0) having the same height as the gate is a composite of two or more layers, which is higher in resistance to the etching process for removing the dummy gate than the upper layer. The composite ILD0 maintains a good gate height during the gate replacement process and allows the metal gate integrated circuit device to have a relatively predictable and consistent height.

第1圖係本發明一實施例中,製程100的流程圖。第2至11圖係另一實施例中,以製程100形成電路裝置10A的示意圖。第12至13圖係又一實施例中,以製程100形成電路裝置10B的示意圖。 1 is a flow chart of a process 100 in an embodiment of the invention. 2 through 11 are schematic views of another embodiment in which the circuit device 10A is formed by the process 100. 12 through 13 are schematic views of a circuit device 10B formed by a process 100 in yet another embodiment.

製程100先進行步驟111,提供基板19。基板19具有合適的隔離區11。接著進行一系列的步驟112,以形成分隔的電晶體於基板19上。步驟112包含步驟115、117、與119。步驟115形成虛置閘極堆疊,步驟117圖案化虛置閘極堆疊以形成虛置閘極,而步驟119形成源極/汲極區23。這些步驟在不偏離本發明範疇的前提下可概括性地調整。這些步驟形成第2圖所示之結構。 The process 100 proceeds to step 111 to provide the substrate 19. The substrate 19 has a suitable isolation region 11. A series of steps 112 are then performed to form a separate transistor on the substrate 19. Step 112 includes steps 115, 117, and 119. Step 115 forms a dummy gate stack, step 117 patterns the dummy gate stack to form a dummy gate, and step 119 forms source/drain regions 23. These steps can be adjusted generally without departing from the scope of the invention. These steps form the structure shown in Fig. 2.

基板19可為包含半導體主體的任何合適基板。合適基板包含但不限於矽、絕緣層上矽(SOI)、鍺、碳化矽、砷化鎵、砷化鎵鋁、磷化銦、氮化鎵、或矽鍺。隔離區11通常為淺溝槽隔離(STI)區,但亦可為局部氧化矽(LOCOS)或其他合適製程形成的隔離區。基板19可經由任何合適方式掺雜。在大部份的實施例中,基板19經掺雜後具有PMOS區9與NMOS區13。 Substrate 19 can be any suitable substrate that includes a semiconductor body. Suitable substrates include, but are not limited to, germanium, germanium on insulator (SOI), germanium, tantalum carbide, gallium arsenide, aluminum gallium arsenide, indium phosphide, gallium nitride, or germanium. The isolation region 11 is typically a shallow trench isolation (STI) region, but may also be a local oxide germanium (LOCOS) or other suitable process isolation region. Substrate 19 can be doped via any suitable means. In most embodiments, substrate 19 has a PMOS region 9 and an NMOS region 13 after doping.

如第2圖所示,虛置閘極41包含高介電常數介電層15與虛置閘極47。高介電常數介電層15包含一或多層的高介電常數材料。在大部份的實施例中,高介電常數介電層15之導電性為至少五倍的氧化矽之導電性。高介電常數介電層可為鉿為主的材料如氧化鉿、氧化鉿矽、氮氧化鉿矽、氧化鉿鉭、氧化鉿鈦、氧化鉿鋯、或氧化鉿-氧化鋁合金。其他高介電常數介電層可包含但不限於氧化鋯、氧化鉭、氧化鋁、氧化釔、氧化鑭、氧化鍶鈦、或上述之組合。在大部份的實施例中,高介電常數介電層15的厚度介於5Å至50Å之間。 As shown in FIG. 2, the dummy gate 41 includes a high-k dielectric layer 15 and a dummy gate 47. The high-k dielectric layer 15 comprises one or more layers of high dielectric constant material. In most embodiments, the conductivity of the high-k dielectric layer 15 is at least five times greater than the conductivity of yttrium oxide. The high-k dielectric layer may be a ruthenium-based material such as ruthenium oxide, ruthenium oxide, ruthenium oxynitride, ruthenium oxide, ruthenium iridium oxide, yttrium zirconium oxide, or yttria-oxidized aluminum alloy. Other high-k dielectric layers may include, but are not limited to, zirconia, yttria, alumina, yttria, yttria, yttrium titanium oxide, or combinations thereof. In most embodiments, the high-k dielectric layer 15 has a thickness between 5 Å and 50 Å.

虛置閘極47可視情況包含額外層狀物(未圖示)。舉例來說,界面層可高介電常數介電層15與基板19之間的氧化所自然產生的結果。蓋層亦可形成於高介電常數介電層15與虛置閘極47之間,在移除虛置閘極47之製程(如步驟149)時保護高介電常數介電層15。 The dummy gate 47 may optionally include additional layers (not shown). For example, the interfacial layer can naturally produce the result of oxidation between the high-k dielectric layer 15 and the substrate 19. A cap layer may also be formed between the high-k dielectric layer 15 and the dummy gate 47 to protect the high-k dielectric layer 15 during the process of removing the dummy gate 47 (step 149).

虛置閘極47係由犧牲層形成,其組成可為適於其功能的任何組成。在大部份的實施例中,虛置閘極47為多晶矽。虛置閘極47的厚度近似於初始高度54A。在一般實施例中,初始高度54A介於800Å至1500Å之間。 The dummy gate 47 is formed of a sacrificial layer, and its composition may be any composition suitable for its function. In most embodiments, the dummy gate 47 is polysilicon. The thickness of the dummy gate 47 is approximately the initial height 54A. In a typical embodiment, the initial height 54A is between 800 Å and 1500 Å.

步驟411形成源極/汲極區23,包含形成一或多個側壁間隔物與虛置閘極47相鄰。大部份的實施例包含至少一氧化物為主的側壁間隔物27與氮化物為主的側壁間隔物31,如第2圖所示。步驟117圖案化虛置閘極堆疊層以形成虛置閘極堆疊41,且通常形成原生氧化物層43如第2圖所示。氧化物為主的側壁間隔物27與氮化物為主的側壁間隔物31,可控制源極/汲極區23形成時的掺質分佈。在大部份的實施例中,源極/汲極區23為矽化物。氧化物為主的側壁間隔物27與氮化物為主的側壁間隔物31亦可用以控制矽化製程。如第2圖所示,氮化物為主的側壁間隔物31形成虛置閘極47上的蓋層52。 Step 411 forms source/drain regions 23 including forming one or more sidewall spacers adjacent to dummy gates 47. Most of the embodiments include at least an oxide-based sidewall spacer 27 and a nitride-based sidewall spacer 31, as shown in FIG. Step 117 patterns the dummy gate stack layer to form the dummy gate stack 41, and typically forms the native oxide layer 43 as shown in FIG. The oxide-based sidewall spacers 27 and the nitride-based sidewall spacers 31 control the dopant distribution when the source/drain regions 23 are formed. In most embodiments, the source/drain regions 23 are germanides. The oxide-based sidewall spacers 27 and the nitride-based sidewall spacers 31 can also be used to control the deuteration process. As shown in Fig. 2, the nitride-based sidewall spacer 31 forms the cap layer 52 on the dummy gate 47.

在大部份的實施例中,氧化物為主的側壁間隔物27係由蝕刻性與氧化矽相同之介電材料形成。舉例來說,氧化物為主的側壁間隔物27可為一或多層材料(如氧化矽與碳氧化矽)。在大部份實施例中,氮化物為主的側壁間隔物31為一或多層材料(如氮化矽或其他蝕刻性與氮化矽類似的介電材料)。在一般實施例中,由氮化物為主之側壁間隔物31組成之蓋層52的厚度介於30Å至200Å之間。 In most embodiments, the oxide-based sidewall spacers 27 are formed of a dielectric material that is the same as the ruthenium oxide. For example, the oxide-based sidewall spacers 27 can be one or more layers of materials (such as hafnium oxide and tantalum carbonium oxide). In most embodiments, the nitride-based sidewall spacers 31 are one or more layers of material (such as tantalum nitride or other dielectric materials that are similar in etching properties to tantalum nitride). In a typical embodiment, the cap layer 52 comprised of nitride-based sidewall spacers 31 has a thickness between 30 Å and 200 Å.

製程100接著進行步驟123,沉積氧化矽為主的層間介電層35如第3圖所示。氧化矽為主的層間介電層35填入並溢流於虛置閘極堆疊41之間的空間50。在大部份的實施例中,氧化矽為主的層間介電層35為氧化矽。在一般實施例中,氧化矽為主的層間介電層35之沉積厚度介於2000Å至5000Å之間。步驟123形成氧化矽為主的層間介電層35的方法可為任何合適製程,通常選擇具有優良間隙填充特性的製程。在某些實施例 中,氧化矽為主的層間介電層35的沉積方法為採用流動性的氧化物前驅物。在某些實施例中,氧化矽為主的層間介電層35的沉積方法為高密度電漿(HDP)製程。在某些實施例中,氧化矽為主的層間介電層35的沉積方法為高深寬比(HARP)製程如次大氣壓CVD製程,其採用臭氧搭配四乙氧基矽烷(TEOS)。 The process 100 then proceeds to step 123 to deposit a yttria-based interlayer dielectric layer 35 as shown in FIG. A yttria-based interlayer dielectric layer 35 is filled in and overflows into the space 50 between the dummy gate stacks 41. In most embodiments, the yttria-based interlayer dielectric layer 35 is yttrium oxide. In a typical embodiment, the yttria-based interlayer dielectric layer 35 is deposited to a thickness between 2000 Å and 5000 Å. The method of forming the yttrium oxide-based interlayer dielectric layer 35 in step 123 may be any suitable process, and a process having excellent gap filling characteristics is generally selected. In some embodiments The deposition method of the interlayer dielectric layer 35 mainly composed of cerium oxide is a fluid oxide precursor. In some embodiments, the deposition method of the yttria-based interlayer dielectric layer 35 is a high density plasma (HDP) process. In some embodiments, the deposition method of the yttria-based interlayer dielectric layer 35 is a high aspect ratio (HARP) process such as a sub-atmospheric pressure CVD process using ozone with tetraethoxy decane (TEOS).

步驟127為化學機械研磨製程,其平坦化氧化矽為主的層間介電層35並使其降低至虛置閘極的蓋層52,如第4圖所示。步驟127的CMP製程可挑選為選擇性地移除氧化矽為主的層間介電層35而非蓋層52。 Step 127 is a chemical mechanical polishing process that planarizes the yttrium oxide-based interlayer dielectric layer 35 and reduces it to the dummy gate cap layer 52, as shown in FIG. The CMP process of step 127 can be selected to selectively remove the yttria-based interlayer dielectric layer 35 rather than the cap layer 52.

步驟131為蝕刻製程,可使氧化矽為主的層間介電層35凹陷至低於虛置閘極堆疊41的初始高度54A,如第5圖所示。在一般實施例中,步驟131蝕刻氧化矽為主的層間介電層35,直到其凹陷至比虛置閘極堆疊41的初始高度54A低150Å至500Å。在一般實施例中,上述步驟保留的氧化矽為主的層間介電層35其高度48介於300Å至1350Å之間。步驟131的蝕刻製程可為濕蝕刻或乾蝕刻,且可選擇性地移除氧化矽為主的層間介電層35而非氮化物為主之側壁間隔物31。 Step 131 is an etching process to recess the yttria-based interlayer dielectric layer 35 to be lower than the initial height 54A of the dummy gate stack 41, as shown in FIG. In a typical embodiment, step 131 etches the yttrium oxide-based interlayer dielectric layer 35 until it is recessed to be 150 Å to 500 Å lower than the initial height 54A of the dummy gate stack 41. In a typical embodiment, the yttria-based interlayer dielectric layer 35 retained in the above steps has a height 48 between 300 Å and 1350 Å. The etching process of step 131 may be wet etching or dry etching, and the interlayer dielectric layer 35 mainly composed of yttrium oxide may be selectively removed instead of the nitride-based sidewall spacer 31.

步驟135蝕刻移除全部或部份露出的氮化物為主的側壁間隔物31,如第12圖所示。步驟135可視情況進行。若進行步驟135,則最後形成的結構會有些許不同。綜上所述,第12圖形成裝置10B。對裝置10B進行的其他製程,與第6至11圖中對裝置10A進行之製程類似,如後續內容所述。步驟135回蝕刻氮化物為主的側壁間隔物31,可讓虛置閘極堆疊41之間的空間50變寬,以改善後續的間隙填充。在大部份的實施例 中,步驟135使氮化物為主的側壁間隔物31凹陷至氧化矽為主的層間介電層35的高度。步驟135可為乾蝕刻,以選擇性地移除氮化矽為主的介電層而非氧化矽為主的介電層與多晶矽。 Step 135 etches away all or a portion of the exposed nitride-based sidewall spacers 31 as shown in FIG. Step 135 can be performed as appropriate. If step 135 is performed, the resulting structure will be slightly different. In summary, Fig. 12 forms the device 10B. Other processes performed on device 10B are similar to those performed on device 10A in Figures 6 through 11, as described in the following. Step 135 etch back the nitride-based sidewall spacers 31 to widen the space 50 between the dummy gate stacks 41 to improve subsequent gap fill. In most of the examples In step 135, the nitride-based sidewall spacer 31 is recessed to the height of the yttrium oxide-based interlayer dielectric layer 35. Step 135 can be dry etching to selectively remove the tantalum nitride-based dielectric layer instead of the hafnium oxide-based dielectric layer and polysilicon.

步驟141沉積層間介電蓋層51,如第6圖所示。層間介電蓋層51可包含一或多個層狀物,其組成為任何合適組成。層間介電蓋層51之合適組成對後續製程(如步驟149)中移除虛置閘極47之蝕刻的抗蝕性,高於氧化矽為主的層間介電層35的組成。抗蝕性與介電常數通常難以兩全,因此大部份實施例之層間介電蓋層51之介電常數大於氧化矽為主的層間介電層35之介電常數。在一般實施例中層間介電蓋層51的組成為矽或氧化矽與下述物質中一或多者的化合物:碳、氮、與硼。在一般實施例中,層間介電蓋層51的組成為氮化矽、氮化矽碳、硼化矽、碳氧化矽、碳化矽、氮氧化矽、氮氧化矽碳、或上述之組合。在某些實施例中,層間介電蓋層51的厚度介於500Å至1000Å之間。層間介電蓋層51可由任何合適製程沉積,比如原子層沉積(ALD)、電漿增強CVD(PE-CVD)、或高電漿密度CVD(HPD-CVD)。 Step 141 deposits an interlayer dielectric cap layer 51 as shown in FIG. The interlayer dielectric cap layer 51 can comprise one or more layers of any suitable composition. The proper composition of the interlayer dielectric cap layer 51 is more resistant to etching of the dummy gate 47 in subsequent processes (e.g., step 149) than the composition of the interlayer dielectric layer 35 dominated by yttrium oxide. The resistivity and the dielectric constant are generally difficult to achieve, so that the dielectric constant of the interlayer dielectric cap layer 51 of most of the embodiments is larger than the dielectric constant of the interlayer dielectric layer 35 mainly composed of yttrium oxide. In a typical embodiment, the composition of the interlayer dielectric cap layer 51 is a compound of ruthenium or iridium oxide with one or more of the following: carbon, nitrogen, and boron. In a general embodiment, the composition of the interlayer dielectric cap layer 51 is tantalum nitride, tantalum nitride, tantalum boride, tantalum carbonitride, tantalum carbide, niobium oxynitride, niobium oxynitride, or a combination thereof. In some embodiments, the interlayer dielectric cap layer 51 has a thickness between 500 Å and 1000 Å. The interlayer dielectric cap layer 51 can be deposited by any suitable process, such as atomic layer deposition (ALD), plasma enhanced CVD (PE-CVD), or high plasma density CVD (HPD-CVD).

在某些實施例中,層間介電蓋層51包含兩層或多層。以第7圖為例,層間介電蓋層51包含第一層51A與第二層51B。在大部份的實施例中,第一層51A的形成方法為ALD,以提供良好的間隙填充。第二層51B可由成本較低的製程沉積,比如PE-CVD或HPD-CVD。在某些實施例中,層間介電蓋層51之第一層51A與第二層51B各自具有介於250Å至500Å之間的厚度。 In some embodiments, the interlayer dielectric cap layer 51 comprises two or more layers. Taking FIG. 7 as an example, the interlayer dielectric cap layer 51 includes a first layer 51A and a second layer 51B. In most embodiments, the first layer 51A is formed by ALD to provide good gap fill. The second layer 51B can be deposited by a lower cost process such as PE-CVD or HPD-CVD. In some embodiments, the first layer 51A and the second layer 51B of the interlayer dielectric cap layer 51 each have a thickness of between 250 Å and 500 Å.

製程100接著進行步驟145,進行CMP製程以露出虛置閘極47,如第8圖所示。步驟145之CMP製程可選擇性地移除層間介電蓋層51而非虛置閘極47之材料。在一般實施例中,步驟145之CMP製程使虛置閘極堆疊41之初始高度54A降低至高度54B。在某些實施例中,虛置閘極堆疊的初始高度54A降低了100Å至300Å。在某些實施例中,步驟145使虛置閘極堆疊之初始高度54A降低到介於600Å至1300Å之間的高度54B,即許多發明所用之合適閘極高度。在某些實施例中,步驟145使虛置閘極堆疊之初始高度降低了5%至25%。 Process 100 then proceeds to step 145 where a CMP process is performed to expose dummy gate 47, as shown in FIG. The CMP process of step 145 selectively removes the material of the interlayer dielectric cap layer 51 instead of the dummy gate 47. In the general embodiment, the CMP process of step 145 reduces the initial height 54A of the dummy gate stack 41 to a height 54B. In some embodiments, the initial height 54A of the dummy gate stack is reduced by 100 Å to 300 Å. In certain embodiments, step 145 reduces the initial height 54A of the dummy gate stack to a height 54B between 600 Å and 1300 Å, which is the appropriate gate height for many inventions. In some embodiments, step 145 reduces the initial height of the dummy gate stack by 5% to 25%.

步驟145之CMP製程薄化而非完全移除層間介電蓋層51。當層間介電蓋層51為第7圖所示之多層結構時,CMP製程將完全移除較上層的第二層51B,但保留至少較下層之部份第一層51A。在一般實施例中,層間介電蓋層51保留的部份其厚度介於70Å至300Å之間,足以提供所需的抗蝕性,但不過份的增加整體層間介電層7的介電常數。換言之,步驟145之CMP製程後的層間介電蓋層51,通常占層間介電層7之整體厚度的5%至45%。 The CMP process of step 145 is thinned rather than completely removing the interlayer dielectric cap layer 51. When the interlayer dielectric cap layer 51 is the multilayer structure shown in FIG. 7, the CMP process will completely remove the second layer 51B of the upper layer, but retain at least a portion of the first layer 51A of the lower layer. In a typical embodiment, the portion of the interlayer dielectric cap layer 51 remaining between 70 Å and 300 Å is sufficient to provide the desired corrosion resistance, but does not excessively increase the dielectric constant of the overall interlayer dielectric layer 7. . In other words, the interlayer dielectric cap layer 51 after the CMP process of step 145 generally occupies 5% to 45% of the overall thickness of the interlayer dielectric layer 7.

步驟100接著進行步驟149以移除虛置閘極47,如第9圖所示。虛置閘極47可由任何合適製程移除。在大部份實施例中,步驟149移除虛置閘極47的步驟為乾蝕刻製程,而蝕刻製程條件可選擇性地移除虛置閘極47而非層間介電蓋層51之材料。在大部份實施例中,此步驟之蝕刻選擇性大於虛置閘極47與氧化矽為主之層間介電層35之間的蝕刻選擇性。在某些實施例中,步驟149的製程對多晶矽的蝕刻選擇性遠高於氮化 矽。步驟149的一般製程為採用氟仿、溴化氫、與氧氣之混合物的電漿蝕刻。其他的製程條件包括壓力(介於10mT至20mT之間)、電源功率(介於500W至1000W之間)、以及電源偏壓(介於10W至30W之間)。 Step 100 then proceeds to step 149 to remove the dummy gate 47 as shown in FIG. The dummy gate 47 can be removed by any suitable process. In most embodiments, the step of removing the dummy gate 47 in step 149 is a dry etching process, and the etching process conditions selectively remove the material of the dummy gate 47 instead of the interlayer dielectric cap layer 51. In most embodiments, the etch selectivity of this step is greater than the etch selectivity between the dummy gate 47 and the yttria-based interlayer dielectric layer 35. In some embodiments, the process of step 149 has a much higher etch selectivity for polysilicon than nitridation. Hey. The general process of step 149 is plasma etching using a mixture of fluoroform, hydrogen bromide, and oxygen. Other process conditions include pressure (between 10mT and 20mT), power supply (between 500W and 1000W), and power supply bias (between 10W and 30W).

步驟153沉積金屬以置換移除之虛置閘極堆疊47,如第10圖所示。在某些實施例中,金屬53包含不同組成的多層結構。在某些實施例中,PMOS區9中的金屬53不同於NMOS區13中的金屬53。金屬53的底層可為功函數金屬,包含但不限於鈦、氮化鈦、氮化鉭、鉭、碳化鉭、氮化鉭矽、鎢、氮化鎢、氮化鉬、與氮氧化鉬的一或多層結構。額外金屬層可包含一或多個中間層或頂層,其組成可為任何合適金屬,包含但不限於釕、鈀、鉑、鈷、鎳、鉿、鋯、鈦、鉭、鋁、上述之導電碳化物、上述之導電氧化物、或上述之合金。這些金屬層的形成方法可為任何合適製程或其組合。物理氣相沉積(PVD)為一般製程。其他金屬層的形成製程可為電鍍、無電電鍍、ALD、或CVD。 Step 153 deposits metal to replace the removed dummy gate stack 47, as shown in FIG. In certain embodiments, the metal 53 comprises a multilayer structure of a different composition. In some embodiments, the metal 53 in the PMOS region 9 is different from the metal 53 in the NMOS region 13. The bottom layer of the metal 53 may be a work function metal including, but not limited to, titanium, titanium nitride, tantalum nitride, tantalum, tantalum carbide, tantalum nitride, tungsten, tungsten nitride, molybdenum nitride, and molybdenum oxynitride. Or multilayer structure. The additional metal layer may comprise one or more intermediate layers or top layers, which may be of any suitable metal, including but not limited to germanium, palladium, platinum, cobalt, nickel, cerium, zirconium, titanium, hafnium, aluminum, conductive carbonization as described above. The above-mentioned conductive oxide or the above alloy. The method of forming these metal layers can be any suitable process or a combination thereof. Physical vapor deposition (PVD) is a general process. The formation process of other metal layers may be electroplating, electroless plating, ALD, or CVD.

製程100接著進行步驟157,以CMP移除層間介電蓋層51上的額外金屬53,以形成金屬閘極55於基板19上,如第11圖所示。第13圖顯示裝置10B的結果,其採用視情況進行的步驟135以回蝕刻氮化矽層。步驟157的CMP製程條件可移除金屬53而非層間介電蓋層51。在大部份實施例中,此CMP步驟之選擇性,高於CMP對金屬53與氧化矽為主之層間介電層35的選擇性。綜上所述,層間介電蓋層51在步驟157之CMP製程中,可有效維持金屬閘極的高度54B。 The process 100 then proceeds to step 157 to remove the additional metal 53 on the interlayer dielectric cap layer 51 by CMP to form the metal gate 55 on the substrate 19, as shown in FIG. Figure 13 shows the results of device 10B using step 135 as appropriate to etch back the tantalum nitride layer. The CMP process condition of step 157 removes the metal 53 instead of the interlayer dielectric cap layer 51. In most embodiments, the selectivity of this CMP step is higher than the selectivity of CMP for metal 53 and yttrium oxide-based interlayer dielectric layer 35. In summary, the interlayer dielectric cap layer 51 can effectively maintain the height 54B of the metal gate during the CMP process of step 157.

如第11與13圖所示,步驟157形成的最終結構中,層間介電層7包含氧化矽為主的層間介電層35與層間介電蓋層51。層間介電層7填滿金屬閘極55之間的空間50之寬度56。在大部份實施例中,氧化矽為主的層間介電層35為層間介電層7的主要組成,並決定層間介電層7的大部份電性性質。當氧化矽為主的層間介電層35之厚度58為至少一半的閘極之高度54B時,其厚度實質上小於金屬閘極55的高度。另一方面,層間介電蓋層51的上表面60與金屬閘極的上表面62近似於共平面。 As shown in FIGS. 11 and 13, in the final structure formed in step 157, the interlayer dielectric layer 7 includes an interlayer dielectric layer 35 mainly composed of yttrium oxide and an interlayer dielectric cap layer 51. The interlayer dielectric layer 7 fills the width 56 of the space 50 between the metal gates 55. In most embodiments, the yttrium oxide-based interlayer dielectric layer 35 is the main constituent of the interlayer dielectric layer 7, and determines most of the electrical properties of the interlayer dielectric layer 7. When the thickness 58 of the yttrium oxide-based interlayer dielectric layer 35 is at least half of the height 54B of the gate, the thickness thereof is substantially smaller than the height of the metal gate 55. On the other hand, the upper surface 60 of the interlayer dielectric cap layer 51 and the upper surface 62 of the metal gate are approximately coplanar.

層間介電層7與其子層(如氧化矽為主的層間介電層35與層間介電蓋層51)的截面面積隨著高度增加而增加。上述結構的成因為氧化物為主的側壁間隔物27與氮化物為主的側壁間隔物31的形狀,以及層間介電層7的形成步驟晚於上述側壁間隔物。以裝置10B為例,氮化物為主的側壁間隔物31升高至與氧化矽為主的層間介電層35等高,即上述兩者近似於共平面。 The cross-sectional area of the interlayer dielectric layer 7 and its sub-layers (such as the yttria-based interlayer dielectric layer 35 and the interlayer dielectric cap layer 51) increases as the height increases. The above-described structure is formed by the shape of the oxide-based sidewall spacer 27 and the nitride-based sidewall spacer 31, and the interlayer dielectric layer 7 is formed later than the sidewall spacer. Taking the device 10B as an example, the nitride-based sidewall spacers 31 are raised to the same level as the yttrium oxide-based interlayer dielectric layer 35, that is, the two are approximately coplanar.

本發明提供之積體電路裝置,包括:多個電晶體位於半導體主體上,電晶體具有分開的多個金屬閘極,且金屬閘極具有高度;第一介電結構,包括一或多個介電層並橫越相鄰的金屬閘極之間的空間寬度,第一介電結構具有厚度,其中厚度與至少一半之金屬閘極之高度實質上相同,但實質上小於金屬閘極之高度。第二介電結構,包括一或多個介電層並形成於第一介電結構上,且第二介電結構之頂部接近金屬閘極之頂部。第一介電結構之頂部與第二介電結構之底部的組成不同。第二介電結構可作為蓋層,並在製程中幫助控制閘極高度。 The integrated circuit device provided by the present invention comprises: a plurality of transistors on a semiconductor body, the transistor having a plurality of separate metal gates, and the metal gate having a height; the first dielectric structure including one or more The electrical layer traverses a spatial width between adjacent metal gates, the first dielectric structure having a thickness wherein the thickness is substantially the same as the height of at least half of the metal gates, but substantially less than the height of the metal gates. The second dielectric structure includes one or more dielectric layers and is formed on the first dielectric structure, and the top of the second dielectric structure is near the top of the metal gate. The top of the first dielectric structure is different from the composition of the bottom of the second dielectric structure. The second dielectric structure acts as a cap layer and helps control the gate height during the process.

本發明提供之積體電路裝置,包括半導體主體;層間介電層,形成於半導體主體上並與閘極高度等高;以及金屬閘極,具有閘極高度。層間介電層具有不同組成的兩個介電層。層間介電層中較上方的介電層其頂部與金屬閘極的頂部共平面。層間介電層中較上方的介電層對蝕刻製程的抗性高於層間介電層中較下方的介電層,且蝕刻製程包含氟仿、溴化氫、與氧氣的蝕刻氣體,適用於移除多晶矽的虛置閘極。 The integrated circuit device provided by the present invention comprises a semiconductor body; an interlayer dielectric layer formed on the semiconductor body and at the same height as the gate; and a metal gate having a gate height. The interlayer dielectric layer has two dielectric layers of different compositions. The upper dielectric layer in the interlayer dielectric layer is coplanar with the top of the metal gate. The upper dielectric layer in the interlayer dielectric layer is more resistant to the etching process than the lower dielectric layer in the interlayer dielectric layer, and the etching process includes fluoroform, hydrogen bromide, and oxygen etching gas, which is suitable for Remove the dummy gate of the polysilicon.

本發明提供積體電路裝置的形成方法包括:提供半導體基板;形成虛置閘極於半導體基板上;沉積第一介電層於虛置閘極上;進行化學機械研磨,使第一介電層縮減至虛置閘極的高度;蝕刻第一介電層,使其凹陷至低於閘極的高度;沉積一或多個額外介電層於第一介電層上;以及進行化學機械研磨,使該或該些額外介電層縮減至閘極的高度。 The invention provides a method for forming an integrated circuit device, comprising: providing a semiconductor substrate; forming a dummy gate on the semiconductor substrate; depositing a first dielectric layer on the dummy gate; performing chemical mechanical polishing to reduce the first dielectric layer a height to the dummy gate; etching the first dielectric layer to recess it to a height lower than the gate; depositing one or more additional dielectric layers on the first dielectric layer; and performing chemical mechanical polishing to The or the additional dielectric layers are reduced to the height of the gate.

本發明之構件與結構已搭配上述實施例與實例顯示及/或說明。特定的構件或結構或上位之構件或結構僅用以說明實施例或實例,所有構件或結構與其上位或下位之用語均可與其他構件或結構組合,如本技術領域中具有通常知識者所知。 The components and structures of the present invention have been shown and/or illustrated in conjunction with the above-described embodiments and examples. A particular component or structure or component or structure is used to describe an embodiment or example, and all components or structures may be combined with other components or structures, as known to those of ordinary skill in the art. .

Claims (20)

一種積體電路裝置,包括:一半導體主體;多個電晶體位於該半導體主體上,該些電晶體具有分開的多個金屬閘極,且該些金屬閘極具有高度;一第一介電結構,包括一或多個介電層並橫越相鄰的該些金屬閘極之間的空間之寬度,該第一介電結構具有一厚度,其中該厚度與至少一半之該些金屬閘極之高度實質上相同,但實質上小於該些金屬閘極之高度;以及一第二介電結構,包括一或多個介電層並形成於該第一介電結構上,且該第二介電結構之頂部接近該金屬閘極之頂部;其中該第一介電結構之頂部與該第二介電結構之底部的組成不同。 An integrated circuit device comprising: a semiconductor body; a plurality of transistors on the semiconductor body, the transistors having a plurality of separate metal gates, and the metal gates having a height; a first dielectric structure Having one or more dielectric layers and traversing a width of a space between adjacent metal gates, the first dielectric structure having a thickness, wherein the thickness and at least half of the metal gates The height is substantially the same, but is substantially smaller than the height of the metal gates; and a second dielectric structure includes one or more dielectric layers formed on the first dielectric structure, and the second dielectric The top of the structure is near the top of the metal gate; wherein the top of the first dielectric structure is different from the composition of the bottom of the second dielectric structure. 如申請專利範圍第1項所述之積體電路裝置,其中該第二介電結構對一電漿蝕刻之抗性高於該第一介電結構對該電漿蝕刻之抗性,該電漿蝕刻適用於移除多晶矽虛置閘極,且該電漿蝕刻採用之蝕刻氣體包括氟仿、溴化氫、與氧氣。 The integrated circuit device of claim 1, wherein the second dielectric structure is more resistant to a plasma etch than the first dielectric structure is resistant to the plasma etch, the plasma The etching is suitable for removing the polysilicon dummy gate, and the etching gas used for the plasma etching includes fluoroform, hydrogen bromide, and oxygen. 如申請專利範圍第1項所述之積體電路裝置,其中:該第一介電結構包括氧化矽;以及該第二介電結構係氮化矽、碳化矽、氮氧化矽、氮化矽碳、硼化矽、硼化矽碳、氮化矽氧碳、或上述之混合物。 The integrated circuit device of claim 1, wherein: the first dielectric structure comprises ruthenium oxide; and the second dielectric structure is tantalum nitride, tantalum carbide, niobium oxynitride, tantalum nitride carbon , lanthanum boride, lanthanum boride, niobium oxynitride, or a mixture thereof. 如申請專利範圍第1項所述之積體電路裝置,其中該第一介電結構與該第二介電結構之剖面面積隨著高度增加。 The integrated circuit device of claim 1, wherein a cross-sectional area of the first dielectric structure and the second dielectric structure increases with height. 如申請專利範圍第1項所述之積體電路,更包括多個側壁間隔物形成於與該金屬閘極相鄰處,且該些側壁間隔物之高度與該第一介電結構之高度實質上相同。 The integrated circuit of claim 1, further comprising a plurality of sidewall spacers formed adjacent to the metal gate, and a height of the sidewall spacers and a height of the first dielectric structure Same on the same. 如申請專利範圍第1項所述之積體電路裝置,其中該第一介電結構之厚度介於600Å至1300Å,而該第二介電結構之厚度介於70Å至300Å。 The integrated circuit device of claim 1, wherein the first dielectric structure has a thickness of between 600 Å and 1300 Å, and the second dielectric structure has a thickness of between 70 Å and 300 Å. 如申請專利範圍第6項所述之積體電路裝置,其中該第一介電結構與該第二介電結構各自為單層結構。 The integrated circuit device of claim 6, wherein the first dielectric structure and the second dielectric structure are each a single layer structure. 一種積體電路裝置,包括:一半導體主體;一層間介電層,形成於該半導體主體上並與一閘極高度等高;一金屬閘極,具有該閘極高度;其中該層間介電層具有不同組成的兩個介電層;該層間介電層中較上方的介電層其頂部與該金屬閘極的頂部共平面;以及該層間介電層中較上方的介電層對一蝕刻製程的抗性高於該層間介電層中較下方的介電層,且該蝕刻製程包含氟仿、溴化氫、與氧氣的蝕刻氣體,適用於移除多晶矽的虛置閘極。 An integrated circuit device comprising: a semiconductor body; an interlayer dielectric layer formed on the semiconductor body and having a height equal to a gate; a metal gate having the gate height; wherein the interlayer dielectric layer Two dielectric layers having different compositions; the upper dielectric layer of the interlayer dielectric layer is coplanar with the top of the metal gate; and the upper dielectric layer of the interlayer dielectric layer is etched The process resistance is higher than the lower dielectric layer in the interlayer dielectric layer, and the etching process includes fluoroform, hydrogen bromide, and an etching gas with oxygen, which is suitable for removing the dummy gate of the polysilicon. 如申請專利範圍第8項所述之積體電路裝置,其中:該層間介電層中較下方的介電層為氧化矽;以及該層間介電層中較上方的介電層為矽或氧化矽與碳、氮、以及硼中至少一者的化合物。 The integrated circuit device of claim 8, wherein: the lower dielectric layer of the interlayer dielectric layer is yttrium oxide; and the upper dielectric layer of the interlayer dielectric layer is ruthenium or oxidized. a compound of at least one of carbon, nitrogen, and boron. 如申請專利範圍第8項所述之積體電路裝置,其中該層間介電層中較上方的介電層面積略大於該層間介電層中較下方的介電層面積。 The integrated circuit device of claim 8, wherein an upper dielectric layer in the interlayer dielectric layer is slightly larger than a lower dielectric layer in the interlayer dielectric layer. 如申請專利範圍第8項所述之積體電路裝置,其中該層間介電層中較上方的介電層厚度,為約5%至40%之該金屬閘極的高度。 The integrated circuit device of claim 8, wherein the thickness of the upper dielectric layer in the interlayer dielectric layer is about 5% to 40% of the height of the metal gate. 如申請專利範圍第11項所述之積體電路裝置,其中該層間介電層中較下方的介電層厚度,為約60%至95%之該金屬閘極的高度。 The integrated circuit device of claim 11, wherein the thickness of the lower dielectric layer in the interlayer dielectric layer is about 60% to 95% of the height of the metal gate. 如申請專利範圍第8項所述之積體電路裝置,其中該層間介電層中較下方的介電層之介電常數,低於該層間介電層中較上方的介電層之介電常數。 The integrated circuit device of claim 8, wherein a lower dielectric layer of the interlayer dielectric layer has a dielectric constant lower than a dielectric layer of the upper dielectric layer of the interlayer dielectric layer. constant. 一種積體電路裝置的形成方法,包括:提供一半導體基板;形成一虛置閘極於該半導體基板上;沉積一第一介電層於該虛置閘極上;進行化學機械研磨,使該第一介電層縮減至該虛置閘極的高度;蝕刻該第一介電層,使其凹陷至低於一閘極的高度;沉積一或多個額外介電層於該第一介電層上;以及進行化學機械研磨,使該或該些額外介電層縮減至該閘極的高度。 A method for forming an integrated circuit device includes: providing a semiconductor substrate; forming a dummy gate on the semiconductor substrate; depositing a first dielectric layer on the dummy gate; performing chemical mechanical polishing to make the first Reducing a dielectric layer to a height of the dummy gate; etching the first dielectric layer to recess it to a height lower than a gate; depositing one or more additional dielectric layers on the first dielectric layer And performing a chemical mechanical polishing to reduce the or the additional dielectric layer to the height of the gate. 如申請專利範圍第14項所述之積體電路裝置的形成方法,其中沉積該或該些額外介電層之步驟包括沉積至少兩個額 外介電層。 The method of forming an integrated circuit device according to claim 14, wherein the step of depositing the or the additional dielectric layer comprises depositing at least two External dielectric layer. 如申請專利範圍第15項所述之積體電路裝置的形成方法,其中一額外介電層之沉積步驟為原子層沉積,而另一額外介電層之沉積步驟為其他製程。 The method of forming an integrated circuit device according to claim 15, wherein the deposition step of one additional dielectric layer is atomic layer deposition, and the deposition step of another additional dielectric layer is another process. 如申請專利範圍第14項所述之積體電路裝置的形成方法,更包括:形成側壁間隔物於該虛置閘極的側壁;以及在蝕刻該第一介電層使其凹陷至低於該閘極的高度後,且在沉積該或該些額外介電層前,蝕刻該些側壁間隔物以使其凹陷。 The method for forming an integrated circuit device according to claim 14, further comprising: forming sidewall spacers on sidewalls of the dummy gate; and etching the first dielectric layer to recess it below After the height of the gate, and prior to depositing the or the additional dielectric layers, the sidewall spacers are etched to recess them. 如申請專利範圍第17項所述之積體電路裝置的形成方法,其中該些側壁間隔物凹陷後的高度與該凹陷後的該第一介電層等高。 The method for forming an integrated circuit device according to claim 17, wherein the height of the sidewall spacers after recessing is equal to the height of the recessed first dielectric layer. 如申請專利範圍第14項所述之積體電路裝置的形成方法,更包括:蝕刻移除該虛置閘極;其中該或該些額外介電層對移除該虛置閘極的蝕刻製程之抗性,高於該第一介電層對移除該虛置閘極的蝕刻製程之抗性。 The method for forming an integrated circuit device according to claim 14, further comprising: etching and removing the dummy gate; wherein the or the additional dielectric layer is an etching process for removing the dummy gate The resistance is higher than the resistance of the first dielectric layer to the etching process for removing the dummy gate. 如申請專利範圍第14項所述之積體電路裝置的形成方法,其中該第一介電層為氧化矽為主的材料,而該或該些額外介電層為矽或氧化矽與碳、氮、以及硼中至少一者的化合物。 The method for forming an integrated circuit device according to claim 14, wherein the first dielectric layer is a ruthenium oxide-based material, and the additional dielectric layer is tantalum or tantalum oxide and carbon, a compound of at least one of nitrogen and boron.
TW103145709A 2013-12-26 2014-12-26 Integrated circuit device and method for manufacturing the same TWI574373B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US14/141,028 US9595450B2 (en) 2013-12-26 2013-12-26 Composite structure for gate level inter-layer dielectric

Publications (2)

Publication Number Publication Date
TW201532241A true TW201532241A (en) 2015-08-16
TWI574373B TWI574373B (en) 2017-03-11

Family

ID=53372215

Family Applications (1)

Application Number Title Priority Date Filing Date
TW103145709A TWI574373B (en) 2013-12-26 2014-12-26 Integrated circuit device and method for manufacturing the same

Country Status (3)

Country Link
US (1) US9595450B2 (en)
DE (1) DE102014119156B4 (en)
TW (1) TWI574373B (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI594304B (en) * 2015-09-30 2017-08-01 台灣積體電路製造股份有限公司 Metal gate scheme for device and methods of forming

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9659786B2 (en) 2015-07-14 2017-05-23 International Business Machines Corporation Gate cut with high selectivity to preserve interlevel dielectric layer
KR102367948B1 (en) 2015-10-08 2022-02-24 삼성전자주식회사 Semiconductor device and method for fabricating the same
KR102514041B1 (en) 2015-12-09 2023-03-24 삼성전자주식회사 Method for fabricating semiconductor device
US10446399B2 (en) * 2016-10-31 2019-10-15 Globalfoundries Inc. Hard mask layer to reduce loss of isolation material during dummy gate removal
CN109962014B (en) * 2017-12-26 2022-10-04 中芯国际集成电路制造(上海)有限公司 Semiconductor structure and forming method thereof
CN109767987A (en) * 2019-01-28 2019-05-17 上海华虹宏力半导体制造有限公司 A kind of post tensioned unbonded prestressed concrete forming method
CN111696864B (en) * 2019-03-12 2023-12-22 中芯国际集成电路制造(上海)有限公司 Semiconductor device and method of forming the same
CN113113309B (en) * 2020-01-13 2023-02-21 中芯国际集成电路制造(天津)有限公司 Method for forming semiconductor structure
US11984324B2 (en) * 2020-06-30 2024-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a semiconductor device and a semiconductor device
CN114121676A (en) * 2021-11-26 2022-03-01 上海华力集成电路制造有限公司 Method for removing false grid under metal grid process

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6303418B1 (en) * 2000-06-30 2001-10-16 Chartered Semiconductor Manufacturing Ltd. Method of fabricating CMOS devices featuring dual gate structures and a high dielectric constant gate insulator layer
US7977181B2 (en) * 2008-10-06 2011-07-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method for gate height control in a gate last process
DE102009023376B4 (en) * 2009-05-29 2012-02-23 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Adjusting the work function in high-k metal gate electrode structures by selectively removing a barrier layer
US8431453B2 (en) * 2011-03-31 2013-04-30 Taiwan Semiconductor Manufacturing Company, Ltd. Plasma doping to reduce dielectric loss during removal of dummy layers in a gate structure
US8673755B2 (en) * 2011-10-27 2014-03-18 United Microelectronics Corp. Semiconductor device having metal gate and manufacturing method thereof
US20130187236A1 (en) * 2012-01-20 2013-07-25 Globalfoundries Inc. Methods of Forming Replacement Gate Structures for Semiconductor Devices
US20130309856A1 (en) * 2012-05-15 2013-11-21 International Business Machines Corporation Etch resistant barrier for replacement gate integration

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI594304B (en) * 2015-09-30 2017-08-01 台灣積體電路製造股份有限公司 Metal gate scheme for device and methods of forming

Also Published As

Publication number Publication date
DE102014119156A1 (en) 2015-07-02
US20150187594A1 (en) 2015-07-02
TWI574373B (en) 2017-03-11
DE102014119156B4 (en) 2021-03-11
US9595450B2 (en) 2017-03-14

Similar Documents

Publication Publication Date Title
TWI574373B (en) Integrated circuit device and method for manufacturing the same
TWI575662B (en) Semiconductor device structure and method for manufacturing the same
US9768069B2 (en) Method of manufacturing semiconductor device
CN108735656A (en) Device with multiple contact plungers and its manufacturing method
US11728376B2 (en) Structure and formation method of semiconductor device structure with gate stack
US20110042752A1 (en) Semiconductor device and method for manufacturing the same
TW201725606A (en) Semiconductor device and manufacturing method thereof
TWI649796B (en) Semiconductor device and manufacturing method thereof
KR20080076832A (en) Method for manufacturing insulated gate field effect transistor
TWI609416B (en) Semiconductor device structures and methods for forming the same
TW201727719A (en) Method of fabricating gate structure in semiconductor device
CN106158860A (en) Semiconductor structure and manufacture method thereof
US20190096679A1 (en) Gate stack processes and structures
TW201640566A (en) Semiconductor device and method for fabricating the same
TW201727859A (en) Semiconductor device structures
US20180374746A1 (en) Diffusion barrier layer formation
TW201839816A (en) Method for manufacturing semiconductor device
TW202017014A (en) Method of forming semiconductor device
JP2012023191A (en) Semiconductor device and manufacturing method therefor
CN106571333A (en) Method of manufacturing semiconductor device
US10170334B2 (en) Reduction of dishing during chemical mechanical polish of gate structure
CN106169500A (en) The structure of semiconductor device structure and forming method
TWI511205B (en) Method for fabricating a semiconductor integrated circuit
CN113380899A (en) Semiconductor structure, transistor and method for forming transistor device
TW201939668A (en) Method of manufacturing semiconductor structure