TW201428889A - Method of forming semiconductor structure having contact plug - Google Patents

Method of forming semiconductor structure having contact plug Download PDF

Info

Publication number
TW201428889A
TW201428889A TW102100882A TW102100882A TW201428889A TW 201428889 A TW201428889 A TW 201428889A TW 102100882 A TW102100882 A TW 102100882A TW 102100882 A TW102100882 A TW 102100882A TW 201428889 A TW201428889 A TW 201428889A
Authority
TW
Taiwan
Prior art keywords
layer
forming
contact plug
semiconductor structure
dielectric layer
Prior art date
Application number
TW102100882A
Other languages
Chinese (zh)
Other versions
TWI576959B (en
Inventor
Ching-Wen Hung
En-Chiuan Liou
Chih-Sen Huang
Po-Chao Tsao
Original Assignee
United Microelectronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by United Microelectronics Corp filed Critical United Microelectronics Corp
Priority to TW102100882A priority Critical patent/TWI576959B/en
Publication of TW201428889A publication Critical patent/TW201428889A/en
Application granted granted Critical
Publication of TWI576959B publication Critical patent/TWI576959B/en

Links

Landscapes

  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

A method of forming a semiconductor structure having at least a contact plug includes the following steps. At first, at least a transistor and an inter-layer dielectric (ILD) layer are formed on a substrate, and the transistor includes a gate structure and two source/drain regions. Subsequently, a cap layer is formed on the ILD layer and on the transistor, and a plurality of openings that penetrate through the cap layer and the ILD layer until reaching the source/drain regions are formed. Afterward, a conductive layer is formed to cover the cap layer and fill the openings, and a part of the conductive layer is further removed for forming a plurality of first contact plugs, wherein a top surface of a remaining conductive layer and a top surface of a remaining cap layer are coplanar, and the remaining cap layer totally covers a top surface of the gate structure.

Description

形成具有接觸插栓的半導體結構的方法 Method of forming a semiconductor structure having a contact plug

本發明係關於一種形成具有接觸插栓的半導體結構的方法,尤指形成一種半導體結構的方法,其中接觸插栓的頂面高於閘極結構的頂面,且與閘極結構上方之蓋層的頂面共平面。 The present invention relates to a method of forming a semiconductor structure having a contact plug, and more particularly to a method of forming a semiconductor structure, wherein a top surface of the contact plug is higher than a top surface of the gate structure and a cap layer over the gate structure The top surface is coplanar.

在習知半導體產業中,多晶矽係廣泛地應用於半導體元件如金氧半導體(metal-oxide-semiconductor,MOS)電晶體中,作為標準的閘極材料選擇。然而,隨著MOS電晶體尺寸持續地微縮,傳統多晶矽閘極因硼穿透(boron penetration)效應導致元件效能降低,及其難以避免的空乏效應(depletion effect)等問題,使得等效的閘極介電層厚度增加、閘極電容值下降,進而導致元件驅動能力的衰退等困境。因此,半導體業界嘗試以新的閘極材料,例如利用功函數(work function)金屬來取代傳統的多晶矽閘極,用以作為匹配高介電常數(high-k)閘極介電層的控制電極。 In the conventional semiconductor industry, polycrystalline lanthanide is widely used in semiconductor components such as metal-oxide-semiconductor (MOS) transistors as a standard gate material. However, as the size of the MOS transistor continues to shrink, the conventional polysilicon gate causes a decrease in component efficiency due to boron penetration effects, and an unavoidable depletion effect, etc., resulting in an equivalent gate. The thickness of the dielectric layer increases, and the value of the gate capacitance decreases, which leads to the dilemma of the deterioration of the component driving capability. Therefore, the semiconductor industry has attempted to replace the traditional polysilicon gate with a new gate material, such as a work function metal, as a control electrode for matching a high-k gate dielectric layer. .

此外,習知形成具有金屬閘極的電晶體後,還會在其上形成對外線路以分別電性連接電晶體的金屬閘極以及源極/汲極區,作為和對外電子訊號的輸入/輸出端。對外線路通常包含多個接觸插栓,且為定義出複雜且密集的圖案,雙重圖案化技術(DPT)亦常作為曝光技術,以克服原有的機台設備之極限,也就是說,在習知接觸插栓製程中需多次進行微影蝕刻製程,而在多次微影蝕刻製程中所使用的清洗溶液、蝕刻液或化學溶劑將對暴露的金屬閘極造成損傷或變形。因此,如何避免後續製 程影響並維護金屬閘極之正常功能實為相關技術者所欲改進之課題。 In addition, after forming a transistor having a metal gate, it is also formed on the external line to electrically connect the metal gate and the source/drain region of the transistor, respectively, as input/output to the external electronic signal. end. External lines usually contain multiple contact plugs, and in order to define complex and dense patterns, double patterning (DPT) is often used as an exposure technique to overcome the limits of the original machine equipment, that is, It is known that the lithography process is performed multiple times in the contact plug process, and the cleaning solution, etching solution or chemical solvent used in the multiple lithography process will damage or deform the exposed metal gate. Therefore, how to avoid follow-up The process of influencing and maintaining the normal function of the metal gate is a problem that the relevant technicians want to improve.

本發明之目的之一在於提供一種形成具有接觸插栓的半導體結構的方法以改善整體半導體結構的電性表現。 One of the objects of the present invention is to provide a method of forming a semiconductor structure having contact plugs to improve the electrical performance of the overall semiconductor structure.

本發明之一較佳實施例係提供一種形成具有接觸插栓的半導體結構的方法,其步驟如下。首先,形成至少一電晶體以及一層間介電層於一基底上,其中電晶體包含一閘極結構以及二源極/汲極區。隨後,形成一蓋層於電晶體以及層間介電層上。接著,形成複數個開口穿過蓋層及層間介電層至源極/汲極區,且形成一導電層覆蓋蓋層以及填入該些開口中。然後,去除部分導電層,以形成複數個第一接觸插栓,使剩餘的導電層之一表面與剩餘的蓋層之一表面共平面,且剩餘的蓋層將完全覆蓋閘極結構之一頂面。 A preferred embodiment of the present invention provides a method of forming a semiconductor structure having contact plugs, the steps of which are as follows. First, at least one transistor and an interlevel dielectric layer are formed on a substrate, wherein the transistor comprises a gate structure and two source/drain regions. Subsequently, a cap layer is formed on the transistor and the interlayer dielectric layer. Next, a plurality of openings are formed through the cap layer and the interlayer dielectric layer to the source/drain regions, and a conductive layer is formed to cover the cap layer and fill the openings. Then, a portion of the conductive layer is removed to form a plurality of first contact plugs such that one surface of the remaining conductive layer is coplanar with one of the remaining cap layers, and the remaining cap layer will completely cover one of the tops of the gate structure surface.

本發明在形成電性連接源極/汲極區的第一接觸插栓時,閘極結構係完全被蓋層覆蓋,以確保閘極結構不受第一接觸插栓之製程影響,例如閘極結構將不會接觸形成複數個開口所需進行的多次微影蝕刻製程中使用的清洗溶液、蝕刻液或化學溶劑,以維持閘極結構的材料性質。此外,本發明形成第一接觸插栓的方法包含依序形成閘極結構一側之源極/汲極區上的第一開口以及閘極結構另一側之源極/汲極區上的第二開口,以提升後續形成的第一接觸插栓的定位精準度。 When forming the first contact plug electrically connected to the source/drain region, the gate structure is completely covered by the cap layer to ensure that the gate structure is not affected by the process of the first contact plug, such as a gate The structure will not contact the cleaning solution, etchant or chemical solvent used in the multiple lithography processes required to form the plurality of openings to maintain the material properties of the gate structure. In addition, the method for forming a first contact plug of the present invention includes sequentially forming a first opening on a source/drain region on one side of the gate structure and a source/drain region on the other side of the gate structure. Two openings are provided to improve the positioning accuracy of the subsequently formed first contact plug.

100‧‧‧基底 100‧‧‧Base

102,104‧‧‧主動區域 102,104‧‧‧Active area

106‧‧‧淺溝渠隔離 106‧‧‧Shallow trench isolation

107,109‧‧‧電晶體 107,109‧‧‧Optoelectronics

108,110‧‧‧閘極結構 108,110‧‧‧ gate structure

112A,112B,112C,112D,114A,114B,114C,114D‧‧‧源極/汲極區 112A, 112B, 112C, 112D, 114A, 114B, 114C, 114D‧‧‧ source/bungee area

116,118,116A,118A‧‧‧閘極介電層 116,118,116A,118A‧‧‧gate dielectric layer

120,122‧‧‧金屬閘極 120,122‧‧‧Metal gate

124,126‧‧‧側壁子 124,126‧‧‧ 边边子

128‧‧‧接觸洞蝕刻停止層 128‧‧‧Contact hole etch stop layer

130‧‧‧層間介電層 130‧‧‧Interlayer dielectric layer

132‧‧‧第一蓋層 132‧‧‧ first cover

134‧‧‧第二蓋層 134‧‧‧Second cover

136,136’,158‧‧‧蓋層 136,136’, 158‧‧ ‧ cover

138,148‧‧‧有機介電層 138,148‧‧‧Organic dielectric layer

140,150‧‧‧含矽硬遮罩層 140,150‧‧‧矽 hard mask layer

142‧‧‧第一遮罩層 142‧‧‧First mask layer

144‧‧‧第一圖案化光阻層 144‧‧‧First patterned photoresist layer

146‧‧‧第一開口 146‧‧‧ first opening

152‧‧‧第二遮罩層 152‧‧‧second mask layer

154‧‧‧第二圖案化光阻層 154‧‧‧Second patterned photoresist layer

156‧‧‧第二開口 156‧‧‧ second opening

160‧‧‧先進圖案化材料層 160‧‧‧Advanced patterned material layer

162‧‧‧抗反射介電層 162‧‧‧Anti-reflective dielectric layer

164‧‧‧遮罩層 164‧‧‧mask layer

166‧‧‧第一底抗反射層 166‧‧‧First bottom anti-reflection layer

168‧‧‧第二底抗反射層 168‧‧‧second bottom anti-reflection layer

170‧‧‧金屬矽化物層 170‧‧‧metal telluride layer

172‧‧‧阻障/黏著層 172‧‧‧Block/adhesive layer

174,174’‧‧‧導電層 174,174'‧‧‧ Conductive layer

176‧‧‧第一接觸插栓 176‧‧‧First contact plug

178‧‧‧介電層 178‧‧‧ dielectric layer

180‧‧‧第二接觸插栓 180‧‧‧Second contact plug

182‧‧‧第三接觸插栓 182‧‧‧ Third contact plug

P1,P2‧‧‧圖案 P1, P2‧‧‧ pattern

T1,T2,T3‧‧‧頂面 T1, T2, T3‧‧‧ top

第1圖至第11圖繪示了本發明之一較佳實施例之形成具有接觸插栓的半導體結構的方法之示意圖。 1 through 11 illustrate schematic views of a method of forming a semiconductor structure having a contact plug in accordance with a preferred embodiment of the present invention.

為使熟習本發明所屬技術領域之一般技藝者能更進一步了解本發明,下文特列舉本發明之較佳實施例,並配合所附圖式,詳細說明本發明的構成內容及所欲達成之功效。 The present invention will be further understood by those of ordinary skill in the art to which the present invention pertains. .

請參考第1圖至第11圖。第1圖至第11圖繪示了本發明之一較佳實施例之形成具有接觸插栓的半導體結構的方法之示意圖。如第1圖所示,首先提供一基底100,且基底100包含有至少二主動區域102/104及形成複數個淺溝渠隔離(shallow trench isolation,STI)106於基底100中。基底100可以例如是矽基底(silicon substrate)、磊晶矽(epitaxial silicon substrate)、矽鍺半導體基底(silicon germanium substrate)、碳化矽基底(silicon carbide substrate)或矽覆絕緣(silicon-on-insulator,SOI)基底或其他半導體基底材料所構成的半導體基底,但不以上述為限。淺溝渠隔離106可包含矽氧化物等絕緣材料,形成淺溝渠隔離的方法係為習知該項技藝者與通常知識者所熟知,在此不多加贅述。 Please refer to Figures 1 to 11. 1 through 11 illustrate schematic views of a method of forming a semiconductor structure having a contact plug in accordance with a preferred embodiment of the present invention. As shown in FIG. 1, a substrate 100 is first provided, and the substrate 100 includes at least two active regions 102/104 and a plurality of shallow trench isolation (STI) 106 in the substrate 100. The substrate 100 may be, for example, a silicon substrate, an epitaxial silicon substrate, a silicon germanium substrate, a silicon carbide substrate, or a silicon-on-insulator (silicon-on-insulator). SOI) A semiconductor substrate composed of a substrate or other semiconductor substrate material, but not limited to the above. The shallow trench isolation 106 may comprise an insulating material such as tantalum oxide, and the method of forming shallow trench isolation is well known to those skilled in the art and will not be repeated here.

接著,形成至少一電晶體107/109以及一層間介電層130於基底100上。各電晶體107/109分別包含一閘極結構108/110以及二源極/汲極區112A/112B/114A/114B,其中各閘極結構108/110分別包含一閘極介電層116/118以及一金屬閘極120/122,且二源極/汲極區112A/112B/114A/114B分別設置於閘極結構108/110的兩側。本發明可應用於各種金屬閘極製程包括先閘極(gate first)製程、後閘極(gate last)製程之先閘極介電層(high-k first)製程以及後閘極製程之後閘極介電層(high-k last)製程等。在本實施例中,以後閘極製程之後閘極介電層製程所形成的電晶體107/109為例,其中閘極介電層116/118包含一具有U型剖面之高介電常數介電層,其材料包含介電常數大於4的介電材料,此外,也可選擇性 另包含一介電層(圖未示)例如氧化矽層設置於基底100與閘極介電層116/118之間;而金屬閘極120/122可以包含一層或多層的金屬材質,例如包含一功函數金屬層(work function metal layer)、一阻障層(barrier layer)以及一低電阻金屬層。舉例來說,形成閘極結構108/110以及源極/汲極區112A/112B/114A/114B的製程可先在基底100形成一虛置閘極結構(圖未示),再依序形成側壁子124/126、源極/汲極區112A/112B/114A/114B、接觸洞蝕刻停止層(contact etch stop layer,CESL)128以及層間介電層130。層間介電層130可為旋轉塗佈(spin-on-coating,SOC)製程、化學氣相沈積(CVD)製程或其他適合的製程等形成的介電材料所構成,介電材料包含低介電常數(dielectric constant,k)材料(介電常數值小於3.9)、超低介電常數(ultra low-k,以下簡稱為ULK)材料(介電常數值小於2.6)、或多孔性超低介電常數(porous ULK)材料,但不限於此。接著,對層間介電層130進行一平坦化製程至暴露虛置閘極結構,然後移除部分虛置閘極結構以形成一溝渠(圖未示),最後在溝渠中填入至少一介電材料層(圖未示)以及至少一金屬材料層(圖未示),並進行一化學機械研磨(chemical mechanical polishing,CMP)製程,去除溝渠之外的介電材料層以及金屬材料層以形成閘極結構108/110之閘極介電層116/118以及金屬閘極120/122,且層間介電層130之一頂面將與閘極結構108/110之一頂面共平面。 Next, at least one transistor 107/109 and an interlayer dielectric layer 130 are formed on the substrate 100. Each of the transistors 107/109 includes a gate structure 108/110 and two source/drain regions 112A/112B/114A/114B, wherein each gate structure 108/110 includes a gate dielectric layer 116/118 And a metal gate 120/122, and two source/drain regions 112A/112B/114A/114B are respectively disposed on both sides of the gate structure 108/110. The invention can be applied to various metal gate processes including a gate first process, a gate last process, a high-k first process, and a gate process after a gate process. Dielectric layer (high-k last) process, etc. In this embodiment, the transistor 107/109 formed by the gate dielectric process after the gate process is taken as an example, wherein the gate dielectric layer 116/118 comprises a high dielectric constant dielectric having a U-shaped profile. a layer comprising a dielectric material having a dielectric constant greater than 4, and optionally also Further comprising a dielectric layer (not shown) such as a tantalum oxide layer disposed between the substrate 100 and the gate dielectric layer 116/118; and the metal gate 120/122 may comprise one or more layers of metal material, for example comprising one A work function metal layer, a barrier layer, and a low resistance metal layer. For example, the process of forming the gate structure 108/110 and the source/drain regions 112A/112B/114A/114B may first form a dummy gate structure (not shown) on the substrate 100, and then sequentially form sidewalls. Sub-124/126, source/drain regions 112A/112B/114A/114B, contact etch stop layer (CESL) 128, and interlayer dielectric layer 130. The interlayer dielectric layer 130 may be formed of a dielectric material formed by a spin-on-coating (SOC) process, a chemical vapor deposition (CVD) process, or other suitable process, and the dielectric material includes a low dielectric. Constant (k) material (dielectric constant value less than 3.9), ultra low dielectric constant (ultra low-k, hereinafter referred to as ULK) material (dielectric constant value less than 2.6), or porous ultra-low dielectric Constant ULK material, but is not limited to this. Next, a planarization process is performed on the interlayer dielectric layer 130 to expose the dummy gate structure, and then a portion of the dummy gate structure is removed to form a trench (not shown), and finally at least one dielectric is filled in the trench. a material layer (not shown) and at least one metal material layer (not shown), and performing a chemical mechanical polishing (CMP) process to remove the dielectric material layer and the metal material layer outside the trench to form a gate The gate dielectric layer 116/118 of the pole structure 108/110 and the metal gate 120/122, and one of the top surfaces of the interlayer dielectric layer 130 will be coplanar with one of the top surfaces of the gate structure 108/110.

此外,電晶體中的各元件可以依照不同設計而具有不同的實施態樣,舉例來說,源極/汲極區112A/112B/114A/114B可包含以選擇性磊晶成長(selective epitaxial growth,SEG)形成的一磊晶層,其中磊晶層可直接形成於基底100上,如主動區102中的源極/汲極區112A/112B所示,或先形成凹槽於閘極結構108/110的兩側,再填入磊晶層於凹槽中,如主動區104中的源極/汲極區114A/114B所示,以提供應力於閘極結構 108/110下方之通道區。在本實施例中,當電晶體107係一N型金氧半導體電晶體(NMOS)時,源極/汲極區112A/112B的磊晶層可由磷化矽(SiP)或碳化矽(SiC)組成以提供拉伸應力至通道區,而當電晶體109係一P型金氧半導體電晶體(PMOS)時,源極/汲極區114A/114B的磊晶層可由矽化鍺(SiGe)組成以提供壓縮應力至通道區,但不以此為限。磊晶層之一頂面較佳係高於基底100之一原始表面,也就是說,源極/汲極區112A/112B/114A/114B所包含的磊晶層均會分別向上突出於基底100,且可依製程需求向下延伸至基底100中,甚或延伸至側壁子124/126下方,以對通道區提供更大的應力。此外,可混合搭配乾、濕蝕刻製程以形成各種形狀如桶形(邊較直的形狀)、六角形、多角形的凹槽,在後續製程中,形成於此類形狀之凹槽中的磊晶層可具有六面體(hexagon,又叫sigma Σ)或八面體(octagon)之截面形狀,並具有一大體上平坦的底面,以增加對通道區所提供的應力。 In addition, the various components in the transistor can have different implementations depending on the design. For example, the source/drain regions 112A/112B/114A/114B can include selective epitaxial growth (selective epitaxial growth, An epitaxial layer formed by SEG), wherein the epitaxial layer can be formed directly on the substrate 100, as shown by the source/drain regions 112A/112B in the active region 102, or a recess is formed in the gate structure 108/ Both sides of the 110 are filled with an epitaxial layer in the recess, as shown by the source/drain regions 114A/114B in the active region 104 to provide stress to the gate structure. The passage area below 108/110. In the present embodiment, when the transistor 107 is an N-type MOS transistor (NMOS), the epitaxial layer of the source/drain region 112A/112B may be bismuth phosphide (SiP) or tantalum carbide (SiC). The composition is to provide tensile stress to the channel region, and when the transistor 109 is a P-type MOS transistor (PMOS), the epitaxial layer of the source/drain region 114A/114B may be composed of germanium telluride (SiGe). Provide compressive stress to the channel area, but not limited to this. The top surface of one of the epitaxial layers is preferably higher than one of the original surfaces of the substrate 100, that is, the epitaxial layers included in the source/drain regions 112A/112B/114A/114B respectively protrude upward from the substrate 100. And may extend down into the substrate 100 depending on process requirements, or even extend below the sidewalls 124/126 to provide greater stress to the channel region. In addition, a dry and wet etching process can be mixed to form various shapes such as a barrel shape (a straight shape), a hexagonal shape, a polygonal groove, and a protrusion formed in a groove of such a shape in a subsequent process. The seed layer may have a cross-sectional shape of a hexagonal or octagon and has a substantially flat bottom surface to increase the stress provided to the channel region.

在另一實施例中,如第2圖所示,有別於第1圖的實施例中閘極介電層116/118是以「後閘極介電層(high-k last)製程」製程形成(即閘極介電層是在移除虛擬閘極之後形成),第2圖的實施例中閘極介電層116A/118A是以「先閘極介電層(high-k first)製程」製程形成(即閘極介電層是在虛擬閘極之前形成),因此閘極介電層是具有「-型」剖面,另一方面,源極/汲極區112C/112D/114C/114D亦可以離子植入等方式形成源極/汲極摻雜區,且源極/汲極區112C/112D/114C/114D之形狀亦可依閘極下方通道所需之應力而進行調整。此外,接觸洞蝕刻停止層128也可額外具有一應力。上述的實施方式僅為示例,本發明電晶體可以具有各種不同實施態樣,在此不一一贅述。以下實施例將以第1圖中電晶體107/109的實施態樣進行描述。 In another embodiment, as shown in FIG. 2, the gate dielectric layer 116/118 is a "high-k last process" process in the embodiment different from the first embodiment. Forming (ie, the gate dielectric layer is formed after removing the dummy gate), in the embodiment of FIG. 2, the gate dielectric layer 116A/118A is a "high-k first" process Process formation (ie, the gate dielectric layer is formed before the dummy gate), so the gate dielectric layer has a "-type" profile, and on the other hand, the source/drain region 112C/112D/114C/114D The source/drain doping region may also be formed by ion implantation or the like, and the shape of the source/drain region 112C/112D/114C/114D may also be adjusted according to the stress required for the channel under the gate. In addition, the contact hole etch stop layer 128 may additionally have a stress. The above embodiments are merely examples, and the transistor of the present invention may have various implementations, which are not described herein. The following embodiments will be described in the embodiment of the transistor 107/109 in Fig. 1.

如第3圖所示,形成一蓋層136於電晶體107/109以及層間介電層130上,且蓋層136同時接觸層間介電層130與閘極結構108/110。蓋層136可包含介電材料例如:氧化矽(silicon oxide)、氮化矽(silicon nitride)、碳化矽(silicon carbide)、含摻質的碳化矽、氮氧化矽(silicon oxynitride)或其組合所組成的單層結構或多層結構。形成蓋層的方法,包括依序形成一第一蓋層132以及一第二蓋層134於層間介電層130上,其中第一蓋層132的材料實質上不同於該第二蓋層134的材料,亦即第一蓋層132與第二蓋層134將具有蝕刻選擇比,也就是說,當使用相同的蝕刻劑或研磨劑移除第一蓋層132與第二蓋層134時,第一蓋層132的被移除速率將實質上不同於第二蓋層134的被移除速度。在本實施例中,第一蓋層係由含氮摻雜的碳化矽(nitrogen doped silicon carbide,NDC)、氮化矽(silicon nitride,SiN)或氮碳化矽(silicon carbonitride,SiCN)組成,而第二蓋層係由氧化物(oxide)組成,且第二蓋層134的被移除速度實質上大於第一蓋層132的被移除速率,但不以此為限。 As shown in FIG. 3, a cap layer 136 is formed over the transistor 107/109 and the interlayer dielectric layer 130, and the cap layer 136 simultaneously contacts the interlayer dielectric layer 130 and the gate structure 108/110. The cap layer 136 may comprise a dielectric material such as silicon oxide, silicon nitride, silicon carbide, dopant-containing tantalum carbide, silicon oxynitride or a combination thereof. A single layer structure or a multilayer structure. A method of forming a cap layer includes sequentially forming a first cap layer 132 and a second cap layer 134 on the interlayer dielectric layer 130, wherein a material of the first cap layer 132 is substantially different from that of the second cap layer 134 The material, that is, the first cap layer 132 and the second cap layer 134 will have an etch selectivity ratio, that is, when the first cap layer 132 and the second cap layer 134 are removed using the same etchant or abrasive, The rate of removal of a cap layer 132 will be substantially different than the rate at which the second cap layer 134 is removed. In this embodiment, the first cap layer is composed of nitrogen doped silicon carbide (NDC), silicon nitride (SiN) or silicon carbonitride (SiCN). The second cap layer is composed of an oxide, and the removal speed of the second cap layer 134 is substantially greater than the removal rate of the first cap layer 132, but is not limited thereto.

隨後,形成複數個開口穿過蓋層136及層間介電層130至源極/汲極區112A/112B/114A/114B。形成複數個開口的方法,包括下列步驟。首先,請繼續參考第3圖,如第3圖所示,形成一第一遮罩層142以及一第一圖案化光阻層144於蓋層136上,第一圖案化光阻層144以及第一遮罩層142可以視製程技術而有不同的選擇。舉例來說,第一圖案化光阻層144可由適合波長248奈米(nanometer,nm)或193奈米(nm)波長的光阻材料所構成例如KrF光阻層,且第一圖案化光阻層144下方可以選擇性形成一底抗反射層(bottom anti-reflective coating,BARC)(圖未示);第一遮罩層142可以包含一層或多層的遮罩材料,遮罩材料例如是氮化矽(silicon nitride,SiN)、氮氧化矽(silicon oxynitride,SiON)、碳化矽(silicon carbide,SiC)、含非晶碳的有機材料(如Applied Materials Inc.所販 售之Advanced pattern film APF©)等。在本實施例中,第一圖案化光阻層144包含有定義第一開口的圖案,第一遮罩層142係一多層堆疊結構直接設置於第一圖案化光阻層144下方,且第一遮罩層142包含一有機介電層(organic dielectric layer,ODL)138以及一含矽硬遮罩(silicon-containing hard mask,SHB)層140。有機介電層138可由波長365奈米(nm)的I-line光阻材料或酚醛樹脂(novolac resin)所構成。含矽硬遮罩層140的成分主要是由含矽之有機高分子聚合物(organo-silicon polymer)或聚矽物(polysilane)所組成,至少具有一發色基團(chromophore group)以及一交聯基團(crosslinkable group),且含矽硬遮罩層140可另包括交聯劑(crosslinking agent),使含矽硬遮罩層140在照光後可產生交聯反應。 Subsequently, a plurality of openings are formed through the cap layer 136 and the interlayer dielectric layer 130 to the source/drain regions 112A/112B/114A/114B. A method of forming a plurality of openings, including the following steps. First, please continue to refer to FIG. 3. As shown in FIG. 3, a first mask layer 142 and a first patterned photoresist layer 144 are formed on the cap layer 136, and the first patterned photoresist layer 144 and the first layer are formed. A mask layer 142 can have different options depending on the process technology. For example, the first patterned photoresist layer 144 may be formed of a photoresist material suitable for a wavelength of 248 nanometers (nm) or 193 nanometers (nm), such as a KrF photoresist layer, and the first patterned photoresist A bottom anti-reflective coating (BARC) may be selectively formed under the layer 144 (not shown); the first mask layer 142 may include one or more layers of a masking material, such as nitriding. Silicon nitride (SiN), silicon oxynitride (SiON), silicon carbide (SiC), organic materials containing amorphous carbon (as reported by Applied Materials Inc.) Advanced pattern film APF©) and so on. In this embodiment, the first patterned photoresist layer 144 includes a pattern defining a first opening, and the first mask layer 142 is directly disposed under the first patterned photoresist layer 144. A mask layer 142 includes an organic dielectric layer (ODL) 138 and a silicon-containing hard mask (SHB) layer 140. The organic dielectric layer 138 may be composed of an I-line photoresist material having a wavelength of 365 nanometers (nm) or a novolac resin. The composition of the ruthenium-containing hard mask layer 140 is mainly composed of an organo-silicon polymer or a polysilane, and has at least one chromophore group and one cross. A crosslinkable group, and the ruthenium-containing hard mask layer 140 may further include a crosslinking agent to cause the ruthenium-containing hard mask layer 140 to generate a crosslinking reaction after illumination.

接著,如第4圖所示,利用第一圖案化光阻層144作為遮罩,進行一第一蝕刻製程,去除部分第一遮罩層142、部分蓋層136、部分層間介電層130,最後停在接觸洞蝕刻停止層128,以形成至少一第一開口146,其中第一開口146係僅重疊閘極結構108/110一側之源極/汲極區112A/114A,且蓋層136仍完全覆蓋閘極結構108/110與閘極結構108/110另一側之源極/汲極區112B/114B。此外,當過度蝕刻(over etch)的狀況發生時,接觸洞蝕刻停止層128將有部分損耗,也就是說,位於第一開口146底部的接觸洞蝕刻停止層128之厚度會小於接觸洞蝕刻停止層128之原始厚度。隨後,去除第一圖案化光阻層144以及第一遮罩層142。 Next, as shown in FIG. 4, using the first patterned photoresist layer 144 as a mask, a first etching process is performed to remove a portion of the first mask layer 142, a portion of the cap layer 136, and a portion of the interlayer dielectric layer 130. Finally, the contact hole etch stop layer 128 is stopped to form at least one first opening 146, wherein the first opening 146 overlaps only the source/drain regions 112A/114A on the side of the gate structure 108/110, and the cap layer 136 The source/drain regions 112B/114B on the other side of the gate structure 108/110 and the gate structure 108/110 are still completely covered. In addition, when an over etch condition occurs, the contact hole etch stop layer 128 will have a partial loss, that is, the thickness of the contact hole etch stop layer 128 at the bottom of the first opening 146 will be less than the contact hole etch stop. The original thickness of layer 128. Subsequently, the first patterned photoresist layer 144 and the first mask layer 142 are removed.

接下來,如第5圖所示,形成一第二遮罩層152以及一第二圖案化光阻層154於蓋層136上,且第二圖案化光阻層154以及第二遮罩層152的組成可視製程技術而有不同的選擇,而與第一圖案化光阻層144以及第一遮罩層142的組成相同或不同。在本實施例中,第二圖案化光阻層154包含有定義第二開口的圖案,第二遮罩層152直接設置於第 二圖案化光阻層154下,且第二遮罩層152的組成係與第一遮罩層142的組成相同,也就是說,第二遮罩層152係一多層堆疊結構,包含一含矽硬遮罩(silicon-containing hard mask,SHB)層150以及一有機介電層(organic dielectric layer,ODL)148,其中第二遮罩層152的有機介電層148具有良好的填洞能力,因此可以有效地填入已形成的第一開口146中。接著,如第6圖所示,利用第二圖案化光阻層154作為遮罩,進行一第二蝕刻製程,去除部分第二遮罩層152、部分蓋層136、部分層間介電層130以及部分接觸洞蝕刻停止層128,以形成至少一第二開口156,其中第二開口156係僅重疊閘極結構108/110另一側之源極/汲極區112B/114B,且蓋層136仍完全覆蓋閘極結構108/110,也就是說,在第一蝕刻製程與第二蝕刻製程中,第一開口146與第二開口156均未暴露電晶體107/109的閘極結構108/110。接著,去除第二圖案化光阻層154以及第二遮罩層152,最後,去除第一開口146及第二開口156底部的接觸洞蝕刻停止層128,至此完成形成複數個開口的方法。 Next, as shown in FIG. 5, a second mask layer 152 and a second patterned photoresist layer 154 are formed on the cap layer 136, and the second patterned photoresist layer 154 and the second mask layer 152 are formed. The composition may have different options depending on the process technology, and is the same as or different from the composition of the first patterned photoresist layer 144 and the first mask layer 142. In this embodiment, the second patterned photoresist layer 154 includes a pattern defining a second opening, and the second mask layer 152 is directly disposed on the first The second mask layer 152 has the same composition as the first mask layer 142, that is, the second mask layer 152 is a multi-layer stack structure, including a A silicon-containing hard mask (SHB) layer 150 and an organic dielectric layer (ODL) 148, wherein the organic dielectric layer 148 of the second mask layer 152 has good hole filling capability. Therefore, it can be effectively filled in the formed first opening 146. Next, as shown in FIG. 6, using the second patterned photoresist layer 154 as a mask, a second etching process is performed to remove a portion of the second mask layer 152, a portion of the cap layer 136, and a portion of the interlayer dielectric layer 130. A portion of the contact hole etch stop layer 128 forms at least one second opening 156, wherein the second opening 156 overlaps only the source/drain regions 112B/114B on the other side of the gate structure 108/110, and the cap layer 136 remains The gate structure 108/110 is completely covered, that is, in both the first etch process and the second etch process, neither the first opening 146 nor the second opening 156 exposes the gate structure 108/110 of the transistor 107/109. Next, the second patterned photoresist layer 154 and the second mask layer 152 are removed. Finally, the contact opening etch stop layer 128 at the bottom of the first opening 146 and the second opening 156 is removed, and thus a method of forming a plurality of openings is completed.

其中,第一開口146與第二開口156並不以單一開口(single)為限,也可各自包含附數個獨立開口或是一延伸條狀(slot),延伸條狀可沿平行閘極結構108/110延伸的方向,亦即垂直紙面的方向,延伸於源極/汲極區112A/112B/114A/114B上,且較佳係延伸整個源極/汲極區112A/112B/114A/114B,以增加後續形成的第一接觸插栓與源極/汲極區112A/112B/114A/114B之接觸面積,並降低電阻。也就是說,開口之尺寸、形狀、數量以及佈局圖案均可根據製程需求進行調整。 The first opening 146 and the second opening 156 are not limited to a single single port, and may also include a plurality of independent openings or an extended strip, and the extended strips may be along the parallel gate structure. The direction in which the 108/110 extends, that is, the direction perpendicular to the paper, extends over the source/drain regions 112A/112B/114A/114B and preferably extends the entire source/drain region 112A/112B/114A/114B To increase the contact area of the subsequently formed first contact plug with the source/drain regions 112A/112B/114A/114B and reduce the resistance. That is to say, the size, shape, number and layout pattern of the opening can be adjusted according to the process requirements.

形成複數個開口穿過蓋層及層間介電層至源極/汲極區的方法不以上述實施例為限,在其他實施例中,當複數個開口之間距均大於曝光技術可曝的最小圖案距離,可使用單一遮罩層搭配單一圖案化光阻 層,進行一微影蝕刻製程,以同時定義複數個開口,而毋需分別形成部分開口。在另一實施例中,形成複數個開口的方法,包含下列步驟。首先,如第7圖所示,依序形成一遮罩層164、一第一底抗反射層(bottom anti-reflective coating film,BARC)166以及第一圖案化光阻層144於蓋層158上,其中蓋層158可包含單層結構或多層結構,遮罩層164可包含有一先進圖案化材料層(advanced patterning film,APF)160例如非晶碳層,以及一抗反射介電層(dielectric anti-reflective coating film,DARC)162,其中先進圖案化材料層(APF)160具有良好的準直性(high aspect ratio,HAR)、低邊緣粗糙度(lower line edge roughness,LER)及可灰化性(PR-like ashability),因此常被使用於線寬小於60奈米的製程中。接著,以第一圖案化光阻層144作為遮罩,將第一圖案化光阻層144的圖案亦即定義第一開口的圖案轉移至遮罩層164的抗反射介電層(DARC)162中,隨後,去除第一圖案化光阻層144與第一底抗反射層166。接下來,如第8圖所示形成一第二底抗反射層168、第二圖案化光阻層154於遮罩層164上,並以第二圖案化光阻層154作為遮罩,將第二圖案化光阻層154的圖案亦即定義第二開口的圖案轉移至遮罩層164的抗反射介電層(DARC)162中,隨後,去除第二圖案化光阻層154與第二底抗反射層168。此時遮罩層164將同時包含第一開口的圖案P1與第二開口的圖案P2亦即多個開口的圖案同時形成於抗反射介電層(DARC)162中,該些開口的圖案均未暴露先進圖案化材料層(APF)160,且第一開口的圖案P1與第二開口的圖案P2之間距可小於曝光技術可曝的最小圖案距離。接下來,進行至少兩次圖案化製程以將該些開口的圖案(第一開口的圖案P1與第二開口的圖案P2)轉移至蓋層158及層間介電層130中,形成如第6圖所示的第一開口146與第二開口156。更詳細地說,即是先以抗反射介電層(DARC)162作為遮罩,進行一第一圖案化製程將該些開口的圖案轉移至先進圖案化材料層(APF)160中且暴露部分蓋層158後,再以抗反射介電層(DARC)162 與先進圖案化材料層(APF)160共同作為遮罩,進行一第二圖案化製程,同時形成多個開口亦即第一開口146與第二開口156於蓋層158及層間介電層130中,同樣地第一開口146與第二開口156均僅重疊源極/汲極區112A/112B/114A/114B而未暴露閘極結構108/110,此外,再去除第一開口146與第二開口156底部暴露的接觸洞蝕刻停止層128,其中閘極結構108/110在上述的圖案化製程中均完全被蓋層158所覆蓋保護。 The method of forming a plurality of openings through the cap layer and the interlayer dielectric layer to the source/drain regions is not limited to the above embodiment. In other embodiments, when the distance between the plurality of openings is greater than the minimum exposure technology Pattern distance, a single mask layer can be used with a single patterned photoresist The layer is subjected to a lithography process to simultaneously define a plurality of openings without separately forming partial openings. In another embodiment, a method of forming a plurality of openings comprises the following steps. First, as shown in FIG. 7, a mask layer 164, a first bottom anti-reflective coating film (BARC) 166, and a first patterned photoresist layer 144 are formed on the cap layer 158. The cover layer 158 may comprise a single layer structure or a multilayer structure, and the mask layer 164 may include an advanced patterning film (APF) 160 such as an amorphous carbon layer, and an anti-reflective dielectric layer (dielectric anti -reflective coating film (DARC) 162, wherein the advanced patterned material layer (APF) 160 has good high aspect ratio (HAR), low edge line roughness (LER) and ashing resistance. (PR-like ashability), so it is often used in processes with line widths less than 60 nm. Next, using the first patterned photoresist layer 144 as a mask, the pattern of the first patterned photoresist layer 144, that is, the pattern defining the first opening, is transferred to the anti-reflective dielectric layer (DARC) 162 of the mask layer 164. Then, the first patterned photoresist layer 144 and the first bottom anti-reflective layer 166 are removed. Next, as shown in FIG. 8, a second bottom anti-reflective layer 168 and a second patterned photoresist layer 154 are formed on the mask layer 164, and the second patterned photoresist layer 154 is used as a mask. The pattern of the second patterned photoresist layer 154, that is, the pattern defining the second opening, is transferred to the anti-reflective dielectric layer (DARC) 162 of the mask layer 164, and then the second patterned photoresist layer 154 and the second bottom are removed. Anti-reflection layer 168. At this time, the mask layer 164 simultaneously forms the pattern P1 including the first opening and the pattern P2 of the second opening, that is, the pattern of the plurality of openings, in the anti-reflection dielectric layer (DARC) 162, and the patterns of the openings are not The advanced patterned material layer (APF) 160 is exposed, and the distance between the pattern P1 of the first opening and the pattern P2 of the second opening may be smaller than the minimum pattern distance that the exposure technique can expose. Next, at least two patterning processes are performed to transfer the patterns of the openings (the pattern of the first opening P1 and the pattern of the second opening P2) into the cap layer 158 and the interlayer dielectric layer 130 to form a pattern as shown in FIG. The first opening 146 and the second opening 156 are shown. In more detail, the anti-reflective dielectric layer (DARC) 162 is used as a mask, and a first patterning process is performed to transfer the patterns of the openings to the advanced patterned material layer (APF) 160 and expose portions. After the cap layer 158, the anti-reflective dielectric layer (DARC) 162 A second patterning process is performed together with the advanced patterned material layer (APF) 160 as a mask, and a plurality of openings, that is, a first opening 146 and a second opening 156 are formed in the cap layer 158 and the interlayer dielectric layer 130. Similarly, the first opening 146 and the second opening 156 both overlap the source/drain region 112A/112B/114A/114B without exposing the gate structure 108/110, and further, the first opening 146 and the second opening are removed. The exposed contact etch stop layer 128 at the bottom of 156, wherein the gate structure 108/110 is completely covered by the cap layer 158 during the patterning process described above.

如第9圖所示,在形成複數個開口之後,可選擇性進行一清洗製程,例如以氬氣(Ar)對第一開口146與第二開口156的表面進行清洗。隨後,可進行一自對準金屬矽化物(salicide)製程,以在第一開口146與第二開口156所暴露的源極/汲極區112A/112B/114A/114B上分別形成一金屬矽化物(silicide)層170,例如是一矽化鎳(NiSi)層。在其他實施例中,若金屬矽化物層在形成開口之前已經形成於源極/汲極區上,則形成金屬矽化物的此步驟可以省略。 As shown in FIG. 9, after forming a plurality of openings, a cleaning process may be selectively performed, for example, cleaning the surfaces of the first opening 146 and the second opening 156 with argon (Ar). Subsequently, a self-aligned metal salicide process can be performed to form a metal telluride on the source/drain regions 112A/112B/114A/114B exposed by the first opening 146 and the second opening 156, respectively. The silicide layer 170 is, for example, a nickel niobide (NiSi) layer. In other embodiments, this step of forming a metal telluride may be omitted if the metal telluride layer has been formed on the source/drain regions prior to forming the opening.

隨後,在第一開口146與第二開口156中形成複數個第一接觸插栓。形成第一接觸插栓的方法,請繼續參考第9圖,如第9圖所示,例如先在基底100上依序形成一阻障/黏著層172、一晶種層(圖未示)以及一導電層174覆蓋蓋層136並填入第一開口146與第二開口156,其中阻障/黏著層172係共形地(conformally)填入第一開口146與第二開口152中,且導電層174係完全填滿第一開口146與第二開口156。阻障/黏著層172可用來避免導電層174之金屬原子擴散至周圍的層間介電層130中以及增加導電層174與層間介電層130之間的附著力。阻障/黏著層172的材料例如是鉭(Ta)、鈦(Ti)、氮化鈦(TiN)、鉭化鈦(TaN)或是其任意組合例如鈦/氧化鈦所構成,但並不以此為限。晶種層之材料係較佳地與導電層174的材料相同,導電層174的材料包含各種低電阻金屬材料,例如 是鋁(Al)、鈦(Ti)、鉭(Ta)、鎢(W)、鈮(Nb)、鉬(Mo)、銅(Cu)等材料,較佳是鎢或銅,最佳是鎢,以和金屬矽化物層170或下方的源極/汲極區112A/112B/114A/114B形成適當的歐姆接觸(Ohmic contact)。 Subsequently, a plurality of first contact plugs are formed in the first opening 146 and the second opening 156. For the method of forming the first contact plug, please continue to refer to FIG. 9. As shown in FIG. 9, for example, a barrier/adhesion layer 172, a seed layer (not shown), and a seed layer (not shown) are sequentially formed on the substrate 100. A conductive layer 174 covers the cap layer 136 and fills the first opening 146 and the second opening 156, wherein the barrier/adhesion layer 172 is conformally filled into the first opening 146 and the second opening 152, and is electrically conductive. Layer 174 completely fills first opening 146 and second opening 156. The barrier/adhesion layer 172 can be used to prevent metal atoms of the conductive layer 174 from diffusing into the surrounding interlayer dielectric layer 130 and to increase adhesion between the conductive layer 174 and the interlayer dielectric layer 130. The material of the barrier/adhesive layer 172 is, for example, tantalum (Ta), titanium (Ti), titanium nitride (TiN), titanium telluride (TaN) or any combination thereof such as titanium/titanium oxide, but not This is limited. The material of the seed layer is preferably the same as the material of the conductive layer 174, and the material of the conductive layer 174 comprises various low resistance metal materials, such as It is aluminum (Al), titanium (Ti), tantalum (Ta), tungsten (W), niobium (Nb), molybdenum (Mo), copper (Cu) and the like, preferably tungsten or copper, preferably tungsten. A suitable ohmic contact is formed with the metal telluride layer 170 or the underlying source/drain regions 112A/112B/114A/114B.

然後,如第10圖所示,進行一平坦化製程例如化學機械研磨(chemical mechanical polishing,CMP)製程、蝕刻製程或是兩者的結合,去除第一開口146與第二開口156以外區域之阻障/黏著層172、晶種層與導電層174,以及去除部分蓋層136,使剩餘的導電層174’之一表面與剩餘的蓋層136’之一表面共平面,至此完成複數個第一接觸插栓176,亦即源極/汲極插栓,且剩餘的蓋層136’仍完全覆蓋閘極結構108/110之一頂面。值得注意的是,由於第一蓋層132的材料實質上不同於第二蓋層134的材料,第一蓋層132可作為平坦化製程的停止層,使第二蓋層134完全被去除,且形成的第一接觸插栓176的頂面位於第一蓋層132中,更詳細地說,各第一接觸插栓176之一頂面T1係實質上高於閘極結構108/110之一頂面T2,且各第一接觸插栓176之頂面T1與剩餘的蓋層136’之一頂面T3共平面。 Then, as shown in FIG. 10, a planarization process such as a chemical mechanical polishing (CMP) process, an etching process, or a combination of the two is performed to remove the resistance of the regions other than the first opening 146 and the second opening 156. The barrier/adhesive layer 172, the seed layer and the conductive layer 174, and the portion of the cap layer 136 are removed such that one surface of the remaining conductive layer 174' is coplanar with one of the remaining cap layers 136', thereby completing a plurality of first The contact plug 176, that is, the source/drain plug, and the remaining cap layer 136' still completely covers one of the top surfaces of the gate structure 108/110. It should be noted that since the material of the first cap layer 132 is substantially different from the material of the second cap layer 134, the first cap layer 132 can serve as a stop layer of the planarization process, so that the second cap layer 134 is completely removed, and The top surface of the formed first contact plug 176 is located in the first cover layer 132. In more detail, one of the top contact pads 176 is substantially higher than the top surface of the gate structure 108/110. Face T2, and the top surface T1 of each of the first contact plugs 176 is coplanar with the top surface T3 of one of the remaining cover layers 136'.

在其他實施例中,當蓋層136係介電材料組成的單層結構,可藉由時間模式(time mode)來調整平坦化製程的操作條件,例如調整平坦化製程的製程時間(processing time)以決定去除的蓋層136的厚度,使剩餘的導電層174’之一表面與剩餘的蓋層136’之一表面共平面。 In other embodiments, when the cap layer 136 is a single layer structure composed of a dielectric material, the operating conditions of the planarization process can be adjusted by a time mode, such as adjusting the processing time of the planarization process. To determine the thickness of the removed cap layer 136, the surface of one of the remaining conductive layers 174' is coplanar with the surface of one of the remaining cap layers 136'.

如第11圖所示,於形成複數個第一接觸插栓176之後,再形成一介電層178於該剩餘的蓋層136’上,以及形成複數個第二接觸插栓180於此介電層178中以分別電性連接各第一接觸插栓176,以及形成至少一第三接觸插栓182於介電層178以及剩餘的蓋層136’中以電性連接 閘極結構108/110。最後,可進行一金屬內連線製程,在介電層178上形成一金屬內連線系統(metal interconnection system)(圖未示),其包含複數層金屬層間介電層(inter-metal dielectric layer,IMD layer)以及複數層金屬層(即所謂的metal 1,metal 2...等)。金屬內連線系統會透過第三接觸插栓182以電性連接電晶體107/109的金屬閘極120/122,以及透過第二接觸插栓180以及第一接觸插栓176以電性連接電晶體107/109的源極/汲極區112A/112B/114A/114B,以提供電晶體107/109對外訊號的輸入/輸出。 As shown in FIG. 11, after forming a plurality of first contact plugs 176, a dielectric layer 178 is formed on the remaining cap layer 136', and a plurality of second contact plugs 180 are formed thereon. Each of the first contact plugs 176 is electrically connected to the first contact plugs 176, and the at least one third contact plugs 182 are electrically connected to the dielectric layer 178 and the remaining cap layer 136'. Gate structure 108/110. Finally, a metal interconnect process can be performed to form a metal interconnection system (not shown) on the dielectric layer 178, which includes a plurality of inter-metal dielectric layers. , IMD layer) and a plurality of metal layers (so-called metal 1, metal 2...etc.). The metal interconnecting system is electrically connected to the metal gate 120/122 of the transistor 107/109 through the third contact plug 182, and electrically connected to the second contact plug 180 and the first contact plug 176. The source/drain regions 112A/112B/114A/114B of the crystal 107/109 provide input/output of the external signals of the transistor 107/109.

綜上所述,本發明在形成電性連接源極/汲極區的第一接觸插栓時,閘極結構係完全被蓋層覆蓋,以確保閘極結構不受第一接觸插栓之製程影響,例如閘極結構將不會接觸形成複數個開口所需進行的多次微影蝕刻製程中使用的清洗溶液、蝕刻液或化學溶劑,以維持閘極結構的材料性質。此外,本發明形成第一接觸插栓的方法包含依序形成閘極結構一側之源極/汲極區上的第一開口以及閘極結構另一側之源極/汲極區上的第二開口,以提升後續形成的第一接觸插栓的定位精準度。 In summary, when the first contact plug is electrically connected to the source/drain region, the gate structure is completely covered by the cap layer to ensure that the gate structure is not protected by the first contact plug. The effect, such as the gate structure, will not contact the cleaning solution, etchant or chemical solvent used in the multiple lithography processes required to form the plurality of openings to maintain the material properties of the gate structure. In addition, the method for forming a first contact plug of the present invention includes sequentially forming a first opening on a source/drain region on one side of the gate structure and a source/drain region on the other side of the gate structure. Two openings are provided to improve the positioning accuracy of the subsequently formed first contact plug.

100‧‧‧基底 100‧‧‧Base

102,104‧‧‧主動區域 102,104‧‧‧Active area

106‧‧‧淺溝渠隔離 106‧‧‧Shallow trench isolation

107,109‧‧‧電晶體 107,109‧‧‧Optoelectronics

108,110‧‧‧閘極結構 108,110‧‧‧ gate structure

112A,112B,114A,114B‧‧‧源極/汲極區 112A, 112B, 114A, 114B‧‧‧ source/bungee area

116,118‧‧‧閘極介電層 116,118‧‧‧gate dielectric layer

120,122‧‧‧金屬閘極 120,122‧‧‧Metal gate

124,126‧‧‧側壁子 124,126‧‧‧ 边边子

128‧‧‧接觸洞蝕刻停止層 128‧‧‧Contact hole etch stop layer

130‧‧‧層間介電層 130‧‧‧Interlayer dielectric layer

132‧‧‧第一蓋層 132‧‧‧ first cover

136’‧‧‧蓋層 136’‧‧‧ cover

170‧‧‧金屬矽化物層 170‧‧‧metal telluride layer

172‧‧‧阻障/黏著層 172‧‧‧Block/adhesive layer

174’‧‧‧導電層 174'‧‧‧ Conductive layer

176‧‧‧第一接觸插栓 176‧‧‧First contact plug

T1,T2,T3‧‧‧頂面 T1, T2, T3‧‧‧ top

Claims (17)

一種形成具有接觸插栓的半導體結構的方法,包括:形成至少一電晶體以及一層間介電層於一基底上,其中該電晶體包含一閘極結構以及二源極/汲極區;形成一蓋層於該電晶體以及該層間介電層上;形成複數個開口穿過該蓋層及該層間介電層至該些源極/汲極區;形成一導電層覆蓋該蓋層以及填入該些開口中;以及去除部分該導電層,以形成複數個第一接觸插栓,使剩餘的該導電層之一表面與剩餘的該蓋層之一表面共平面,且剩餘的該蓋層完全覆蓋該閘極結構之一頂面。 A method of forming a semiconductor structure having a contact plug includes: forming at least one transistor and an interlevel dielectric layer on a substrate, wherein the transistor comprises a gate structure and a two source/drain region; forming a a capping layer on the transistor and the interlayer dielectric layer; forming a plurality of openings through the cap layer and the interlayer dielectric layer to the source/drain regions; forming a conductive layer covering the cap layer and filling And removing a portion of the conductive layer to form a plurality of first contact plugs such that a surface of one of the remaining conductive layers is coplanar with a surface of one of the remaining cover layers, and the remaining cover layer is completely Covering one of the top surfaces of the gate structure. 如請求項1所述之形成具有接觸插栓的半導體結構的方法,其中該蓋層之材料包含介電材料。 A method of forming a semiconductor structure having a contact plug as described in claim 1, wherein the material of the cap layer comprises a dielectric material. 如請求項1所述之形成具有接觸插栓的半導體結構的方法,其中形成該蓋層的方法,包括依序形成一第一蓋層以及一第二蓋層於該層間介電層上。 A method of forming a semiconductor structure having a contact plug according to claim 1, wherein the method of forming the cap layer comprises sequentially forming a first cap layer and a second cap layer on the interlayer dielectric layer. 如請求項3所述之形成具有接觸插栓的半導體結構的方法,其中去除部分該導電層後,剩餘的該蓋層僅包含該第一蓋層。 A method of forming a semiconductor structure having a contact plug as described in claim 3, wherein after removing a portion of the conductive layer, the remaining cap layer includes only the first cap layer. 如請求項3所述之形成具有接觸插栓的半導體結構的方法,其中該第一蓋層的材料實質上不同於該第二蓋層的材料。 A method of forming a semiconductor structure having a contact plug as described in claim 3, wherein the material of the first cap layer is substantially different from the material of the second cap layer. 如請求項1所述之形成具有接觸插栓的半導體結構的方法,在形成該層間介電層之前,另包括形成一蝕刻停止層。 A method of forming a semiconductor structure having a contact plug as described in claim 1 further comprising forming an etch stop layer before forming the interlayer dielectric layer. 如請求項1所述之形成具有接觸插栓的半導體結構的方法,在形成該蓋層之前,另包括對該層間介電層進行一平坦化製程。 The method of forming a semiconductor structure having a contact plug according to claim 1, further comprising performing a planarization process on the interlayer dielectric layer before forming the cap layer. 如請求項7所述之形成具有接觸插栓的半導體結構的方法,其中對該層間介電層進行該平坦化製程後,該層間介電層之一頂面與該閘極結構之該頂面共平面,且該蓋層同時接觸該層間介電層與該閘極結構。 The method for forming a semiconductor structure having a contact plug according to claim 7, wherein after the planarization process is performed on the interlayer dielectric layer, a top surface of the interlayer dielectric layer and the top surface of the gate structure The coplanar layer, and the cap layer simultaneously contacts the interlayer dielectric layer and the gate structure. 如請求項1所述之形成具有接觸插栓的半導體結構的方法,其中該些開口未暴露該電晶體的該閘極結構。 A method of forming a semiconductor structure having a contact plug as described in claim 1, wherein the openings do not expose the gate structure of the transistor. 如請求項1所述之形成具有接觸插栓的半導體結構的方法,其中形成複數個開口的方法包括先形成至少一第一開口之後,再形成至少一第二開口。 A method of forming a semiconductor structure having a contact plug as described in claim 1, wherein the method of forming the plurality of openings comprises forming at least one first opening and then forming at least one second opening. 如請求項10所述之形成具有接觸插栓的半導體結構的方法,其中該第一開口暴露該閘極結構一側之該源極/汲極區,該第二開口暴露該閘極結構另一側之該源極/汲極區。 A method of forming a semiconductor structure having a contact plug as disclosed in claim 10, wherein the first opening exposes the source/drain region on one side of the gate structure, the second opening exposes the gate structure and another The source/drain region on the side. 如請求項1所述之形成具有接觸插栓的半導體結構的方法,其中在形成該導電層填入該些開口之前,另包含進行一自對準金屬矽化物製程,以分別形成一金屬矽化物層於各該些源極/汲極區上。 A method of forming a semiconductor structure having a contact plug according to claim 1, wherein before forming the conductive layer to fill the openings, further comprising performing a self-aligned metal telluride process to form a metal telluride, respectively. Layers are on each of the source/drain regions. 如請求項1所述之形成具有接觸插栓的半導體結構的方法,其中該閘極結構包含一閘極介電層以及一金屬閘極。 A method of forming a semiconductor structure having a contact plug according to claim 1, wherein the gate structure comprises a gate dielectric layer and a metal gate. 如請求項13所述之形成具有接觸插栓的半導體結構的方法,其中該金屬閘極含一功函數金屬層(work function metal layer)、一阻障層(barrier layer) 以及一低電阻金屬層。 A method of forming a semiconductor structure having a contact plug according to claim 13, wherein the metal gate comprises a work function metal layer, a barrier layer And a low resistance metal layer. 如請求項1所述之形成具有接觸插栓的半導體結構的方法,其中各該第一接觸插栓之一頂面係實質上高於該閘極結構之該頂面,且各該第一接觸插栓之該頂面與該蓋層之一頂面共平面。 A method of forming a semiconductor structure having a contact plug according to claim 1, wherein a top surface of each of the first contact plugs is substantially higher than the top surface of the gate structure, and each of the first contacts The top surface of the plug is coplanar with a top surface of the cover layer. 如請求項1所述之形成具有接觸插栓的半導體結構的方法,其中各該源極/汲極區包括一磊晶層,且該磊晶層之一頂面係高於該基底之一原始表面。 A method of forming a semiconductor structure having a contact plug according to claim 1, wherein each of the source/drain regions comprises an epitaxial layer, and one of the top layers of the epitaxial layer is higher than one of the substrates surface. 如請求項1所述之形成具有接觸插栓的半導體結構的方法,另包括:形成一介電層於該剩餘的該蓋層上;形成複數個第二接觸插栓於該介電層中以分別電性連接各該第一接觸插栓;以及形成至少一第三接觸插栓於該介電層以及剩餘的該蓋層中以電性連接該閘極結構。 The method of forming a semiconductor structure having a contact plug according to claim 1, further comprising: forming a dielectric layer on the remaining cap layer; forming a plurality of second contact plugs in the dielectric layer Electrically connecting each of the first contact plugs; and forming at least one third contact plug in the dielectric layer and the remaining cover layer to electrically connect the gate structure.
TW102100882A 2013-01-10 2013-01-10 Method of forming semiconductor structure having contact plug TWI576959B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
TW102100882A TWI576959B (en) 2013-01-10 2013-01-10 Method of forming semiconductor structure having contact plug

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW102100882A TWI576959B (en) 2013-01-10 2013-01-10 Method of forming semiconductor structure having contact plug

Publications (2)

Publication Number Publication Date
TW201428889A true TW201428889A (en) 2014-07-16
TWI576959B TWI576959B (en) 2017-04-01

Family

ID=51726174

Family Applications (1)

Application Number Title Priority Date Filing Date
TW102100882A TWI576959B (en) 2013-01-10 2013-01-10 Method of forming semiconductor structure having contact plug

Country Status (1)

Country Link
TW (1) TWI576959B (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9748232B2 (en) 2014-12-31 2017-08-29 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US10008576B2 (en) 2015-12-09 2018-06-26 Globalfoundries Inc. Epi facet height uniformity improvement for FDSOI technologies
US10510598B2 (en) 2016-11-29 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned spacers and method forming same

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070066047A1 (en) * 2005-09-18 2007-03-22 Jianhui Ye Method of forming opening and contact
US7816218B2 (en) * 2008-08-14 2010-10-19 Intel Corporation Selective deposition of amorphous silicon films on metal gates

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9748232B2 (en) 2014-12-31 2017-08-29 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US10083959B2 (en) 2014-12-31 2018-09-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US10008576B2 (en) 2015-12-09 2018-06-26 Globalfoundries Inc. Epi facet height uniformity improvement for FDSOI technologies
TWI646636B (en) * 2015-12-09 2019-01-01 格羅方德半導體公司 Epi facet height uniformity improvement for fdsoi technologies
US10510598B2 (en) 2016-11-29 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned spacers and method forming same
US10804149B2 (en) 2016-11-29 2020-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned spacers and method forming same
US11532515B2 (en) 2016-11-29 2022-12-20 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned spacers and method forming same

Also Published As

Publication number Publication date
TWI576959B (en) 2017-04-01

Similar Documents

Publication Publication Date Title
US8921226B2 (en) Method of forming semiconductor structure having contact plug
KR101960635B1 (en) Semiconductor structure and manufacturing method thereof
CN104835838B (en) Gate structure and its manufacturing method with different in width
US7939392B2 (en) Method for gate height control in a gate last process
US7923321B2 (en) Method for gap filling in a gate last process
CN108122845B (en) Contact structure manufacturing method and semiconductor device
US9105692B2 (en) Method of fabricating an interconnection structure in a CMOS comprising a step of forming a dummy electrode
US8969922B2 (en) Field effect transistors and method of forming the same
US10504780B2 (en) Contact plug without seam hole and methods of forming the same
US9105632B2 (en) Semiconductor structures
US9508716B2 (en) Methods of manufacturing a semiconductor device
US20140264480A1 (en) Semiconductor device and method of forming the same
US10177038B1 (en) Prevention of contact bottom void in semiconductor fabrication
TWI575654B (en) Semiconductor structure having contact plug and method of making the same
TWI576959B (en) Method of forming semiconductor structure having contact plug
US9349726B2 (en) Semiconductor device fabrication method and structure
CN110828665B (en) Semiconductor device, forming method thereof and semiconductor structure
TW201423908A (en) Method for forming semiconductor structure having metal connection
US20240096753A1 (en) Semiconductor device including insulating structure surrounding through via and method for forming the same
TW201436209A (en) Semiconductor device and method of forming the same
TWI581316B (en) Method of forming metal silicide layer
CN114975258A (en) Method for manufacturing semiconductor structure