TW201436209A - Semiconductor device and method of forming the same - Google Patents

Semiconductor device and method of forming the same Download PDF

Info

Publication number
TW201436209A
TW201436209A TW102109322A TW102109322A TW201436209A TW 201436209 A TW201436209 A TW 201436209A TW 102109322 A TW102109322 A TW 102109322A TW 102109322 A TW102109322 A TW 102109322A TW 201436209 A TW201436209 A TW 201436209A
Authority
TW
Taiwan
Prior art keywords
layer
dielectric layer
forming
patterned mask
semiconductor device
Prior art date
Application number
TW102109322A
Other languages
Chinese (zh)
Inventor
Po-Chao Tsao
Chien-Ting Lin
Original Assignee
United Microelectronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by United Microelectronics Corp filed Critical United Microelectronics Corp
Priority to TW102109322A priority Critical patent/TW201436209A/en
Publication of TW201436209A publication Critical patent/TW201436209A/en

Links

Landscapes

  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

A method of forming a semiconductor device includes the following steps. At first, a semiconductor substrate is provided, and a metal gate structure and a first dielectric layer are disposed on the semiconductor substrate, wherein a top surface of the metal gate structure is aligned with a top surface of the first dielectric layer. Then, a patterned mask is formed on the metal gate structure, and the patterned mask does not overlap the first dielectric layer. Subsequently, a second dielectric layer covering the patterned mask is overall formed on the semiconductor substrate. Furthermore, a part of the first dielectric layer and a part of the second dielectric layer are removed for forming at least a contact hole.

Description

半導體裝置及其製作方法 Semiconductor device and method of fabricating the same

本發明係關於一種半導體裝置及其製作方法,尤指一種圖案化遮罩設置於金屬閘極結構上的半導體裝置及其製作方法。 The present invention relates to a semiconductor device and a method of fabricating the same, and more particularly to a semiconductor device in which a patterned mask is disposed on a metal gate structure and a method of fabricating the same.

在習知半導體產業中,多晶矽係廣泛地應用於半導體元件如金氧半導體(metal-oxide-semiconductor,MOS)電晶體中,作為標準的閘極材料選擇。然而,隨著MOS電晶體尺寸持續地微縮,傳統多晶矽閘極因硼穿透(boron penetration)效應導致元件效能降低,及其難以避免的空乏效應(depletion effect)等問題,使得等效的閘極介電層厚度增加、閘極電容值下降,進而導致元件驅動能力的衰退等困境。因此,半導體業界嘗試以新的閘極材料,例如利用功函數(work function)金屬來取代傳統的多晶矽閘極,用以作為匹配高介電常數(high-k)閘極介電層的金屬電極。 In the conventional semiconductor industry, polycrystalline lanthanide is widely used in semiconductor components such as metal-oxide-semiconductor (MOS) transistors as a standard gate material. However, as the size of the MOS transistor continues to shrink, the conventional polysilicon gate causes a decrease in component efficiency due to boron penetration effects, and an unavoidable depletion effect, etc., resulting in an equivalent gate. The thickness of the dielectric layer increases, and the value of the gate capacitance decreases, which leads to the dilemma of the deterioration of the component driving capability. Therefore, the semiconductor industry has attempted to replace the traditional polysilicon gate with a new gate material, such as a work function metal, as a metal electrode matching a high-k gate dielectric layer. .

習知形成具有金屬閘極的電晶體後,還會在其上形成對外線路以分別電性連接電晶體的金屬閘極以及源極/汲極區,作為和對外電子訊號的輸入/輸出端。對外線路通常包含多個接觸插栓,習知形成接觸插栓的方法包含:形成一層間介電層覆蓋電晶體以及電晶體兩側的源極/汲極區,接著,圖案化層間介電層以形成暴露源極/汲極區的接觸洞,之後,沈積金屬例如:鎢於接觸洞中以形成連接源極/汲極區的接觸插栓。 Conventionally, after forming a transistor having a metal gate, an external gate is formed thereon to electrically connect the metal gate and the source/drain region of the transistor, respectively, as input/output terminals of the external electronic signal. The external circuit usually includes a plurality of contact plugs. The conventional method for forming the contact plug includes: forming an interlayer dielectric layer covering the transistor and the source/drain regions on both sides of the transistor, and then patterning the interlayer dielectric layer. To form a contact hole exposing the source/drain region, after which a metal such as tungsten is deposited in the contact hole to form a contact plug connecting the source/drain regions.

隨著電晶體的臨界尺寸縮小,電晶體間的間距將隨之縮減,在形成連接源極/汲極區的接觸插栓的過程中,接觸洞的位置發生偏移的情形將更容易發生,使得後續形成的接觸插栓將更可能同時直接接觸金屬閘極與源極/汲極區而形成短路,造成電晶體非預期的電性表現。因此,如何改善具有接觸插栓與金屬閘極結構的半導體裝置之製程實為相關技術者所欲改進之課題。 As the critical size of the transistor shrinks, the spacing between the transistors will be reduced. In the process of forming the contact plug connecting the source/drain regions, the position of the contact hole will be more likely to occur. The subsequently formed contact plug will be more likely to directly contact the metal gate and the source/drain regions simultaneously to form a short circuit, resulting in an unexpected electrical performance of the transistor. Therefore, how to improve the manufacturing process of a semiconductor device having a contact plug and a metal gate structure is an object to be improved by those skilled in the art.

本發明之目的之一在於提供一種將圖案化遮罩設置於金屬閘極結構上的半導體裝置及製作此半導體裝置的方法,以避免未對齊源極/汲極區的接觸插栓直接接觸金屬閘極結構。 One of the objects of the present invention is to provide a semiconductor device in which a patterned mask is disposed on a metal gate structure and a method of fabricating the same, to prevent contact plugs of unaligned source/drain regions from directly contacting the metal gate Pole structure.

本發明之一較佳實施例是提供一種製作半導體裝置的方法,包括下列步驟。首先,提供一半導體基底,其中一金屬閘極結構以及一第一介電層係設置於半導體基底上,且金屬閘極結構之一頂面與第一介電層之一頂面切齊。接著,形成一圖案化遮罩於金屬閘極結構上,且圖案化遮罩未重疊該第一介電層。隨後,全面性形成一第二介電層於半導體基底上,且第二介電層覆蓋圖案化遮罩,接下來,移除部分第二介電層與第一介電層以形成至少一接觸洞。 A preferred embodiment of the present invention provides a method of fabricating a semiconductor device comprising the following steps. First, a semiconductor substrate is provided, wherein a metal gate structure and a first dielectric layer are disposed on the semiconductor substrate, and a top surface of the metal gate structure is aligned with a top surface of the first dielectric layer. Next, a patterned mask is formed on the metal gate structure, and the patterned mask does not overlap the first dielectric layer. Subsequently, a second dielectric layer is formed on the semiconductor substrate, and the second dielectric layer covers the patterned mask. Next, a portion of the second dielectric layer is removed from the first dielectric layer to form at least one contact. hole.

本發明之另一較佳實施例是提供一種半導體裝置,包括一半導體基底、一金屬閘極結構、一接觸洞蝕刻停止層、一層間介電層、一圖案化遮罩以及至少一接觸插栓。金屬閘極結構、接觸洞蝕刻停止層以及層間介電層設置於半導體基底上,且圖案化遮罩設置於金屬閘極結構上,其中圖案化遮罩僅覆蓋金屬閘極結構,高於接觸洞蝕刻停止層且未重疊接觸洞蝕刻停止層。此外,接觸插栓設置於層間介電層中且部分重疊圖案化遮罩與金屬閘極結構,其中,接觸插栓具有至少一階梯狀側邊。 Another preferred embodiment of the present invention provides a semiconductor device including a semiconductor substrate, a metal gate structure, a contact etch stop layer, an interlayer dielectric layer, a patterned mask, and at least one contact plug . The metal gate structure, the contact hole etch stop layer and the interlayer dielectric layer are disposed on the semiconductor substrate, and the patterned mask is disposed on the metal gate structure, wherein the patterned mask covers only the metal gate structure, higher than the contact hole The stop layer is etched and the contact etch stop layer is not overlapped. In addition, the contact plug is disposed in the interlayer dielectric layer and partially overlaps the patterned mask and the metal gate structure, wherein the contact plug has at least one stepped side.

本發明在形成電性連接源極/汲極區的接觸插栓時,金屬閘極結構的閘極導電層係完全被圖案化遮罩覆蓋,以確保閘極導電層不受接觸插栓之製程影響,例如閘極導電層將不會接觸形成接觸洞所需進行的多次微影蝕刻製程中使用的清洗溶液、蝕刻液或化學溶劑,以維持閘極導電層的材料性質。此外,形成圖案化遮罩的製程中未包含回蝕刻部分閘極導電層,可避免惡化閘極導電層中已存在的缺陷例如:空洞。 In the invention, when forming the contact plug electrically connected to the source/drain region, the gate conductive layer of the metal gate structure is completely covered by the patterned mask to ensure that the gate conductive layer is not exposed to the contact plug. The effect, such as the gate conductive layer, will not contact the cleaning solution, etchant or chemical solvent used in the multiple lithography processes required to form the contact holes to maintain the material properties of the gate conductive layer. In addition, the process of forming the patterned mask does not include an etch back portion of the gate conductive layer, which can avoid deterioration of existing defects such as voids in the gate conductive layer.

10,100‧‧‧半導體基底 10,100‧‧‧Semiconductor substrate

12,104‧‧‧電晶體 12,104‧‧‧Optoelectronics

14,14’,112,112A‧‧‧閘極介電層 14,14', 112, 112A‧‧‧ gate dielectric layer

16,16’‧‧‧金屬閘極 16,16’‧‧‧Metal gate

18,116‧‧‧側壁子 18,116‧‧‧ 边边子

20,118‧‧‧接觸洞蝕刻停止層 20,118‧‧‧Contact hole etch stop layer

22‧‧‧介電層 22‧‧‧Dielectric layer

24,24’‧‧‧空洞 24, 24’ ‧ ‧ hollow

102‧‧‧淺溝渠隔離 102‧‧‧Shallow trench isolation

106‧‧‧第一介電層 106‧‧‧First dielectric layer

108‧‧‧金屬閘極結構 108‧‧‧Metal gate structure

110,110A‧‧‧源極/汲極區 110,110A‧‧‧Source/Bungee Area

114‧‧‧閘極導電層 114‧‧‧ gate conductive layer

120‧‧‧遮罩材料層 120‧‧‧Material layer

122‧‧‧遮罩層 122‧‧‧mask layer

124‧‧‧圖案化遮罩 124‧‧‧patterned mask

126‧‧‧第二介電層 126‧‧‧Second dielectric layer

128,130‧‧‧接觸洞 128,130‧‧‧Contact hole

132‧‧‧金屬矽化物 132‧‧‧Metal Telluride

134‧‧‧阻障/黏著層 134‧‧‧Resistance/adhesive layer

136‧‧‧導電層 136‧‧‧ Conductive layer

138,140‧‧‧接觸插栓 138,140‧‧‧Contact plug

142‧‧‧層間介電層 142‧‧‧Interlayer dielectric layer

144‧‧‧第三介電層 144‧‧‧ third dielectric layer

146‧‧‧第二接觸插栓 146‧‧‧Second contact plug

148‧‧‧第三接觸插栓 148‧‧‧3rd contact plug

200‧‧‧半導體裝置 200‧‧‧Semiconductor device

B1‧‧‧底面 B1‧‧‧ bottom

S‧‧‧階梯狀側邊 S‧‧‧stepped sides

T1,T2,T3,T4,T5,T6‧‧‧頂面 T1, T2, T3, T4, T5, T6‧‧‧ top surface

W1,W2‧‧‧寬度 W1, W2‧‧‧ width

第1圖至第2圖繪示了本發明之一實施例之形成半導體裝置的方法之示意圖。 1 to 2 are schematic views showing a method of forming a semiconductor device according to an embodiment of the present invention.

第3圖至第10圖繪示了本發明之一較佳實施例之形成半導體裝置的方法之示意圖。 3 to 10 are schematic views showing a method of forming a semiconductor device in accordance with a preferred embodiment of the present invention.

為使熟習本發明所屬技術領域之一般技藝者能更進一步了解本發明,下文特列舉本發明之較佳實施例,並配合所附圖式,詳細說明本發明的構成內容及所欲達成之功效。 The present invention will be further understood by those of ordinary skill in the art to which the present invention pertains. .

為避免後續形成的接觸插栓直接接觸金屬閘極,在形成接觸插栓之前可先形成一遮罩層覆蓋金屬閘極。請參考第1圖至第2圖,第1圖至第2圖繪示了本發明之一實施例之形成半導體裝置的方法之示意圖。如第1圖所示,一電晶體12、一接觸洞蝕刻停止層20與一介電層22設置於一半導體基底10上,且電晶體12包含一閘極介電層14以及一金屬閘極16設置於兩側壁子18之間的半導體基底10上,形成金屬閘極16的方法包含替換性金屬閘極(replacement metal gate,RMG)製程,其中由於臨界尺寸的縮小,金屬材料層(圖未示)將可能無法完全填滿兩側壁子18之間的溝渠(圖未示)而形成缺陷例如:空洞(void)24於金屬閘極16中。 接著,如第2圖所示,回蝕刻部分金屬閘極16以在兩側壁子18之間形成一開口(recess)(圖未示),隨後,在開口中填入一介電材料層(圖未示),並進行一化學機械研磨(chemical mechanical polishing,CMP)製程,以去除開口之外的介電材料層並形成一遮罩層26於剩餘的金屬閘極16’與剩餘的閘極介電層14’上方。其中,在去除部分金屬閘極16的過程中,缺陷將被惡化,也就是說,空洞24’可能會伴隨此蝕刻製程而同步向下延伸,而使空洞24’所占空間將有所增加,甚或使電晶體12失去正常功能。 In order to prevent the subsequently formed contact plug from directly contacting the metal gate, a mask layer may be formed to cover the metal gate before forming the contact plug. Please refer to FIG. 1 to FIG. 2 . FIG. 1 to FIG. 2 are schematic diagrams showing a method of forming a semiconductor device according to an embodiment of the present invention. As shown in FIG. 1, a transistor 12, a contact etch stop layer 20 and a dielectric layer 22 are disposed on a semiconductor substrate 10, and the transistor 12 includes a gate dielectric layer 14 and a metal gate. 16 is disposed on the semiconductor substrate 10 between the two sidewalls 18. The method of forming the metal gate 16 includes an alternative metal gate (RMG) process in which the metal material layer is removed due to the reduction in critical dimension. It may not be possible to completely fill the trench (not shown) between the two side walls 18 to form a defect such as a void 24 in the metal gate 16. Next, as shown in FIG. 2, a portion of the metal gate 16 is etched back to form a recess (not shown) between the sidewalls 18, and then a dielectric material layer is filled in the opening (Fig. Not shown), and a chemical mechanical polishing (CMP) process is performed to remove the dielectric material layer outside the opening and form a mask layer 26 to the remaining metal gate 16' and the remaining gate Above the electrical layer 14'. Wherein, in the process of removing part of the metal gate 16, the defect will be deteriorated, that is, the cavity 24' may be extended downward in synchronization with the etching process, and the space occupied by the cavity 24' will be increased. Or even the transistor 12 loses its normal function.

因此,為避免空洞缺陷的惡化,在遮罩層形成的過程中,較佳係未包含去除金屬閘極以形成開口的步驟。請參考第3圖至第10圖。第3圖至第10圖繪示了本發明之一較佳實施例之形成半導體裝置的方法之示意圖。本較佳實施例可避免空洞缺陷的惡化。如第3圖所示,首先提供一半導體基底100,且半導體基底100包含有複數個淺溝渠隔離(shallow trench isolation,STI)102。半導體基底100可以例如是矽基底(silicon substrate)、磊晶矽(epitaxial silicon substrate)、矽鍺半導體基底(silicon germanium substrate)、碳化矽基底(silicon carbide substrate)或矽覆絕緣(silicon-on-insulator,SOI)基底或其他半導體基底材料所構成的基底,但不以上述為限。淺溝渠隔離102可包含矽氧化物等絕緣材料,或是以其他如場氧化層(field oxide,FOX)等之絕緣結構來取代,而形成淺溝渠隔離的方法係為習知該項技藝者與通常知識者所熟知,在此不多加贅述。 Therefore, in order to avoid deterioration of void defects, it is preferable not to include a step of removing the metal gate to form an opening during the formation of the mask layer. Please refer to Figures 3 to 10. 3 to 10 are schematic views showing a method of forming a semiconductor device in accordance with a preferred embodiment of the present invention. The preferred embodiment avoids the deterioration of void defects. As shown in FIG. 3, a semiconductor substrate 100 is first provided, and the semiconductor substrate 100 includes a plurality of shallow trench isolation (STI) 102. The semiconductor substrate 100 can be, for example, a silicon substrate, an epitaxial silicon substrate, a silicon germanium substrate, a silicon carbide substrate, or a silicon-on-insulator. , SOI) a substrate composed of a substrate or other semiconductor substrate material, but is not limited to the above. The shallow trench isolation 102 may comprise an insulating material such as tantalum oxide, or may be replaced by another insulating structure such as field oxide (FOX), and the method of forming shallow trench isolation is known to those skilled in the art. Usually known to the knowledge, no more details are mentioned here.

接著,形成至少一電晶體104以及一第一介電層106設置於半導體基底100上。電晶體104包含一金屬閘極結構108以及二源極/汲極區110,其中金屬閘極結構108包含一閘極介電層112以及一閘極導電層114依序設置於二側壁子116之間,且二源極/汲極區110分別設置於 金屬閘極結構108兩側的半導體基底100中。本發明可應用於各種金屬閘極製程包括先閘極(gate first)製程、後閘極(gate last)製程之先閘極介電層(high-k first)製程以及後閘極製程之後閘極介電層(high-k last)製程等。本實施例係以後閘極製程之後閘極介電層製程所形成的電晶體104為例,故閘極介電層112包含一具有U型剖面之高介電常數介電層,其材料包含介電常數大於4的介電材料,例如係選自氧化鉿(hafnium oxide,HfO2)、矽酸鉿氧化合物(hafnium silicon oxide,HfSiO4)、矽酸鉿氮氧化合物(hafnium silicon oxynitride,HfSiON)、氧化鋁(aluminum oxide,Al2O3)、氧化鑭(lanthanum oxide,La2O3)、氧化鉭(tantalum oxide,Ta2O5)、氧化釔(yttrium oxide,Y2O3)、氧化鋯(zirconium oxide,ZrO2)、鈦酸鍶(strontium titanate oxide,SrTiO3)、矽酸鋯氧化合物(zirconium silicon oxide,ZrSiO4)、鋯酸鉿(hafnium zirconium oxide,HfZrO4)、鍶鉍鉭氧化物(strontium bismuth tantalate,SrBi2Ta2O9,SBT)、鋯鈦酸鉛(lead zirconate titanate,PbZrxTi1-xO3,PZT)、鈦酸鋇鍶(barium strontium titanate,BaxSr1-xTiO3,BST)、或其組合所組成之群組。形成閘極介電層112的方法包括原子層沉積(atomic layer deposition,ALD)製程或有機金屬化學氣相沉積法(metal-organic chemical vapor deposition,MOCVD),但不以此為限。此外,也可選擇性另包含一介電層(圖未示)例如氧化矽層設置於半導體基底100與閘極介電層112之間;而閘極導電層114可以包含一層或多層的金屬材質,例如包含一功函數金屬層(work function metal layer)、一阻障層(barrier layer)以及一低電阻金屬層。功函數金屬層用以調整形成的金屬閘極結構108之功函數,使其適用於N型電晶體(NMOS)或P型電晶體(PMOS)。若電晶體為N型電晶體,功函數金屬層可選用功函數為3.9電子伏特(eV)~4.3 eV的金屬材料,如鋁化鈦(TiAl)、鋁化鋯(ZrAl)、鋁化鎢(WAl)、鋁化鉭(TaAl)或鋁化鉿(HfAl)等,但不以此為限;若電晶體為P型電晶體,功函數金屬層可選用功函數為4.8 eV~5.2 eV的金屬材料,如 氮化鈦(TiN)、氮化鉭(TaN)或碳化鉭(TaC)等,但不以此為限。 Next, at least one transistor 104 and a first dielectric layer 106 are formed on the semiconductor substrate 100. The transistor 104 includes a metal gate structure 108 and two source/drain regions 110. The gate structure 108 includes a gate dielectric layer 112 and a gate conductive layer 114 disposed on the sidewalls 116. The two source/drain regions 110 are disposed in the semiconductor substrate 100 on both sides of the metal gate structure 108, respectively. The invention can be applied to various metal gate processes including a gate first process, a gate last process, a high-k first process, and a gate process after a gate process. Dielectric layer (high-k last) process, etc. This embodiment is an example of the transistor 104 formed by the gate dielectric process after the gate process. Therefore, the gate dielectric layer 112 includes a high-k dielectric layer having a U-shaped cross section. A dielectric material having an electric constant greater than 4 is, for example, selected from hafnium oxide (HfO 2 ), hafnium silicon oxide (HfSiO 4 ), hafnium silicon oxynitride (HfSiON). , aluminum oxide (Al 2 O 3 ), lanthanum oxide (La 2 O 3 ), tantalum oxide (Ta 2 O 5 ), yttrium oxide (Y 2 O 3 ), oxidation Zirconium oxide (ZrO 2 ), strontium titanate oxide (SrTiO 3 ), zirconium silicon oxide (ZrSiO 4 ), hafnium zirconium oxide (HfZrO 4 ), yttrium Oxide (strontium bismuth tantalate, SrBi 2 Ta 2 O 9 , SBT), lead zirconate titanate (PbZr x Ti 1-x O 3 , PZT), barium strontium titanate, Ba x Sr a group consisting of 1-x TiO 3 , BST), or a combination thereof. The method of forming the gate dielectric layer 112 includes an atomic layer deposition (ALD) process or a metal-organic chemical vapor deposition (MOCVD), but is not limited thereto. In addition, a dielectric layer (not shown) such as a tantalum oxide layer may be selectively disposed between the semiconductor substrate 100 and the gate dielectric layer 112; and the gate conductive layer 114 may include one or more layers of metal material. For example, it includes a work function metal layer, a barrier layer, and a low resistance metal layer. The work function metal layer is used to adjust the work function of the formed metal gate structure 108 to be suitable for an N-type transistor (NMOS) or a P-type transistor (PMOS). If the transistor is an N-type transistor, the work function metal layer may be selected from a metal material having a work function of 3.9 eV to 4.3 eV, such as titanium aluminide (TiAl), zirconium aluminide (ZrAl), or tungsten aluminide ( WAl), tantalum aluminide (TaAl) or hafnium aluminide (HfAl), etc., but not limited to this; if the transistor is a P-type transistor, the work function metal layer may be selected from a metal having a work function of 4.8 eV to 5.2 eV Materials such as titanium nitride (TiN), tantalum nitride (TaN) or tantalum carbide (TaC), etc., but not limited thereto.

舉例來說,形成金屬閘極結構108以及源極/汲極區110的製程可先在半導體基底100形成一虛置閘極結構(圖未示),再依序形成側壁子116、源極/汲極區110、接觸洞蝕刻停止層(contact etch stop layer,CESL)118以及第一介電層106。接觸洞蝕刻停止層118可選擇性設置於金屬閘極結構108與第一介電層106之間,其材料可包括例如氮化矽、氮摻雜的碳化矽(nitrogen doped silicon carbide,NDC)層等介電材料。第一介電層106可為旋轉塗佈(spin-on-coating,SOC)製程、化學氣相沈積(CVD)製程或其他適合的製程等形成的介電材料所構成,介電材料包含低介電常數(dielectric constant,k)材料(介電常數值小於3.9)、超低介電常數(ultra low-k,以下簡稱為ULK)材料(介電常數值小於2.6)、或多孔性超低介電常數(porous ULK)材料,但不限於此。接著,進行一平坦化製程,例如一化學機械研磨(chemical mechanical polish,CMP)製程或者一回蝕刻製程,依序移除部份的第一介電層106、部份的接觸洞蝕刻停止層118、部份的側壁子116,至暴露虛置閘極結構,然後移除部分虛置閘極結構以形成一溝渠(圖未示),最後在溝渠中填入至少一高介電常數介電材料層(圖未示)以及至少一金屬材料層(圖未示),並進行另一化學機械研磨製程,去除溝渠之外的介電材料層以及金屬材料層以形成金屬閘極結構108之閘極介電層112以及閘極導電層114,使金屬閘極結構108之一頂面T1與第一介電層106之一頂面T3切齊,更詳細地說,此時,金屬閘極結構108之頂面T1、接觸洞蝕刻停止層118之頂面T2以及第一介電層106之頂面T3將係共平面。 For example, the process of forming the metal gate structure 108 and the source/drain region 110 may first form a dummy gate structure (not shown) on the semiconductor substrate 100, and then sequentially form the sidewall spacer 116, the source/ The drain region 110, the contact etch stop layer (CESL) 118, and the first dielectric layer 106. The contact hole etch stop layer 118 may be selectively disposed between the metal gate structure 108 and the first dielectric layer 106, and the material thereof may include, for example, a tantalum nitride-nitrogen doped silicon carbide (NDC) layer. And other dielectric materials. The first dielectric layer 106 may be formed of a dielectric material formed by a spin-on-coating (SOC) process, a chemical vapor deposition (CVD) process, or other suitable process, and the dielectric material includes a low dielectric layer. Dielectric constant (k) material (dielectric constant value less than 3.9), ultra low dielectric constant (ultra low-k, hereinafter referred to as ULK) material (dielectric constant value less than 2.6), or porous ultra low dielectric Electrical constant (porous ULK) material, but is not limited thereto. Then, a planarization process, such as a chemical mechanical polish (CMP) process or an etch process, is performed to sequentially remove a portion of the first dielectric layer 106 and a portion of the contact hole etch stop layer 118. a portion of the sidewall 116, to expose the dummy gate structure, and then remove a portion of the dummy gate structure to form a trench (not shown), and finally fill the trench with at least one high-k dielectric material a layer (not shown) and at least one metal material layer (not shown), and performing another chemical mechanical polishing process to remove the dielectric material layer and the metal material layer outside the trench to form the gate of the metal gate structure 108 The dielectric layer 112 and the gate conductive layer 114 are such that one top surface T1 of the metal gate structure 108 is aligned with the top surface T3 of the first dielectric layer 106. In more detail, at this time, the metal gate structure 108 The top surface T1, the top surface T2 of the contact hole etch stop layer 118, and the top surface T3 of the first dielectric layer 106 will be coplanar.

在另一實施例中,如第4圖所示,有別於第3圖的實施例中閘極介電層112是以「後閘極介電層(high-k last)製程」製程形成(即閘極 介電層是在移除虛擬閘極之後形成),第4圖的實施例中閘極介電層112A是以「先閘極介電層(high-k first)製程」製程形成(即閘極介電層是在虛擬閘極之前形成),因此閘極介電層112A是具有「-型」剖面。再者,接觸洞蝕刻停止層118也可額外具有一應力。 In another embodiment, as shown in FIG. 4, in the embodiment different from FIG. 3, the gate dielectric layer 112 is formed by a "high-k last process" process ( Gate The dielectric layer is formed after the dummy gate is removed. In the embodiment of FIG. 4, the gate dielectric layer 112A is formed by a "high-k first process" process (ie, gate). The dielectric layer is formed before the dummy gate, so the gate dielectric layer 112A has a "-type" profile. Furthermore, the contact hole etch stop layer 118 may additionally have a stress.

另一方面,前述兩種實施例的源極/汲極區110均亦可以離子植入或摻雜磊晶等方式形成源極/汲極摻雜區,且源極/汲極區110之形狀亦可依金屬閘極結構108下方通道所需之應力而進行調整。此外,電晶體中的各元件可以依照不同設計而具有不同的實施態樣,舉例來說,源極/汲極區可包含以選擇性磊晶成長(selective epitaxial growth,SEG)形成的一磊晶層,其中磊晶層可直接形成於半導體基底100上,如第4圖所示的源極/汲極區110A,或先形成凹槽於金屬閘極結構108的兩側,再填入磊晶層於凹槽中,如第3圖所示的源極/汲極區110,以提供應力於金屬閘極結構下方之通道區。在本實施例中,當電晶體104係一N型金氧半導體電晶體(NMOS)時,源極/汲極區110的磊晶層可由磷化矽(SiP)或碳化矽(SiC)組成以提供拉伸應力至通道區,而當電晶體104係一P型金氧半導體電晶體(PMOS)時,源極/汲極區110的磊晶層可由矽化鍺(SiGe)組成以提供壓縮應力至通道區,但不以此為限。此外,可混合搭配乾、濕蝕刻製程以形成各種形狀如桶形(邊較直的形狀)、六角形、多角形的凹槽,在後續製程中,形成於此類形狀之凹槽中的磊晶層可具有六面體(hexagon,又叫sigma Σ)或八面體(octagon)之截面形狀,並具有一大體上平坦的底面,以增加對通道區所提供的應力。上述的實施方式僅為示例,本發明電晶體可以具有各種不同實施態樣,在此不一一贅述。以下實施例將以第3圖中電晶體104的實施態樣進行描述。 On the other hand, the source/drain regions 110 of the foregoing two embodiments may also form a source/drain doping region by ion implantation or doping epitaxy, and the shape of the source/drain region 110. It can also be adjusted according to the stress required for the channel below the metal gate structure 108. In addition, each element in the transistor may have different implementations according to different designs. For example, the source/drain region may include an epitaxial layer formed by selective epitaxial growth (SEG). a layer, wherein the epitaxial layer can be directly formed on the semiconductor substrate 100, such as the source/drain region 110A shown in FIG. 4, or a groove is formed on both sides of the metal gate structure 108, and then the epitaxial layer is filled. The source/drain regions 110 are layered in the recesses as shown in FIG. 3 to provide a channel region under stress to the metal gate structure. In the present embodiment, when the transistor 104 is an N-type MOS transistor (NMOS), the epitaxial layer of the source/drain region 110 may be composed of bismuth phosphide (SiP) or tantalum carbide (SiC). Providing tensile stress to the channel region, and when the transistor 104 is a P-type MOS transistor (PMOS), the epitaxial layer of the source/drain region 110 may be composed of germanium telluride (SiGe) to provide compressive stress to Channel area, but not limited to this. In addition, a dry and wet etching process can be mixed to form various shapes such as a barrel shape (a straight shape), a hexagonal shape, a polygonal groove, and a protrusion formed in a groove of such a shape in a subsequent process. The seed layer may have a cross-sectional shape of a hexagonal or octagon and has a substantially flat bottom surface to increase the stress provided to the channel region. The above embodiments are merely examples, and the transistor of the present invention may have various implementations, which are not described herein. The following embodiments will be described in terms of an embodiment of the transistor 104 in FIG.

接著,形成一圖案化遮罩於金屬閘極結構上,圖案化遮罩位 於閘極導電層以及二側壁子上,且圖案化遮罩僅覆蓋金屬閘極結構,亦即,而未重疊第一介電層,也就是說,圖案化遮罩係僅接觸金屬閘極結構之頂面,而暴露接觸洞蝕刻停止層之頂面與第一介電層之頂面。形成圖案化遮罩的方法可包含下列步驟。首先如第5圖以及第6圖所示,全面性形成一遮罩材料層120於半導體基底100上,遮罩材料層120將同時覆蓋金屬閘極結構108、接觸洞蝕刻停止層118以及第一介電層106。隨後,形成一遮罩層122例如:圖案化光阻層於遮罩材料層120上,且以此圖案化光阻層作為遮罩,進行一或多道蝕刻製程移除部分遮罩材料層120,以完成圖案化遮罩124,最後,去除遮罩層122。圖案化遮罩124的材料可包含介電材料,例如:氧化矽(silicon oxide,SiO)、氮化矽(silicon nitride,SiN)、碳化矽(silicon carbide,SiC)、氮碳化矽(silicon carbonitride,SiCN)、氮氧化矽(silicon oxynitride,SiON)或其組合所組成的單層結構或多層結構。 Next, a patterned mask is formed on the metal gate structure to pattern the mask On the gate conductive layer and the two sidewalls, and the patterned mask covers only the metal gate structure, that is, does not overlap the first dielectric layer, that is, the patterned mask only contacts the metal gate structure The top surface of the contact etch stop layer and the top surface of the first dielectric layer are exposed. The method of forming a patterned mask can include the following steps. First, as shown in FIG. 5 and FIG. 6, a mask material layer 120 is integrally formed on the semiconductor substrate 100. The mask material layer 120 will simultaneously cover the metal gate structure 108, the contact hole etch stop layer 118, and the first Dielectric layer 106. Subsequently, a mask layer 122 is formed, for example, a patterned photoresist layer on the mask material layer 120, and the photoresist layer is patterned as a mask, and one or more etching processes are performed to remove a portion of the mask material layer 120. To complete the patterned mask 124, and finally, the mask layer 122 is removed. The material of the patterned mask 124 may comprise a dielectric material such as silicon oxide (SiO), silicon nitride (SiN), silicon carbide (SiC), silicon carbonitride, A single layer structure or a multilayer structure composed of SiCN), silicon oxynitride (SiON) or a combination thereof.

值得注意的是,在本實施例中,圖案化遮罩124之材料亦即遮罩材料層120之材料較佳係不同於接觸洞蝕刻停止層118之材料與第一介電層106之材料,使遮罩材料層120與接觸洞蝕刻停止層118以及第一介電層106具有蝕刻選擇比,也就是說,當使用相同的蝕刻劑移除遮罩材料層120時,接觸洞蝕刻停止層118以及第一介電層106的被移除速率將實質上小於遮罩材料層120的被移除速度,因此,在完成圖案化遮罩124後,接觸洞蝕刻停止層118以及第一介電層106仍可維持其大部分原始結構,此時,金屬閘極結構108之頂面T1或與其接觸的圖案化遮罩124之一底面B1將實質上高於或切齊接觸洞蝕刻停止層118之頂面T2以及第一介電層106之頂面T3,而圖案化遮罩124之一頂面T4係實質上高於接觸洞蝕刻停止層118之頂面T2以及第一介電層106之頂面T3。在其他實施例中,若遮罩材料層之材料與接觸洞蝕刻停止層之材料或第一介電 層之材料相同時,可藉由時間模式(time mode)來調整蝕刻製程的操作條件,例如調整蝕刻製程的製程時間(processing time)以決定去除的遮罩材料層的厚度,使未重疊金屬閘極結構的遮罩材料層可完全被去除,且蝕刻製程停止於暴露接觸洞蝕刻停止層之頂面以及第一介電層之頂面。另外,在形成圖案化遮罩124的過程中,遮罩材料層120均完全覆蓋閘極導電層114以及閘極導電層114與各側壁子116的交界處,防止去除部分遮罩材料層120時使用的蝕刻劑或化學溶劑接觸閘極導電層114,且未形成開口於側壁子116之間,以維持閘極導電層114的原始結構,且避免惡化閘極導電層中的缺陷例如:避免增大閘極導電層中空洞之尺寸。此外,圖案化遮罩124之佈局圖案、尺寸或形狀均可根據製程需求進行調整,也就是說,圖案化遮罩124可完全覆蓋側壁子116或部分覆蓋側壁子116。 It should be noted that, in this embodiment, the material of the patterned mask 124, that is, the material of the mask material layer 120 is preferably different from the material of the contact hole etch stop layer 118 and the material of the first dielectric layer 106. The masking material layer 120 is provided with an etch selectivity ratio to the contact hole etch stop layer 118 and the first dielectric layer 106, that is, when the mask layer 120 is removed using the same etchant, the contact hole etch stop layer 118 And the removed rate of the first dielectric layer 106 will be substantially less than the removed speed of the masking material layer 120, thus, after completing the patterned mask 124, the contact hole etch stop layer 118 and the first dielectric layer 106 can still maintain most of its original structure, at this time, the top surface T1 of the metal gate structure 108 or one of the bottom surfaces B1 of the patterned mask 124 in contact therewith will be substantially higher than or in contact with the hole etch stop layer 118. The top surface T2 and the top surface T3 of the first dielectric layer 106, and one of the top surfaces T4 of the patterned mask 124 is substantially higher than the top surface T2 of the contact hole etch stop layer 118 and the top of the first dielectric layer 106 Face T3. In other embodiments, if the material of the mask material layer and the material of the contact hole etch stop layer or the first dielectric When the materials of the layers are the same, the operating conditions of the etching process can be adjusted by a time mode, for example, the processing time of the etching process is adjusted to determine the thickness of the removed mask material layer, so that the unoverlapping metal gates are The layer of the mask material of the pole structure can be completely removed, and the etching process is stopped to expose the top surface of the contact hole etch stop layer and the top surface of the first dielectric layer. In addition, in the process of forming the patterned mask 124, the mask material layer 120 completely covers the gate conductive layer 114 and the boundary between the gate conductive layer 114 and each sidewall 116, preventing the partial mask material layer 120 from being removed. The etchant or chemical solvent used contacts the gate conductive layer 114 and is not formed between the sidewalls 116 to maintain the original structure of the gate conductive layer 114 and to avoid deteriorating defects in the gate conductive layer, for example: avoiding increase The size of the hollow hole of the large gate conductive layer. In addition, the layout pattern, size or shape of the patterned mask 124 can be adjusted according to process requirements, that is, the patterned mask 124 can completely cover the sidewall spacer 116 or partially cover the sidewall spacer 116.

接下來,如第7圖所示,全面性形成由介電材料組成的一第二介電層126於半導體基底100上,第二介電層126將覆蓋圖案化遮罩124、接觸洞蝕刻停止層118與第一介電層106。然後,可對第二介電層126進行一平坦化製程,使第二介電層126具有一實質上平坦的頂面。其中,第二介電層126的材料與第一介電層106的材料可相同或不同,且第二介電層126的材料較佳係不同於圖案化遮罩124之材料以及接觸洞蝕刻停止層118之材料,使第二介電層126與圖案化遮罩124以及接觸洞蝕刻停止層118具有蝕刻選擇比。隨後,如第8圖所示,移除部分第二介電層126與第一介電層106以形成至少一接觸洞128/130於第二介電層126與第一介電層106中,其中接觸洞128/130係分別通達金屬閘極結構108至少一側的源極/汲極區110,也就是說,接觸洞128/130將暴露部分半導體基底100。各接觸洞128/130並不以單一開口(single)為限,也可各自包含附數個獨立開口或是一延伸條狀(slot),延伸條狀可沿平行金屬閘極結構108延伸的方向,亦即垂直紙面的方向,延伸於源極/汲極區110 上,且較佳係延伸整個源極/汲極區110,以增加後續形成的接觸插栓與源極/汲極區110之接觸面積,並降低電阻。也就是說,接觸洞128/130之尺寸、形狀、數量以及佈局圖案均可根據製程需求進行調整。此外,暴露二源極/汲極區110的接觸洞128/130可藉由一圖案化製程同時形成,或是雙重圖案化技術(DPT)完成。 Next, as shown in FIG. 7, a second dielectric layer 126 composed of a dielectric material is formed on the semiconductor substrate 100 in a comprehensive manner, and the second dielectric layer 126 will cover the patterned mask 124 and the contact hole is etched. Layer 118 and first dielectric layer 106. Then, a planarization process can be performed on the second dielectric layer 126 such that the second dielectric layer 126 has a substantially flat top surface. The material of the second dielectric layer 126 may be the same as or different from the material of the first dielectric layer 106, and the material of the second dielectric layer 126 is preferably different from the material of the patterned mask 124 and the contact hole is etched. The material of layer 118 has an etch selectivity ratio between second dielectric layer 126 and patterned mask 124 and contact etch stop layer 118. Subsequently, as shown in FIG. 8 , a portion of the second dielectric layer 126 and the first dielectric layer 106 are removed to form at least one contact hole 128 / 130 in the second dielectric layer 126 and the first dielectric layer 106, The contact holes 128/130 are respectively connected to the source/drain regions 110 of at least one side of the metal gate structure 108, that is, the contact holes 128/130 will expose a portion of the semiconductor substrate 100. Each contact hole 128/130 is not limited to a single single port, and may each include a plurality of independent openings or an extended strip extending in a direction parallel to the parallel metal gate structure 108. , that is, the direction of the vertical paper, extending in the source/drain region 110 Preferably, and preferably extending the entire source/drain region 110 to increase the contact area of the subsequently formed contact plug with the source/drain region 110 and reduce the resistance. That is to say, the size, shape, number and layout pattern of the contact holes 128/130 can be adjusted according to the process requirements. In addition, the contact holes 128/130 exposing the two source/drain regions 110 can be formed simultaneously by a patterning process or by double patterning (DPT).

形成接觸洞128/130的方法,包括下列步驟,但不以此為限。首先,在第二介電層126上方形成一遮罩層(圖未示),較佳為多層結構的遮罩層,例如可包含一先進圖案化材料層(advanced patterning film,APF)(圖未示)例如非晶碳層、一抗反射介電層(dielectric anti-reflective coating film,DARC)(圖未示)、一底抗反射層(bottom anti-reflective coating film,BARC)(圖未示)以及一圖案化光阻層(圖未示)依序設置於第二介電層126上,其中圖案化光阻層包含後續形成的接觸插栓之圖案,而先進圖案化材料層(APF)具有良好的準直性(high aspect ratio,HAR)、低邊緣粗糙度(lower line edge roughness,LER)及可灰化性(PR-like ashability),因此常被使用於線寬小於60奈米的製程中。接著,以圖案化光阻層作為遮罩,進行一或多道蝕刻製程,例如一非等向性(anisotropic)乾蝕刻(dry etch)製程,去除未被圖案化光阻層覆蓋之第二介電層126與第一介電層106至暴露源極/汲極區110上的接觸洞蝕刻停止層118,最後,再去除暴露的接觸洞蝕刻停止層118,以完成接觸洞128/130。 The method of forming the contact hole 128/130 includes the following steps, but is not limited thereto. First, a mask layer (not shown) is formed over the second dielectric layer 126. Preferably, the mask layer of the multi-layer structure may include an advanced patterning film (APF). For example, an amorphous carbon layer, a dielectric anti-reflective coating film (DARC) (not shown), a bottom anti-reflective coating film (BARC) (not shown) And a patterned photoresist layer (not shown) is sequentially disposed on the second dielectric layer 126, wherein the patterned photoresist layer comprises a pattern of subsequently formed contact plugs, and the advanced patterned material layer (APF) has Good aspect ratio (HAR), low line edge roughness (LER) and PR-like ashability, so it is often used in processes with line widths less than 60 nm. in. Then, using the patterned photoresist layer as a mask, one or more etching processes, such as an anisotropic dry etch process, are performed to remove the second dielectric layer that is not covered by the patterned photoresist layer. The electrical layer 126 and the first dielectric layer 106 are exposed to the contact hole etch stop layer 118 on the source/drain region 110. Finally, the exposed contact hole etch stop layer 118 is removed to complete the contact hole 128/130.

在本實施例中,由於圖案化遮罩124之材料例如:氮化矽(SiN)與接觸洞蝕刻停止層118例如:氮摻雜的碳化矽(NDC)之材料不同於第二介電層126之材料例如:氧化矽(SiO)與第一介電層106之材料例如:氧化矽(SiO),因此,在形成接觸洞128/130時使用的蝕刻液移除第二介電層126與第一介電層106的速率將實質上大於移除圖案化遮罩124與接 觸洞蝕刻停止層118的速率。此外,當接觸洞的尺寸不同時,例如:接觸洞128的剖面寬度W1大於接觸洞130的剖面寬度W2,或是接觸洞的位置發生偏移,形成的接觸洞將可能部分重疊金屬閘極結構108,例如:接觸洞128同時暴露源極/汲極區110與圖案化遮罩124,此時,接觸洞128暴露的圖案化遮罩124之一頂面T5將略低於第二介電層126仍覆蓋的圖案化遮罩124之一頂面T4,使圖案化遮罩124具有一非平坦的頂面(亦即頂面T4與頂面T5),但仍可完整覆蓋金屬閘極結構108,也就是說,圖案化遮罩124之材料性質與厚度足以在形成接觸洞128的過程中維持金屬閘極結構108的完整性,圖案化遮罩124之設置將有助於增加接觸洞製程之製程彈性(process window)。 In the present embodiment, since the material of the patterned mask 124 is, for example, tantalum nitride (SiN) and the contact hole etch stop layer 118, for example, a material of nitrogen-doped tantalum carbide (NDC) is different from the second dielectric layer 126. The material is, for example, yttrium oxide (SiO) and a material of the first dielectric layer 106 such as yttrium oxide (SiO). Therefore, the etchant used in forming the contact hole 128/130 removes the second dielectric layer 126 and the first The rate of a dielectric layer 106 will be substantially greater than the removal of the patterned mask 124 The rate at which the hole 118 is etched to stop the layer 118. In addition, when the size of the contact hole is different, for example, the cross-sectional width W1 of the contact hole 128 is larger than the cross-sectional width W2 of the contact hole 130, or the position of the contact hole is shifted, the formed contact hole may partially overlap the metal gate structure. 108. For example, the contact hole 128 simultaneously exposes the source/drain region 110 and the patterned mask 124. At this time, one of the top surfaces T5 of the patterned mask 124 exposed by the contact hole 128 will be slightly lower than the second dielectric layer. 126 is still covered by one of the top surfaces T4 of the patterned mask 124 such that the patterned mask 124 has a non-flat top surface (ie, top surface T4 and top surface T5), but still completely covers the metal gate structure 108 That is, the material properties and thickness of the patterned mask 124 are sufficient to maintain the integrity of the metal gate structure 108 during the formation of the contact holes 128. The placement of the patterned mask 124 will help increase the contact hole process. Process window.

在形成接觸洞128/130之後,可選擇性進行一清洗製程,例如以氬氣(Ar)對接觸洞128/130的表面進行清洗。隨後,可進行一自對準金屬矽化物(salicide)製程,以在接觸洞128/130所暴露的源極/汲極區110上分別形成一金屬矽化物(silicide)層132,例如是一矽化鎳(NiSi)層。在其他實施例中,若金屬矽化物層在形成接觸洞之前已經形成於源極/汲極區上,則形成金屬矽化物的此步驟可以省略。 After the contact holes 128/130 are formed, a cleaning process, such as cleaning the surface of the contact holes 128/130 with argon (Ar), may be selectively performed. Subsequently, a self-aligned metal salicide process can be performed to form a metal silicide layer 132 on the source/drain regions 110 exposed by the contact holes 128/130, for example, a silicide layer. Nickel (NiSi) layer. In other embodiments, this step of forming a metal telluride may be omitted if the metal telluride layer has been formed on the source/drain regions prior to forming the contact holes.

隨後,在接觸洞128/130中形成複數個接觸插栓。形成接觸插栓的方法,請參考第9圖,如第9圖所示,例如先在半導體基底100上依序形成一阻障/黏著層134、一晶種層(圖未示)以及一導電層136覆蓋第二介電層126並填入接觸洞128/130,其中阻障/黏著層134係共形地(conformally)填入接觸洞128/130中,且導電層136係完全填滿接觸洞128/130。阻障/黏著層134可用來避免導電層136之金屬原子擴散至周圍的第二介電層126/第一介電層106中以及增加導電層136與第二介電層126/第一介電層106之間的附著力。阻障/黏著層134的材料例如是鉭 (Ta)、鈦(Ti)、氮化鈦(TiN)、鉭化鈦(TaN)或是其任意組合例如鈦/氧化鈦所構成,但並不以此為限。晶種層之材料係較佳地與導電層136的材料相同,導電層136的材料包含各種低電阻金屬材料,例如是鋁(Al)、鈦(Ti)、鉭(Ta)、鎢(W)、鈮(Nb)、鉬(Mo)、銅(Cu)等材料,較佳是鎢或銅,最佳是鎢,以和金屬矽化物層132或下方的源極/汲極區110形成適當的歐姆接觸(Ohmic contact)。然後,進行一平坦化製程例如化學機械研磨(chemical mechanical polishing,CMP)製程、蝕刻製程或是兩者的結合,去除接觸洞以外區域之阻障/黏著層134、晶種層與導電層136,使剩餘的導電層136之一表面與第二介電層126之一表面共平面,至此完成複數個接觸插栓138/140,亦即源極/汲極插栓。 Subsequently, a plurality of contact plugs are formed in the contact holes 128/130. For the method of forming the contact plug, refer to FIG. 9. As shown in FIG. 9, for example, a barrier/adhesion layer 134, a seed layer (not shown), and a conductive layer are sequentially formed on the semiconductor substrate 100. The layer 136 covers the second dielectric layer 126 and fills the contact holes 128/130, wherein the barrier/adhesion layer 134 is conformally filled into the contact holes 128/130, and the conductive layer 136 is completely filled in contact. Hole 128/130. The barrier/adhesion layer 134 can be used to prevent metal atoms of the conductive layer 136 from diffusing into the surrounding second dielectric layer 126 / first dielectric layer 106 and to increase the conductive layer 136 and the second dielectric layer 126 / first dielectric Adhesion between layers 106. The material of the barrier/adhesive layer 134 is, for example, 钽 (Ta), titanium (Ti), titanium nitride (TiN), titanium telluride (TaN) or any combination thereof such as titanium/titanium oxide, but not limited thereto. The material of the seed layer is preferably the same as the material of the conductive layer 136, and the material of the conductive layer 136 comprises various low-resistance metal materials such as aluminum (Al), titanium (Ti), tantalum (Ta), tungsten (W). Materials such as niobium (Nb), molybdenum (Mo), copper (Cu), preferably tungsten or copper, preferably tungsten, are suitably formed with the metal telluride layer 132 or the source/drain regions 110 below. Ohmic contact. Then, a planarization process such as a chemical mechanical polishing (CMP) process, an etching process, or a combination of the two is performed to remove the barrier/adhesion layer 134, the seed layer and the conductive layer 136 in a region other than the contact hole. The surface of one of the remaining conductive layers 136 is coplanar with the surface of one of the second dielectric layers 126, thereby completing a plurality of contact plugs 138/140, i.e., source/drain plugs.

請繼續參考第9圖,本發明所提供的半導體裝置200包含金屬閘極結構108、接觸洞蝕刻停止層118以及第一介電層106與第二介電層126共同組成的層間介電層142設置於半導體基底100上,圖案化遮罩124係設置於金屬閘極結構108上,以及接觸插栓138/140設置於金屬閘極結構108至少一側的層間介電層142中。圖案化遮罩124僅覆蓋金屬閘極結構108,而不重疊第一介電層106、第二介電層126以及源極/汲極區110。圖案化遮罩124具有一非平坦的頂面,更明確地說,層間介電層142覆蓋的圖案化遮罩124之一頂面T4係高於未被層間介電層142覆蓋的圖案化遮罩124之一頂面T5。此外,圖案化遮罩124又高於接觸洞蝕刻停止層118且未重疊接觸洞蝕刻停止層118。在本實施例中,位於接觸插栓140與圖案化遮罩124之間的接觸洞蝕刻停止層118維持一原始頂面T2,高於接觸插栓138重疊的接觸洞蝕刻停止層118之頂面T6,此外,接觸插栓138的接觸洞蝕刻停止層118之頂面T6將略低於接觸插栓138的圖案化遮罩124之頂面T5,也就是說,金屬閘極結構108之一頂面T1(亦即閘極導電層114之頂面)將介於圖案化遮罩124之一頂面T5與 接觸洞蝕刻停止層118之一頂面T6之間。此外接觸插栓138具有至少一階梯狀側邊S,此階梯狀側邊S即包含部分圖案化遮罩124以及部分接觸洞蝕刻停止層118,亦即如先前第8圖所示之接觸洞128所暴露且未彼此切齊的圖案化遮罩124以及接觸洞蝕刻停止層118。 Referring to FIG. 9 , the semiconductor device 200 of the present invention includes a metal gate structure 108 , a contact etch stop layer 118 , and an interlayer dielectric layer 142 formed by the first dielectric layer 106 and the second dielectric layer 126 . Disposed on the semiconductor substrate 100, the patterned mask 124 is disposed on the metal gate structure 108, and the contact plugs 138/140 are disposed in the interlayer dielectric layer 142 on at least one side of the metal gate structure 108. The patterned mask 124 covers only the metal gate structure 108 without overlapping the first dielectric layer 106, the second dielectric layer 126, and the source/drain regions 110. The patterned mask 124 has a non-flat top surface. More specifically, one of the top surfaces T4 of the patterned mask 124 covered by the interlayer dielectric layer 142 is higher than the patterned mask not covered by the interlayer dielectric layer 142. One of the top faces T5 of the cover 124. In addition, the patterned mask 124 is again higher than the contact hole etch stop layer 118 and does not overlap the contact hole etch stop layer 118. In the present embodiment, the contact hole etch stop layer 118 between the contact plug 140 and the patterned mask 124 maintains an original top surface T2, which is higher than the top surface of the contact hole etch stop layer 118 where the contact plug 138 overlaps. T6, in addition, the top surface T6 of the contact hole etch stop layer 118 of the contact plug 138 will be slightly lower than the top surface T5 of the patterned mask 124 of the contact plug 138, that is, one of the metal gate structures 108 The face T1 (i.e., the top surface of the gate conductive layer 114) will be interposed between the top surface T5 of the patterned mask 124 and Contact hole between one of the top surfaces T6 of the etch stop layer 118. In addition, the contact plug 138 has at least one stepped side S, that is, a partially patterned mask 124 and a partial contact hole etch stop layer 118, that is, the contact hole 128 as shown in the previous FIG. The patterned mask 124 and the contact hole etch stop layer 118 are exposed and not aligned with each other.

如第10圖所示,於形成接觸插栓138/140之後,可再形成一第三介電層144於層間介電層142上,且形成複數個第二接觸插栓146於此第三介電層144中以分別電性連接各接觸插栓138/140,以及形成至少一第三接觸插栓148於第三介電層144、部分層間介電層142(第二介電層126)以及圖案化遮罩124中以電性連接金屬閘極結構108。最後,可進行一金屬內連線製程,在第三介電層144上形成一金屬內連線系統(metal interconnection system)(圖未示),其包含複數層金屬層間介電層(inter-metal dielectric layer,IMD layer)以及複數層金屬層(即所謂的metal 1,metal 2...等)。金屬內連線系統會透過第三接觸插栓148以電性連接電晶體104的閘極導電層114,以及透過第二接觸插栓146以及接觸插栓138/140以電性連接電晶體104的源極/汲極區110,以提供電晶體104對外訊號的輸入/輸出。 As shown in FIG. 10, after the contact plugs 138/140 are formed, a third dielectric layer 144 may be further formed on the interlayer dielectric layer 142, and a plurality of second contact plugs 146 are formed. The electrical layer 144 is electrically connected to the contact plugs 138 / 140, respectively, and the at least one third contact plug 148 is formed on the third dielectric layer 144, the partial interlayer dielectric layer 142 (the second dielectric layer 126), and The patterned mask 124 is electrically connected to the metal gate structure 108. Finally, a metal interconnect process can be performed to form a metal interconnection system (not shown) on the third dielectric layer 144, which includes a plurality of metal interlayer dielectric layers (inter-metal) A dielectric layer (IMD layer) and a plurality of metal layers (so-called metal 1, metal 2, etc.). The metal interconnecting system electrically connects the gate conductive layer 114 of the transistor 104 through the third contact plug 148, and electrically connects the transistor 104 through the second contact plug 146 and the contact plug 138/140. The source/drain region 110 provides input/output of the external signal of the transistor 104.

綜上所述,本發明在形成電性連接源極/汲極區的接觸插栓時,金屬閘極結構的閘極導電層係完全被圖案化遮罩覆蓋,以確保閘極導電層不受接觸插栓之製程影響,例如閘極導電層將不會接觸形成接觸洞所需進行的多次微影蝕刻製程中使用的清洗溶液、蝕刻液或化學溶劑,以維持閘極導電層的材料性質。此外,形成圖案化遮罩的製程中未包含回蝕刻部分閘極導電層,可避免惡化閘極導電層中已存在的缺陷例如:空洞。 In summary, when forming a contact plug electrically connected to the source/drain region, the gate conductive layer of the metal gate structure is completely covered by the patterned mask to ensure that the gate conductive layer is not protected. The influence of the process of the contact plug, for example, the gate conductive layer will not contact the cleaning solution, etching solution or chemical solvent used in the multiple lithography process required to form the contact hole to maintain the material properties of the gate conductive layer. . In addition, the process of forming the patterned mask does not include an etch back portion of the gate conductive layer, which can avoid deterioration of existing defects such as voids in the gate conductive layer.

100‧‧‧半導體基底 100‧‧‧Semiconductor substrate

102‧‧‧淺溝渠隔離 102‧‧‧Shallow trench isolation

106‧‧‧第一介電層 106‧‧‧First dielectric layer

108‧‧‧金屬閘極結構 108‧‧‧Metal gate structure

110‧‧‧源極/汲極區 110‧‧‧Source/Bungee Area

112‧‧‧閘極介電層 112‧‧‧ gate dielectric layer

114‧‧‧閘極導電層 114‧‧‧ gate conductive layer

120‧‧‧遮罩材料層 120‧‧‧Material layer

116‧‧‧側壁子 116‧‧‧ Sidewall

118‧‧‧接觸洞蝕刻停止層 118‧‧‧Contact hole etch stop layer

124‧‧‧圖案化遮罩 124‧‧‧patterned mask

126‧‧‧第二介電層 126‧‧‧Second dielectric layer

132‧‧‧金屬矽化物 132‧‧‧Metal Telluride

134‧‧‧阻障/黏著層 134‧‧‧Resistance/adhesive layer

136‧‧‧導電層 136‧‧‧ Conductive layer

138,140‧‧‧接觸插栓 138,140‧‧‧Contact plug

142‧‧‧層間介電層 142‧‧‧Interlayer dielectric layer

200‧‧‧半導體裝置 200‧‧‧Semiconductor device

S‧‧‧階梯狀側邊 S‧‧‧stepped sides

T1,T2,T4,T5,T6‧‧‧頂面 T1, T2, T4, T5, T6‧‧‧ top

Claims (20)

一種形成半導體裝置的方法,包括:提供一半導體基底,其中一金屬閘極結構以及一第一介電層設置於該半導體基底上,且該金屬閘極結構之一頂面與該第一介電層之一頂面切齊;形成一圖案化遮罩於該金屬閘極結構上,且該圖案化遮罩未重疊該第一介電層;全面性形成一第二介電層於該半導體基底上,且該第二介電層覆蓋該圖案化遮罩與該第一介電層;以及移除部分該第二介電層與該第一介電層以形成至少一接觸洞。 A method of forming a semiconductor device, comprising: providing a semiconductor substrate, wherein a metal gate structure and a first dielectric layer are disposed on the semiconductor substrate, and a top surface of the metal gate structure and the first dielectric Forming a top surface of the layer; forming a patterned mask on the metal gate structure, and the patterned mask does not overlap the first dielectric layer; forming a second dielectric layer on the semiconductor substrate in a comprehensive manner And the second dielectric layer covers the patterned mask and the first dielectric layer; and the portion of the second dielectric layer and the first dielectric layer are removed to form at least one contact hole. 如請求項1所述之形成半導體裝置的方法,其中該圖案化遮罩之一底面接觸該金屬閘極結構之該頂面。 A method of forming a semiconductor device according to claim 1, wherein a bottom surface of the patterned mask contacts the top surface of the metal gate structure. 如請求項1所述之形成半導體裝置的方法,其中形成該圖案化遮罩的方法包括:全面性形成一遮罩材料層於該半導體基底上;形成一圖案化光阻層於該遮罩材料層上,且以該圖案化光阻層作為遮罩,移除部分該遮罩材料層。 The method of forming a semiconductor device according to claim 1, wherein the method of forming the patterned mask comprises: forming a mask material layer on the semiconductor substrate in a comprehensive manner; forming a patterned photoresist layer on the mask material On the layer, and using the patterned photoresist layer as a mask, a portion of the mask material layer is removed. 如請求項1所述之形成半導體裝置的方法,其中該圖案化遮罩的材料包括介電材料。 A method of forming a semiconductor device according to claim 1, wherein the material of the patterned mask comprises a dielectric material. 如請求項1所述之形成半導體裝置的方法,其中另包括一接觸洞蝕刻停止層設置於該金屬閘極結構與該第一介電層之間。 The method of forming a semiconductor device according to claim 1, further comprising a contact hole etch stop layer disposed between the metal gate structure and the first dielectric layer. 如請求項5所述之形成半導體裝置的方法,其中該金屬閘極結構之該頂 面、該接觸洞蝕刻停止層之一頂面以及該第一介電層之該頂面係共平面。 A method of forming a semiconductor device according to claim 5, wherein the top of the metal gate structure The top surface of the contact etch stop layer and the top surface of the first dielectric layer are coplanar. 如請求項5所述之形成半導體裝置的方法,其中該圖案化遮罩覆蓋該金屬閘極結構之該頂面,且暴露該接觸洞蝕刻停止層之一頂面以及該第一介電層之該頂面。 The method of forming a semiconductor device according to claim 5, wherein the patterned mask covers the top surface of the metal gate structure, and exposes a top surface of the contact hole etch stop layer and the first dielectric layer The top surface. 如請求項7所述之形成半導體裝置的方法,其中該圖案化遮罩之一頂面實質上高於該接觸洞蝕刻停止層之該頂面以及該第一介電層之該頂面。 The method of forming a semiconductor device according to claim 7, wherein a top surface of the patterned mask is substantially higher than the top surface of the contact hole etch stop layer and the top surface of the first dielectric layer. 如請求項5所述之形成半導體裝置的方法,其中該接觸洞蝕刻停止層之材料不同於該圖案化遮罩之材料。 The method of forming a semiconductor device according to claim 5, wherein the material of the contact hole etch stop layer is different from the material of the patterned mask. 如請求項1所述之形成半導體裝置的方法,其中該金屬閘極結構包括一閘極介電層以及一閘極導電層依序設置於二側壁子之間的半導體基底上,且該圖案化遮罩位於該閘極導電層與二該側壁子上。 The method of forming a semiconductor device according to claim 1, wherein the metal gate structure comprises a gate dielectric layer and a gate conductive layer sequentially disposed on the semiconductor substrate between the two sidewalls, and the patterning A mask is located on the gate conductive layer and the two sidewalls. 如請求項1所述之形成半導體裝置的方法,另包括形成至少一源極/汲極區於該金屬閘極結構的至少一側。 The method of forming a semiconductor device according to claim 1, further comprising forming at least one source/drain region on at least one side of the metal gate structure. 如請求項11所述之形成半導體裝置的方法,另包括形成一金屬矽化物層於該接觸洞所暴露的該源極/汲極區。 The method of forming a semiconductor device according to claim 11, further comprising forming a metal halide layer in the source/drain region exposed by the contact hole. 如請求項1所述之形成半導體裝置的方法,其中該接觸洞暴露部分該半導體基底。 A method of forming a semiconductor device according to claim 1, wherein the contact hole exposes a portion of the semiconductor substrate. 一種半導體裝置,包括:一金屬閘極結構、一接觸洞蝕刻停止層以及一層間介電層設置於一半導體 基底上;一圖案化遮罩設置於該金屬閘極結構上,其中該圖案化遮罩僅覆蓋該金屬閘極結構,且該圖案化遮罩係高於該接觸洞蝕刻停止層且未重疊該接觸洞蝕刻停止層;以及至少一接觸插栓設置於該層間介電層中且部分重疊該圖案化遮罩與該金屬閘極結構,其中該接觸插栓具有至少一階梯狀側邊。 A semiconductor device comprising: a metal gate structure, a contact hole etch stop layer, and an interlayer dielectric layer disposed on a semiconductor a patterned mask disposed on the metal gate structure, wherein the patterned mask covers only the metal gate structure, and the patterned mask is higher than the contact hole etch stop layer and does not overlap Contacting the etch stop layer; and at least one contact plug is disposed in the interlayer dielectric layer and partially overlapping the patterned mask and the metal gate structure, wherein the contact plug has at least one stepped side. 如請求項14所述之半導體裝置,其中該接觸插栓的該階梯狀側邊包括部分該圖案化遮罩以及部分該接觸洞蝕刻停止層。 The semiconductor device of claim 14, wherein the stepped side of the contact plug includes a portion of the patterned mask and a portion of the contact hole etch stop layer. 如請求項14所述之半導體裝置,其中該金屬閘極結構包括一閘極介電層以及一閘極導電層依序設置於二側壁子之間的半導體基底上,且該圖案化遮罩位於該閘極導電層與二該側壁子上。 The semiconductor device of claim 14, wherein the metal gate structure comprises a gate dielectric layer and a gate conductive layer disposed on the semiconductor substrate between the two sidewalls, and the patterned mask is located The gate conductive layer and the two sidewalls. 如請求項14所述之半導體裝置,其中該圖案化遮罩具有一非平坦的頂面。 The semiconductor device of claim 14, wherein the patterned mask has a non-flat top surface. 如請求項17所述之半導體裝置,其中該層間介電層覆蓋的該圖案化遮罩之一頂面高於未被層間介電層覆蓋的該圖案化遮罩之一頂面。 The semiconductor device of claim 17, wherein a top surface of the patterned mask covered by the interlayer dielectric layer is higher than a top surface of the patterned mask not covered by the interlayer dielectric layer. 如請求項14所述之半導體裝置,其中該金屬閘極結構之一頂面介於該圖案化遮罩之一頂面與該接觸洞蝕刻停止層之一頂面之間。 The semiconductor device of claim 14, wherein a top surface of the metal gate structure is between a top surface of the patterned mask and a top surface of the contact etch stop layer. 如請求項14所述之半導體裝置,其中該接觸洞蝕刻停止層之材料不同於該圖案化遮罩之材料。 The semiconductor device of claim 14, wherein the material of the contact hole etch stop layer is different from the material of the patterned mask.
TW102109322A 2013-03-15 2013-03-15 Semiconductor device and method of forming the same TW201436209A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
TW102109322A TW201436209A (en) 2013-03-15 2013-03-15 Semiconductor device and method of forming the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW102109322A TW201436209A (en) 2013-03-15 2013-03-15 Semiconductor device and method of forming the same

Publications (1)

Publication Number Publication Date
TW201436209A true TW201436209A (en) 2014-09-16

Family

ID=51943475

Family Applications (1)

Application Number Title Priority Date Filing Date
TW102109322A TW201436209A (en) 2013-03-15 2013-03-15 Semiconductor device and method of forming the same

Country Status (1)

Country Link
TW (1) TW201436209A (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI800884B (en) * 2020-08-14 2023-05-01 台灣積體電路製造股份有限公司 Semiconductor structure and method for manufacturing thereof

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI800884B (en) * 2020-08-14 2023-05-01 台灣積體電路製造股份有限公司 Semiconductor structure and method for manufacturing thereof
US11935941B2 (en) 2020-08-14 2024-03-19 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and method for manufacturing thereof

Similar Documents

Publication Publication Date Title
US9006072B2 (en) Method of forming metal silicide layer
US20140264480A1 (en) Semiconductor device and method of forming the same
US9711411B2 (en) Semiconductor device and method for fabricating the same
US10068797B2 (en) Semiconductor process for forming plug
US20150118836A1 (en) Method of fabricating semiconductor device
US9070710B2 (en) Semiconductor process
CN113659004A (en) Semiconductor element and manufacturing method thereof
US11742412B2 (en) Method for fabricating a metal gate transistor with a stacked double sidewall spacer structure
US20140374805A1 (en) Semiconductor structure and manufacturing method thereof
US10460980B2 (en) Semiconductor device comprising a deep trench isolation structure and a trap rich isolation structure in a substrate and a method of making the same
US11239082B2 (en) Method for fabricating semiconductor device
TWI612666B (en) Method for fabricating finfet transistor
CN112736079A (en) Semiconductor device having contact plug connected to gate structure of PMOS region
CN110828377B (en) Semiconductor element with asymmetric work function metal layer
TW201436209A (en) Semiconductor device and method of forming the same
TWI821535B (en) Method for fabricating semiconductor device
TWI581316B (en) Method of forming metal silicide layer
US11757016B2 (en) Semiconductor device and method for fabricating the same
US20230411489A1 (en) Semiconductor device and method for fabricating the same
CN109545747B (en) Semiconductor element and manufacturing method thereof
CN118039468A (en) Semiconductor element and manufacturing method thereof