TW201426922A - Back-to-back stacked integrated circuit assembly and method of making - Google Patents

Back-to-back stacked integrated circuit assembly and method of making Download PDF

Info

Publication number
TW201426922A
TW201426922A TW102147480A TW102147480A TW201426922A TW 201426922 A TW201426922 A TW 201426922A TW 102147480 A TW102147480 A TW 102147480A TW 102147480 A TW102147480 A TW 102147480A TW 201426922 A TW201426922 A TW 201426922A
Authority
TW
Taiwan
Prior art keywords
substrate
active layer
assembly
layer
circuit board
Prior art date
Application number
TW102147480A
Other languages
Chinese (zh)
Other versions
TWI588946B (en
Inventor
Michael A Stuber
Stuart B Molin
Original Assignee
Io Semiconductor Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US13/725,306 external-priority patent/US8912646B2/en
Priority claimed from US13/725,403 external-priority patent/US9390974B2/en
Application filed by Io Semiconductor Inc filed Critical Io Semiconductor Inc
Publication of TW201426922A publication Critical patent/TW201426922A/en
Application granted granted Critical
Publication of TWI588946B publication Critical patent/TWI588946B/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08135Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/08145Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/4805Shape
    • H01L2224/4809Loop shape
    • H01L2224/48091Arched
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/481Disposition
    • H01L2224/48135Connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/48137Connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being arranged next to each other, e.g. on a common substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73251Location after the connecting process on different surfaces

Landscapes

  • Wire Bonding (AREA)

Abstract

An integrated circuit assembly includes a first substrate and a second substrate, with active layers formed on the first surfaces of each substrate, and with the second surfaces of each substrate coupled together. A method of fabricating an integrated circuit assembly includes forming active layers on the first surfaces of each of two substrates, and coupling the second surfaces of the substrates together.

Description

背對背堆疊積體電路總成及製造方法 Back-to-back stacked integrated circuit assembly and manufacturing method

本申請案主張2012年12月21日申請且標題為「Back-to-back stacked integrated circuit assembly and method of making」之美國專利申請案第13/725,403號之優先權,其以引用方式併入本文中用於全部目的。本申請案係關於2012年12月21日申請且標題為「Thin integrated circuit chip-on-board assembly and method of making」的Stuber等人的美國專利申請案第13/725,245號,並且係關於2012年12月21日申請且標題為「Semiconductor-on-insulator integrated circuit assembly and method of making」的Stuber等人的美國專利申請案第13/725,306號,其等由本申請案之受讓人所有且以引用方式併入本文中。 The present application claims priority to U.S. Patent Application Serial No. 13/725,403, entitled,,,,,,,,,,, Used for all purposes. The present application is related to U.S. Patent Application Serial No. 13/725,245, filed on Dec. 21, 2012, which is incorporated herein by reference in its entirety in U.S. Patent Application Serial No. 13/725,306, the entire disclosure of which is incorporated herein by reference in its entirety in its entirety in the the the the the the the the the the the The manner is incorporated herein.

電子器件持續以更小封裝體提供更多功能。此透過將更多容量一處理功率、記憶體等一一體化到個別積體電路晶片上來部分實現。然而,在小型功能強大的器件發展中亦重要的是將更多積體電路晶片自身安裝到更小封裝體中的能力。 Electronic devices continue to offer more functionality in smaller packages. This is partially achieved by integrating more capacity, processing power, memory, etc. onto a single integrated circuit chip. However, it is also important in the development of small and powerful devices to be able to mount more integrated circuit chips themselves into smaller packages.

積體電路晶片通常附接至印刷電路板。此等電路板包含一層或多層金屬跡線及通孔,提供電連接至晶片及其他組件,因此完善電子系統。經由使用創新的附接其組件晶片之方式,將電路板製成為更小以便安裝至更小器件中。 Integrated circuit chips are typically attached to a printed circuit board. These boards contain one or more layers of metal traces and vias that provide electrical connections to the wafer and other components, thus completing the electronic system. The board is made smaller to fit into smaller devices by using an innovative way of attaching its component wafers.

積體電路晶片可以若干方式附接至印刷電路板。其等通常安裝在具有多種針腳組態之封裝體中,該等針腳接著被插入印刷電路板之孔中並且固定在適當位置。對於較小外形而言,可省略封裝步驟,且晶片 可直接安裝在電路板上。對於將晶片安裝在封裝體中以及直接安裝在電路板上而言,通用晶片安裝技術皆為引線接合。在此方法中,薄引線將封裝體中或電路板上的墊連接至晶片上的墊。此等接合墊通常沿著晶片之上表面的外邊緣平置。 The integrated circuit die can be attached to the printed circuit board in a number of ways. They are typically mounted in a package having a variety of pin configurations that are then inserted into the holes of the printed circuit board and secured in place. For smaller shapes, the packaging step can be omitted and the wafer Can be mounted directly on the board. For wafer mounting in a package and directly on a board, the common wafer mounting techniques are wire bonding. In this method, thin leads connect pads in the package or on the board to pads on the wafer. These bond pads are typically laid flat along the outer edge of the upper surface of the wafer.

由於引線接合晶片所需之電路板面積因引線長度而超過晶 片面積,所以其他方法可用以取代引線接合。在第二種方法中,已知為覆晶或C4(用於控制崩潰晶片連接),晶片上之接合墊由焊接凸塊塗覆,且晶片面向下安裝在電路板上。在此方法中,電路板上晶片所使用之佔用面積不大於晶片面積。去除長引線還可具有性能優點。 The board area required for wire bonding the wafer exceeds the crystal due to the length of the lead The area of the sheet, so other methods can be used instead of wire bonding. In the second method, known as flip chip or C4 (for controlling crashed wafer connections), the bond pads on the wafer are coated by solder bumps and the wafers are mounted face down on the board. In this method, the footprint of the wafer used on the board is not greater than the area of the wafer. Removing long leads can also have performance advantages.

減小電路板尺寸之另一種方法係將晶片堆疊於彼此頂部, 同時仍電連接至電路板。設計者通常認為堆疊有關晶片係有利的一例如記憶體晶片及其控制器。在此情況下,上晶片通常直接連接至下晶片,且不一定連接至電路板。此一堆疊晶片總成將通常需要垂直連接(諸如矽通孔)來發送信號及/或電力至晶片中的至少一個。此垂直連接雖然昂貴,但可造成實質封裝尺寸的減小,尤其係在此技術與覆晶安裝組合之情況下。在此等總成中,晶片與形成於下晶片上之C4凸塊倒裝;或與直接形成於垂直連接器上之C4凸塊面對面安裝。 Another way to reduce the size of the board is to stack the wafers on top of each other. It is still electrically connected to the board. Designers generally consider stacking related wafers such as memory chips and their controllers. In this case, the upper wafer is typically directly connected to the lower wafer and is not necessarily connected to the circuit board. Such a stacked wafer assembly will typically require a vertical connection, such as a via, to transmit signals and/or power to at least one of the wafers. This vertical connection, while expensive, can result in a reduction in the substantial package size, especially in the case of this technology combined with flip chip mounting. In such assemblies, the wafer is flip-chip mounted with C4 bumps formed on the lower wafer; or face-to-face with C4 bumps formed directly on the vertical connector.

在一些情況中,晶片堆疊可有益處但無需垂直連接。舉例 而言,多個相同記憶體晶片可連接至一個控制器晶片,以便增大記憶體容量。在此情況下,記憶體晶片可單獨堆疊且接合至印刷電路板、將其等連接至附近控制器晶片。在此等情況下,晶片皆通常正面向上安裝,且皆引線接合至電路板。然而,由晶片堆疊提供之少許面積節省因大量引線接合消耗之面積而損失。 In some cases, wafer stacking may be beneficial but does not require a vertical connection. Example In this case, a plurality of identical memory chips can be connected to one controller wafer in order to increase the memory capacity. In this case, the memory chips can be stacked separately and bonded to the printed circuit board, connected to the nearby controller wafer. In such cases, the wafers are typically mounted face up and are wire bonded to the board. However, the small area savings provided by the wafer stack is lost due to the area consumed by the large number of wire bonds.

因此,越來越需要以具成本效益之方式生產小型、複雜電 路板。 Therefore, there is an increasing need to produce small, complex electricity in a cost-effective manner. Road board.

如本文使用及在附屬專利申請範圍中,電路形成於基板上 之區域被稱為作用層。用術語“作用層”所指之電路無需包含任何作用器件;而是此一層可包含僅包括被動器件之電路。此等被動電路之實例包含帶通濾波器及電阻分壓器。 As used herein and in the scope of the accompanying patent application, circuitry is formed on a substrate The area is called the active layer. The circuit referred to by the term "active layer" need not include any active device; rather, this layer may include circuitry that includes only passive components. Examples of such passive circuits include band pass filters and resistor dividers.

在一個實施例中,一積體電路總成包含一基板,該基板具有一第一表面及一第二表面,該第一表面具有形成於其中的一作用層。該第一作用層包含一第一金屬墊。提供一第二基板,其具有一第一表面及一第二表面,其中一第二作用層形成於該第一表面中,使得該第二基板之第二表面耦合至該第一基板之第二表面。該第二作用層包含一第二金屬墊。 In one embodiment, an integrated circuit assembly includes a substrate having a first surface and a second surface, the first surface having an active layer formed therein. The first active layer includes a first metal pad. Providing a second substrate having a first surface and a second surface, wherein a second active layer is formed in the first surface such that a second surface of the second substrate is coupled to the second substrate surface. The second active layer comprises a second metal pad.

在另一實施例中,一種製造積體電路總成之方法包含提供一第一基板,其具有一第一表面及一第二表面。一第一作用層形成於該第一基板之第一表面上。提供一第二基板,其具有一第一表面及一第二表面,且進一步具有形成於其第一表面上的一第二作用層。該第二基板之第二表面耦合至該第一基板之第二表面。 In another embodiment, a method of fabricating an integrated circuit assembly includes providing a first substrate having a first surface and a second surface. A first active layer is formed on the first surface of the first substrate. A second substrate is provided having a first surface and a second surface, and further having a second active layer formed on the first surface thereof. A second surface of the second substrate is coupled to the second surface of the first substrate.

100‧‧‧第一基板 100‧‧‧First substrate

101‧‧‧第一表面 101‧‧‧ first surface

102‧‧‧第二表面 102‧‧‧ second surface

103‧‧‧第一作用層 103‧‧‧First active layer

104‧‧‧金屬接合墊 104‧‧‧Metal joint pad

105‧‧‧引線 105‧‧‧Lead

107‧‧‧金屬墊 107‧‧‧Metal pad

108‧‧‧劃線 108‧‧‧dick

109‧‧‧引線 109‧‧‧ lead

115‧‧‧焊接凸塊 115‧‧‧welding bumps

200‧‧‧第二基板 200‧‧‧second substrate

201‧‧‧第一表面 201‧‧‧ first surface

202‧‧‧第二表面 202‧‧‧ second surface

203‧‧‧第二作用層 203‧‧‧Secondary layer

204‧‧‧金屬墊 204‧‧‧Metal pad

205‧‧‧焊接凸塊 205‧‧‧welding bumps

206‧‧‧印刷電路板 206‧‧‧Printed circuit board

207‧‧‧金屬墊 207‧‧‧Metal pad

208‧‧‧劃線 208‧‧‧dick

216‧‧‧印刷電路板 216‧‧‧Printed circuit board

240‧‧‧積體電路總成 240‧‧‧Integrated circuit assembly

250a‧‧‧積體電路總成;凸塊總成 250a‧‧‧ integrated circuit assembly; bump assembly

250b‧‧‧積體電路總成 250b‧‧‧ integrated circuit assembly

260‧‧‧第三基板 260‧‧‧ third substrate

261‧‧‧第一表面 261‧‧‧ first surface

262‧‧‧第二表面 262‧‧‧ second surface

263‧‧‧作用層 263‧‧‧Working layer

264‧‧‧墊 264‧‧‧ pads

300‧‧‧絕緣體上半導體 300‧‧‧Semiconductor semiconductor

302‧‧‧表面 302‧‧‧ surface

303‧‧‧作用層 303‧‧‧Working layer

304‧‧‧金屬接合墊 304‧‧‧Metal joint pad

308‧‧‧劃線 308‧‧‧

310‧‧‧絕緣層 310‧‧‧Insulation

311‧‧‧處理層 311‧‧‧Processing layer

312‧‧‧臨時載體 312‧‧‧ temporary carrier

340‧‧‧積體電路總成 340‧‧‧ integrated circuit assembly

350a‧‧‧積體電路總成 350a‧‧‧ integrated circuit assembly

400‧‧‧流程圖 400‧‧‧ Flowchart

410‧‧‧步驟 410‧‧‧Steps

415‧‧‧步驟 415‧‧‧ steps

420‧‧‧步驟 420‧‧ steps

425‧‧‧步驟 425‧‧ steps

430‧‧‧步驟 430‧‧ steps

435‧‧‧步驟 435‧‧‧Steps

440‧‧‧步驟 440‧‧‧Steps

445‧‧‧步驟 445‧‧ steps

1000‧‧‧流程圖 1000‧‧‧flow chart

1010‧‧‧步驟 1010‧‧‧Steps

1015‧‧‧步驟 1015‧‧‧Steps

1020‧‧‧步驟 1020‧‧‧Steps

1025‧‧‧步驟 1025‧‧‧Steps

1030‧‧‧步驟 1030‧‧‧Steps

1035‧‧‧步驟 1035‧‧‧Steps

1040‧‧‧步驟 1040‧‧‧Steps

1045‧‧‧步驟 1045‧‧‧Steps

1050‧‧‧步驟 1050‧‧‧Steps

1055‧‧‧步驟 1055‧‧‧Steps

1060‧‧‧步驟 1060‧‧‧Steps

本文描述的本發明之各個態樣及實施例可單獨或相互組合使用。現將參考附圖描述該等態樣及實施例。 The various aspects and embodiments of the invention described herein can be used alone or in combination with one another. The aspects and embodiments will now be described with reference to the drawings.

圖1係用於形成背對背堆疊體積體電路之示例性方法之流程圖。 1 is a flow diagram of an exemplary method for forming a back-to-back stacked volume circuit.

圖2a至圖2f繪示根據一些實施例之形成背對背堆疊積體電路之步驟之截面圖。 2a-2f are cross-sectional views showing the steps of forming a back-to-back stacked integrated circuit in accordance with some embodiments.

圖3係背對背堆疊積體電路之另一實施例之截面圖。 3 is a cross-sectional view of another embodiment of a back-to-back stacked integrated circuit.

圖4a至圖4b係背對背堆疊積體電路之另一實施例之截面圖。 4a through 4b are cross-sectional views of another embodiment of a back-to-back stacked integrated circuit.

圖5a至圖5b係背對背堆疊積體電路之另一實施例之截面圖,其中一第三積體電路堆疊於背對背積體電路總成之頂部。 5a-5b are cross-sectional views of another embodiment of a back-to-back stacked integrated circuit in which a third integrated circuit is stacked on top of the back-to-back integrated circuit assembly.

圖6係將SOI作用層轉移至體CMOS積體電路之背面的示例性方法之流程圖。 6 is a flow diagram of an exemplary method of transferring an SOI active layer to the back side of a bulk CMOS integrated circuit.

圖7a至圖7f繪示根據一些實施例之形成堆疊體CMOS/SOI積體電路之步驟之截面圖。 7a-7f are cross-sectional views showing the steps of forming a stacked CMOS/SOI integrated circuit in accordance with some embodiments.

電子總成通常包含附接至印刷電路板之複數個積體電路晶 片。印刷電路板包含引線及與附接至積體電路之連接,從而形成完整的功能系統。為了最小化此一總成之佔用面積,積體電路晶片通常堆疊於彼此頂部。 An electronic assembly typically includes a plurality of integrated circuit crystals attached to a printed circuit board sheet. The printed circuit board contains leads and connections to the integrated circuitry to form a complete functional system. In order to minimize the footprint of this assembly, integrated circuit chips are typically stacked on top of each other.

本發明揭示一種堆疊晶片總成及一種堆疊晶片之方法。所 提出之晶片堆疊過程簡單且成本低。在本發明之實施例中,描述了其中積體電路晶片背對背堆疊於彼此之上並且電連接至印刷電路板之方法。在此組態中,每個晶片上之接合墊在無需垂直連接(諸如矽通孔)之情況下可接達。由於垂直通孔直徑通常小於5微米且間隔開小於5微米,所以晶片之間的垂直連接通常需要晶片相互之間的昂貴精確對準(<5微米)。因此,在本發明中,無需高度精確之晶片對晶片對準。 A stacked wafer assembly and a method of stacking wafers are disclosed. Place The proposed wafer stacking process is simple and low cost. In an embodiment of the invention, a method in which integrated circuit wafers are stacked back to back on each other and electrically connected to a printed circuit board is described. In this configuration, the bond pads on each wafer are accessible without the need for vertical connections, such as through-holes. Since vertical via diameters are typically less than 5 microns and spaced apart by less than 5 microns, vertical connections between wafers typically require expensive precision alignment (<5 microns) between the wafers. Thus, in the present invention, highly accurate wafer-to-wafer alignment is not required.

在本發明中,晶片中之一者可用焊接凸塊(“覆晶”方法) 接合至電路板達到最小晶片外形面積。一個或兩個晶片可被薄化,實現更薄的電路板總成一通常係小型的薄電子器件所需。事實上,第一晶片可結構上支撐第二晶片,因此第二晶片可被薄化至10微米或更小。或者,第二晶片可為絕緣體上半導體(SOI),使用層轉移技術將其接合至第一晶片,從而實現甚至更薄的總成。 In the present invention, one of the wafers can be solder bumps ("Crystal" method) Bond to the board to achieve a minimum wafer outline area. One or two wafers can be thinned to achieve a thinner circuit board assembly that is typically required for small, thin electronic devices. In fact, the first wafer can structurally support the second wafer, so the second wafer can be thinned to 10 microns or less. Alternatively, the second wafer can be a semiconductor-on-insulator (SOI) bonded to the first wafer using layer transfer techniques to achieve an even thinner assembly.

圖1繪示本揭示內容之方法的一個實施例,其中形成於塊 半導體基板上的兩個積體電路背對背耦合且附接至印刷電路板以便將兩個電路電連接至電路板。在圖1之流程圖1000中,在步驟1010中提供一第一基板,諸如矽晶圓,其具有一第一表面及一第二表面。在步驟1015中,(例如)經由使用標準的互補金屬氧化物半導體(CMOS)製程在該第一基板之第一表面上形成一第一作用層。此一製程可(例如)形成電晶體、接觸件及互連層,其等連接以形成一積體電路。在步驟1020中,在該第一作用層上形成一金屬接合墊。此墊可電連接至步驟1015中形成之積體電路的一輸入節點、輸出節點、電力節點、接地節點或某一其他節點。此墊實體上可連接至作為步驟1015之部分而形成的一金屬互連層。 1 illustrates an embodiment of a method of the present disclosure in which a block is formed Two integrated circuits on the semiconductor substrate are coupled back to back and attached to the printed circuit board to electrically connect the two circuits to the circuit board. In the flowchart 1000 of FIG. 1, a first substrate, such as a germanium wafer, having a first surface and a second surface is provided in step 1010. In step 1015, a first active layer is formed on the first surface of the first substrate, for example, via a standard complementary metal oxide semiconductor (CMOS) process. Such a process can, for example, form a transistor, a contact, and an interconnect layer that are connected to form an integrated circuit. In step 1020, a metal bond pad is formed on the first active layer. The pad can be electrically connected to an input node, an output node, a power node, a ground node, or some other node of the integrated circuit formed in step 1015. The pad is physically connectable to a metal interconnect layer formed as part of step 1015.

在步驟1025中,提供一第二基板,其具有一第一表面及一 第二表面且進一步具有形成於其第一表面上的一第二作用層。舉例而言,該第二基板可為一第二矽晶圓,其中類似於步驟1015使用一CMOS製程在 其第一表面上形成一作用層。在步驟1030中,在第二作用層上形成一金屬接合墊。在一個實施例中,此金屬接合墊類似於在步驟1020中形成於該第一作用層上的接合墊。在步驟1035中,該等基板之一者或兩者可被薄化。 舉例而言,可經由研磨從任一基板之第二表面移除材料。在步驟1040中,該第一基板之第二表面接合至該第二基板之第二表面。可使用造成永久接合之任何晶圓接合方法;例如直接矽接合或熔融接合、永久黏合接合、金屬間擴散或共熔接合。應注意在一些實施例中,此步驟可包含一對準步驟,使得每個基板上之劃線大致相互對準。在一些實施例中,一絕緣層(例如二氧化矽)可生長或沈積於該第一基板之第二表面上,或在該第二基板之第二表面上,或在兩者上。 In step 1025, a second substrate is provided, which has a first surface and a The second surface and further has a second active layer formed on the first surface thereof. For example, the second substrate can be a second germanium wafer, wherein a CMOS process is used similar to step 1015. An active layer is formed on the first surface thereof. In step 1030, a metal bond pad is formed on the second active layer. In one embodiment, the metal bond pad is similar to the bond pad formed on the first active layer in step 1020. In step 1035, one or both of the substrates may be thinned. For example, material can be removed from the second surface of either substrate via grinding. In step 1040, the second surface of the first substrate is bonded to the second surface of the second substrate. Any wafer bonding method that results in permanent bonding can be used; for example, direct splicing or fusion bonding, permanent bonding bonding, intermetallic diffusion, or eutectic bonding. It should be noted that in some embodiments, this step can include an alignment step such that the scribe lines on each substrate are substantially aligned with one another. In some embodiments, an insulating layer (eg, hafnium oxide) can be grown or deposited on the second surface of the first substrate, or on the second surface of the second substrate, or both.

仍參考圖1,在步驟1045中,在該第一作用層上的第一金 屬接合墊上形成一焊接凸塊。舉例而言,此步驟可包含在形成該焊接凸塊之前,測試每個基板上的晶片。在步驟1050中,接合基板總成視情況被分離成個別晶片。舉例而言,分離步驟可包含用鋸子切割。在步驟1055中,該焊接凸塊附接至一印刷電路板上的一第三金屬墊。舉例而言,此步驟可經由完成焊接步驟而達成;即,經由熔化焊接凸塊使其黏附至一印刷電路板上的第三金屬墊之材料。在步驟1060中,該第二作用層上的第二金屬接合墊被引線接合至該印刷電路板上的一第四金屬墊。所得結構具有兩個堆疊積體電路,其兩者皆獨立地電連接至一印刷電路板。 Still referring to FIG. 1, in step 1045, the first gold on the first active layer A solder bump is formed on the bonding pad. For example, this step can include testing the wafer on each substrate prior to forming the solder bumps. In step 1050, the bonded substrate assembly is optionally separated into individual wafers. For example, the separating step can include cutting with a saw. In step 1055, the solder bump is attached to a third metal pad on a printed circuit board. For example, this step can be accomplished by completing the soldering step; that is, by soldering the bumps to adhere to the material of the third metal pad on a printed circuit board. In step 1060, a second metal bond pad on the second active layer is wire bonded to a fourth metal pad on the printed circuit board. The resulting structure has two stacked integrated circuits, both of which are independently electrically connected to a printed circuit board.

圖2a至圖2f繪示根據圖1之方法製造的例示性堆疊積體電 路。在圖2a中,提供一第一基板100,其具有一第一表面101及一第二表面102。舉例而言,此基板可為一矽晶圓,例如其厚度係500微米至900微米。或者,此基板可包括一不同的半導體(例如鍺、砷化鎵、或氮化鎵),或其可包括一絕緣體(例如藍寶石或石英)。在圖2b中,一第一作用層103形成於第一基板100上。舉例而言,此作用層可包含電晶體(例如包括閘極、源極、汲極及主體區域)、隔離區、接觸件及互連層,其等形成一完整的積體電路。此作用層可用許多積體電路製造程序中的任意種形成,例如CMOS製程或用一雙極性電晶體(BiCMOS)的CMOS製程或形成除了MOS電晶體之外的高功率器件或光電子器件的製程。作用層103可包括由劃線 108分開之複數個積體電路。舉例而言,此等劃線之寬度可為40微米或80微米。圖2B亦示出形成於第一作用層中的金屬接合墊104。此等金屬墊可由與焊接凸起或引線接合相容之任何金屬製成;例如銅或鋁。形成金屬接合墊104亦可包含形成一鈍化層,例如氮化矽或氮氧化矽,以防止電路與其環境起反應。因此,形成金屬接合墊104亦可包含形成墊開口以接達接合墊104。 2a to 2f illustrate an exemplary stacked integrated body fabricated according to the method of FIG. road. In FIG. 2a, a first substrate 100 having a first surface 101 and a second surface 102 is provided. For example, the substrate can be a single wafer, for example having a thickness of 500 microns to 900 microns. Alternatively, the substrate may comprise a different semiconductor (e.g., germanium, gallium arsenide, or gallium nitride), or it may comprise an insulator (e.g., sapphire or quartz). In FIG. 2b, a first active layer 103 is formed on the first substrate 100. For example, the active layer can include a transistor (eg, including a gate, a source, a drain, and a body region), an isolation region, a contact, and an interconnect layer that form a complete integrated circuit. This active layer can be formed by any of a number of integrated circuit fabrication processes, such as a CMOS process or a CMOS process using a bipolar transistor (BiCMOS) or a process of forming a high power device or optoelectronic device other than a MOS transistor. The active layer 103 can include a line 108 separate multiple integrated circuits. For example, the width of such scribe lines can be 40 microns or 80 microns. FIG. 2B also shows the metal bond pad 104 formed in the first active layer. These metal pads can be made of any metal compatible with solder bumps or wire bonds; for example copper or aluminum. Forming the metal bond pad 104 can also include forming a passivation layer, such as tantalum nitride or hafnium oxynitride, to prevent the circuit from reacting with its environment. Thus, forming the metal bond pad 104 can also include forming a pad opening to access the bond pad 104.

圖2c示出一第二基板200,其可類似於第一基板100,其 具有一第一表面201及一第二表面202。舉例而言,該第二基板可為厚度類似於第一基板(即500微米至900微米)之矽晶圓,或係不同半導體之晶圓,例如鍺;或係一絕緣體,例如藍寶石。此第二基板具有形成於第一表面201上的一作用層203;舉例而言,此作用層可使用類似於用以形成第一作用層101之製程的製程來形成,或可使用形成不同電路元件之不同製程。 作用層203亦可包括由劃線208分開之複數個積體電路。此等劃線之厚度可與第一作用層103上之劃線108的厚度相同。圖2c中亦示出形成於第二作用層203中的第二組金屬墊204。此等金屬墊204可由類似於第一金屬接合墊104之材料形成,或其等可由不同金屬形成。類似地,形成金屬墊204可包含形成一鈍化層。圖2c中,第一基板100之第二表面102與第二基板200之第二表面202接合在一起,從而形成接合積體電路總成240。 FIG. 2c illustrates a second substrate 200 that can be similar to the first substrate 100, There is a first surface 201 and a second surface 202. For example, the second substrate may be a germanium wafer having a thickness similar to that of the first substrate (ie, 500 micrometers to 900 micrometers), or a wafer of different semiconductors, such as germanium, or an insulator such as sapphire. The second substrate has an active layer 203 formed on the first surface 201; for example, the active layer may be formed using a process similar to that used to form the first active layer 101, or may be formed using different circuits. Different processes of components. The active layer 203 may also include a plurality of integrated circuits separated by scribe lines 208. The thickness of the scribe lines may be the same as the thickness of the scribe lines 108 on the first active layer 103. Also shown in Figure 2c is a second set of metal pads 204 formed in the second active layer 203. These metal pads 204 may be formed of a material similar to the first metal bond pads 104, or the like may be formed of different metals. Similarly, forming metal pad 204 can include forming a passivation layer. In FIG. 2c, the second surface 102 of the first substrate 100 is bonded to the second surface 202 of the second substrate 200 to form a bonded integrated circuit assembly 240.

在此接合步驟之前,基板100及200之任一者或兩者可被 薄化,例如達到最終厚度為150微米,或100微米,或80微米,或50微米,或30微米,或10微米。若一個基板未被薄化至結構不穩定之點(例如對於矽晶圓而言,基板大於約100微米),則另一基板可被充分薄化;例如達到30微米或10位置。在任何情況下,薄化步驟可包含(例如)首先將基板之第一表面101或201附接至背面研磨膠帶,或附接至塗覆有黏合劑之剛性處理晶圓。基板之第二表面102或202則可經受一機械或化學機械研磨步驟,或一純化學拋光步驟或此等步驟之任何組合。 Before or during this bonding step, either or both of the substrates 100 and 200 can be Thinning, for example, to a final thickness of 150 microns, or 100 microns, or 80 microns, or 50 microns, or 30 microns, or 10 microns. If one substrate is not thinned to a point where the structure is unstable (eg, for a germanium wafer, the substrate is greater than about 100 microns), the other substrate can be sufficiently thinned; for example, to a 30 micron or 10 position. In any event, the thinning step can include, for example, first attaching the first surface 101 or 201 of the substrate to the back grinding tape, or to the rigid processing wafer coated with the adhesive. The second surface 102 or 202 of the substrate can then be subjected to a mechanical or chemical mechanical polishing step, or a purification polishing step or any combination of such steps.

在將表面102及202組合在一起用於接合之前,可(例如)使用紅外成像以使基板100及200相互對準。此對準之目的可為使劃線108及208彼此對齊。因此,此對準步驟所需之精度取決於(例如)劃線108 及208之寬度;例如,對準精度可為劃線寬度之四分之一,或10微米,或20微米。例如與對準必須具有由接合完成之矽通孔連接的晶圓所需的精度相比,此係較不緊要的精度。此對準可需要小於1微米之精度。因此,本發明之實施例可使用與形成其他積體電路總成所需之設備及接合製程相比較不昂貴的設備及接合製程。 Prior to combining surfaces 102 and 202 for bonding, infrared imaging can be used, for example, to align substrates 100 and 200 with each other. The purpose of this alignment may be to align the scribe lines 108 and 208 with each other. Therefore, the accuracy required for this alignment step depends on, for example, the scribe line 108. And the width of 208; for example, the alignment accuracy may be one quarter of the width of the scribe line, or 10 microns, or 20 microns. This is less critical, for example, than the precision required to align a wafer that must be connected by a bonded via. This alignment may require an accuracy of less than 1 micron. Thus, embodiments of the present invention may use equipment and bonding processes that are less expensive than the equipment and bonding processes required to form other integrated circuit assemblies.

同樣在接合之前,一介電層可被沈積在表面102、202或兩 者上。舉例而言,此可包含一層二氧化矽或氮化矽。舉例而言,此一層可經由電漿增強型化學汽相沈積(PECVD)形成。在表面102及202之任一者或兩者上的一介電層可使形成於基板100及200上的電路彼此更好隔離。 Also prior to bonding, a dielectric layer can be deposited on surface 102, 202 or both On. For example, this may include a layer of hafnium oxide or tantalum nitride. For example, this layer can be formed via plasma enhanced chemical vapor deposition (PECVD). A dielectric layer on either or both of surfaces 102 and 202 can better isolate the circuits formed on substrates 100 and 200 from one another.

接著兩個基板100及200之第二表面102及202被接合在 一起。可使用許多接合方法中任一種,包含但不限於:矽直接接合或熔融接合、永久黏合接合(例如使用苯並環丁烯或聚醯亞胺),或使用金屬間擴散或共熔層之接合,例如銅、錫或金。此等接合技術可在大氣環境下或在真空中,例如在小於450攝氏度(℃)或小於350℃或小於250℃之溫度下,或在室溫下發生。一些接合技術(例如金屬間擴散接合)需要相對較高的接合壓力(例如60千牛頓);其他技術(例如黏合接合或熔融接合)需要小接合壓力(例如小於5牛頓)。一些接合方法(諸如直接或熔融接合)會需要一表面活化步驟,其可使每個表面成為親水性,從而容許形成範德瓦爾斯接合。此一活化步驟可包含一電漿處理、以濕式化學處理或此等之組合。可需要例如在400℃下的一退火步驟來將範德瓦爾斯接合轉變成共價接合。應注意一些接合技術(例如黏合或金屬間擴散接合)需要使用一中間層(例如黏合劑或金屬),其保留在總成中(圖2c中未示出)。在接合之後,薄化基板100及200時使用之任何膠帶或剛性處理件通常被移除。舉例而言,此可使用機械方式、熱方式或化學方式或其任何組合來完成。 The second surfaces 102 and 202 of the two substrates 100 and 200 are then bonded together. Any of a number of joining methods can be used, including but not limited to: bismuth direct or melt bonding, permanent bond bonding (eg, using benzocyclobutene or polyimine), or bonding using intermetallic diffusion or eutectic layers For example, copper, tin or gold. Such bonding techniques can occur in an atmospheric environment or in a vacuum, such as at a temperature of less than 450 degrees Celsius (° C.) or less than 350 ° C or less than 250 ° C, or at room temperature. Some bonding techniques (such as intermetallic diffusion bonding) require relatively high bonding pressures (e.g., 60 kilonewtons); other techniques (e.g., adhesive bonding or fusion bonding) require a small bonding pressure (e.g., less than 5 Newtons). Some bonding methods, such as direct or fusion bonding, may require a surface activation step that renders each surface hydrophilic, allowing for the formation of van der Waals joints. This activation step can include a plasma treatment, a wet chemical treatment, or a combination of these. An annealing step, such as at 400 ° C, may be required to convert the van der Waals bond to a covalent bond. It should be noted that some bonding techniques (such as bonding or intermetallic diffusion bonding) require the use of an intermediate layer (e.g., adhesive or metal) that remains in the assembly (not shown in Figure 2c). After bonding, any tape or rigid handling member used to thin the substrates 100 and 200 is typically removed. For example, this can be accomplished using mechanical, thermal or chemical means, or any combination thereof.

轉到圖2d,焊接凸塊205被施加到連接至作用層203之金 屬墊204。舉例而言,焊接凸塊可由鉛、錫、銅、鉍、銀、鎵、銦或其某一組合組成。焊接凸塊之直徑可為500微米,或直徑係100微米,或直徑係50微米,或直徑係25微米,且其等可被放置成1毫米節距,或200微米節距,或100微米節距,或50微米節距。焊接凸塊可經由許多製程之任何種 施加;例如經由電鍍、絲網印刷、蒸發或從玻璃模具轉移。在附接焊接凸塊之前,金屬墊204可具有沉積於其上之額外金屬層,例如鈦、錫、鎢、銅或其某一組合。在施加焊接凸塊205之前,可電測試形成於作用層103及203中之積體電路。 Turning to Figure 2d, the solder bumps 205 are applied to the gold connected to the active layer 203. Is a mat 204. For example, the solder bumps can be composed of lead, tin, copper, germanium, silver, gallium, indium, or some combination thereof. The solder bumps may be 500 microns in diameter, or 100 microns in diameter, or 50 microns in diameter, or 25 microns in diameter, and they may be placed at a pitch of 1 mm, or a pitch of 200 microns, or 100 microns. Distance, or 50 micron pitch. Solder bumps can be made through any of a variety of processes Applied; for example via electroplating, screen printing, evaporation or transfer from a glass mold. The metal pad 204 may have additional metal layers deposited thereon, such as titanium, tin, tungsten, copper, or some combination thereof, prior to attaching the solder bumps. The integrated circuits formed in the active layers 103 and 203 can be electrically tested before the solder bumps 205 are applied.

圖2e示出經由分離積體電路總成240(圖2d)形成的兩個 接合積體電路總成250a及250b。此分離製程可使用許多方法中的任何種來切割接合晶圓對,例如機械鋸子、雷射切割或乾式蝕刻。積體電路總成沿著劃線108及208(圖2d)分離。 Figure 2e shows two formed via separate integrated circuit assembly 240 (Figure 2d) The integrated circuit assemblies 250a and 250b are bonded. This separation process can use any of a number of methods to cut bonded wafer pairs, such as mechanical saws, laser cuts, or dry etches. The integrated circuit assembly is separated along scribe lines 108 and 208 (Fig. 2d).

在圖2f中,凸塊總成250a附接至一印刷電路板206,其上 已經形成金屬墊107及207。此等墊可由(例如)銅或鋁組成。總成250a被放置成使焊接凸塊205接觸金屬墊207。焊接凸塊接著被熔化以在印刷電路板206上的墊207與作用層203上的墊204之間形成電連接。舉例而言,此熔化可經由超音焊接或回焊來執行。舉例而言,此熔化所需之溫度可以約為250℃,或約200℃,或約150℃。亦可執行接合總成250a之底部填充,其中一介電層(未示出)被插入總成250a與電路板206之間。 In Figure 2f, the bump assembly 250a is attached to a printed circuit board 206, on which Metal pads 107 and 207 have been formed. These pads may be composed of, for example, copper or aluminum. The assembly 250a is placed such that the solder bumps 205 contact the metal pads 207. The solder bumps are then melted to form an electrical connection between the pads 207 on the printed circuit board 206 and the pads 204 on the active layer 203. For example, this melting can be performed via ultrasonic welding or reflow soldering. For example, the temperature required for this melting can be about 250 ° C, or about 200 ° C, or about 150 ° C. An underfill of the bond assembly 250a can also be performed with a dielectric layer (not shown) interposed between the assembly 250a and the circuit board 206.

圖2f中亦示出第一作用層103到印刷電路板206上的金屬 墊107之連接。此連接可經由使用引線105來完成。舉例而言,此等引線可由鋁、金或銅組成,其可與(例如)鈹或鎂熔成合金。為了將引線105連接至墊107及104,可使用許多引線接合製程中的任何種,包含滾球焊接或楔形焊接。使用熱、超音能、壓力或其某一組合來將引線105焊接至墊107及104。 The metal of the first active layer 103 to the printed circuit board 206 is also shown in FIG. 2f. The connection of the pads 107. This connection can be accomplished via the use of leads 105. For example, such leads may be composed of aluminum, gold or copper, which may be alloyed with, for example, tantalum or magnesium. To connect the leads 105 to the pads 107 and 104, any of a number of wire bonding processes can be used, including ball or wedge bonding. The leads 105 are soldered to the pads 107 and 104 using heat, supersonic energy, pressure, or some combination thereof.

在圖3中,示出一替代組裝結構。在此結構中,積體電路 總成250a之第一作用層103可電連接至第二積體電路總成250b之作用層103,而非連接至電路板206。舉例而言,可經由使用引線109將總成250a及250b上之墊104相互引線接合來建立此一連接。 In Figure 3, an alternative assembly structure is shown. In this structure, the integrated circuit The first active layer 103 of the assembly 250a can be electrically connected to the active layer 103 of the second integrated circuit assembly 250b instead of being connected to the circuit board 206. For example, such a connection can be established by wire bonding pads 104 on assemblies 250a and 250b to each other using leads 109.

在圖4a至圖4b中,描述一組裝結構之另一替代實施例。 在圖4a中示出一單一積體電路總成250a,其中焊接凸塊115及205分別施加至金屬墊104及204。在圖4b中,凸起總成250a附接至印刷電路板206及216,其上分別已經形成金屬墊207及107。總成250a被放置成使焊接 凸塊205接觸金屬墊207,且焊接凸塊115接觸金屬墊107。焊接凸塊接著被熔化以在印刷電路板206上的墊207與作用層203上的墊204之間,以及在印刷電路板216上的墊107與作用層103上的墊104之間形成電連接。舉例而言,此熔化可經由超音焊接或回焊來執行。 In Figures 4a to 4b, another alternative embodiment of an assembled structure is described. A single integrated circuit assembly 250a is shown in FIG. 4a with solder bumps 115 and 205 applied to metal pads 104 and 204, respectively. In Figure 4b, bump assembly 250a is attached to printed circuit boards 206 and 216, on which metal pads 207 and 107 have been formed, respectively. Assembly 250a is placed to weld The bump 205 contacts the metal pad 207, and the solder bump 115 contacts the metal pad 107. The solder bumps are then melted to form an electrical connection between the pads 207 on the printed circuit board 206 and the pads 204 on the active layer 203, and between the pads 107 on the printed circuit board 216 and the pads 104 on the active layer 103. . For example, this melting can be performed via ultrasonic welding or reflow soldering.

在圖5a至圖5b中,描述根據本發明之一組裝結構的又一 替代實施例。在此實施例中,三個積體電路堆疊於彼此頂部並且附接至一印刷電路板,其附接方式使得為三個積體電路每者中的全部電路元件提供一電路到印刷電路板。在圖5a中,除了施加至墊204之焊接凸塊205之外,焊接凸塊115被施加至單一積體電路總成250a之金屬墊104中的一些而非全部。圖5b示出一第三基板260,其具有一第一表面261、一第二表面262及形成於第一表面261上的一作用層263。墊264形成於作用層263中。第三基板260被放置成使墊264接觸焊接凸塊115。圖5b亦示出具有墊207及107的一印刷電路板206。總成250a被放置成使焊接凸塊205接觸金屬墊207。該等焊接凸塊接著被熔化以在印刷電路板206上的墊207與作用層203上的墊204之間,以及在基板260上的墊264與作用層103上的墊104中的一些之間形成電連接。最後,圖5b亦示出將其他墊104連接至印刷電路板206上的墊107之一引線105。如此方式,作用層263中的電路元件可具有通過作用層103中的電路元件到達印刷電路板206之電路。 In Figures 5a to 5b, another assembly of the structure according to one of the present invention is described. Alternative embodiment. In this embodiment, three integrated circuits are stacked on top of each other and attached to a printed circuit board in such a manner as to provide a circuit to the printed circuit board for all of the circuit elements in each of the three integrated circuits. In FIG. 5a, in addition to the solder bumps 205 applied to the pads 204, solder bumps 115 are applied to some, but not all, of the metal pads 104 of the single integrated circuit assembly 250a. FIG. 5b shows a third substrate 260 having a first surface 261, a second surface 262, and an active layer 263 formed on the first surface 261. A pad 264 is formed in the active layer 263. The third substrate 260 is placed such that the pad 264 contacts the solder bumps 115. Figure 5b also shows a printed circuit board 206 having pads 207 and 107. The assembly 250a is placed such that the solder bumps 205 contact the metal pads 207. The solder bumps are then melted between the pad 207 on the printed circuit board 206 and the pad 204 on the active layer 203, and between the pad 264 on the substrate 260 and some of the pads 104 on the active layer 103. Form an electrical connection. Finally, FIG. 5b also shows one of the leads 105 connecting the other pads 104 to the pads 107 on the printed circuit board 206. In this manner, the circuit elements in the active layer 263 can have circuitry that reaches the printed circuit board 206 through the circuit elements in the active layer 103.

圖6繪示本發明之一方法的另一實施例,其中兩個積體電 路(其中一個係一絕緣體上半導體)背對背接合並且附接至一印刷電路板以便將兩個電路電連接至電路板。在圖6之流程圖400中,在步驟410中提供一第一基板,諸如一矽晶圓,其具有一第一表面及一第二表面。在步驟415中,類似於前述實施例(圖1)中的步驟1015,(例如)經由使用標準的互補金屬氧化物半導體(CMOS)製程在該第一基板之第一表面上形成一第一作用層。 Figure 6 illustrates another embodiment of a method of the present invention in which two integrated bodies are The tracks (one of which is a semiconductor-on-insulator) are bonded back to back and attached to a printed circuit board to electrically connect the two circuits to the circuit board. In the flowchart 400 of FIG. 6, a first substrate, such as a germanium wafer, having a first surface and a second surface is provided in step 410. In step 415, similar to step 1015 in the previous embodiment (FIG. 1), a first effect is formed on the first surface of the first substrate, for example, via using a standard complementary metal oxide semiconductor (CMOS) process. Floor.

在步驟420中,提供一絕緣體上半導體,其包含一處理層。 舉例而言,包含一處理層之絕緣體上半導體可由一薄矽層及一厚矽處理層組成,其等之間安置有一薄層的二氧化矽。舉例而言,一作用層使用CMOS製程形成於該薄矽層中。在步驟425中,一臨時載體接合至作用半導體層。 舉例而言,此可經由使用塗覆有可分解黏合劑之一矽晶圓來實現。在下一步驟430期間,該臨時載體為絕緣體上半導體提供支撐,其中支撐的絕緣體上半導體之處理層被完全或部分移除。若處理層遺留成足夠厚,則臨時載體之接合(步驟425)可不必需。此移除步驟可包含機械研磨或化學拋光。 在步驟435中,使用類似機械或化學方式,第一基板可被薄化。在步驟440中,第一基板之第二表面接合至絕緣層之暴露表面或者絕緣體上半導體之處理層的遺留部分。可使用造成永久接合之任何晶圓接合方法,類似於前述實施例(圖1)中的步驟1040。再者,此步驟可包含一對準步驟。在步驟445中,支撐絕緣體上半導體之臨時載體被移除。接著所得積體電路總成可以類似於圖1之步驟1045至1060中描述的方式被凸起、分離並且附接至一印刷電路板。 In step 420, a semiconductor-on-insulator is provided that includes a handle layer. For example, a semiconductor-on-insulator comprising a handle layer can be composed of a thin tantalum layer and a thick tantalum layer with a thin layer of hafnium disposed therebetween. For example, an active layer is formed in the thin layer using a CMOS process. In step 425, a temporary carrier is bonded to the active semiconductor layer. For example, this can be accomplished by using a wafer coated with one of the decomposable binders. During the next step 430, the temporary carrier provides support for the semiconductor-on-insulator where the supported semiconductor-on-insulator layer is completely or partially removed. If the treatment layer remains sufficiently thick, the joining of the temporary carrier (step 425) may not be necessary. This removal step can include mechanical or chemical polishing. In step 435, the first substrate can be thinned using a similar mechanical or chemical approach. In step 440, the second surface of the first substrate is bonded to the exposed portion of the insulating layer or to the remaining portion of the semiconductor-on-insulator layer. Any wafer bonding method that results in permanent bonding can be used, similar to step 1040 in the previous embodiment (FIG. 1). Again, this step can include an alignment step. In step 445, the temporary carrier supporting the semiconductor on insulator is removed. The resulting integrated circuit assembly can then be raised, separated and attached to a printed circuit board in a manner similar to that described in steps 1045 through 1060 of FIG.

圖7a至圖7f繪示根據圖6之方法製造的一例示性堆疊積體 電路。在圖7a中,提供一者絕緣體上半導體300,其包括一作用層303及一處理層311,其等之間安置一絕緣層310。絕緣層310具有與處理層311接觸之一表面302。舉例而言,處理層311可為一矽晶圓,其厚度可為500微米至900微米。舉例而言,絕緣層310可為二氧化矽,其厚度可為0.1微米至2微米。舉例而言,作用層303可為一薄矽層,其中可已經形成電晶體(例如包括閘極、源極、汲極及主體區域)、隔離區、接觸件及互連層。 舉例而言,該薄矽層之厚度可為0.05微米至3微米。作用層303可形成一完整的積體電路。此作用層可以用與前述實施例(圖2b)中描述的技術類似的技術來形成。類似地,作用層303可包括由劃線308分離之複數個積體電路。圖7a亦示出形成於作用層303中之金屬接合墊304。再者,此等金屬墊可由與焊接凸起或引線接合相容之任何金屬製成;例如銅或鋁。形成接合墊304可包含形成一鈍化層及該鈍化層中之墊開口。 7a to 7f illustrate an exemplary stacked stack fabricated according to the method of FIG. Circuit. In FIG. 7a, a semiconductor-on-insulator 300 is provided that includes an active layer 303 and a handle layer 311 with an insulating layer 310 disposed therebetween. The insulating layer 310 has a surface 302 in contact with the processing layer 311. For example, the processing layer 311 can be a single wafer having a thickness of 500 microns to 900 microns. For example, the insulating layer 310 can be hafnium oxide and can have a thickness of 0.1 micron to 2 microns. For example, the active layer 303 can be a thin layer of germanium in which a transistor (eg, including gate, source, drain, and body regions), isolation regions, contacts, and interconnect layers can be formed. For example, the thickness of the thin layer can be from 0.05 microns to 3 microns. The active layer 303 can form a complete integrated circuit. This active layer can be formed using techniques similar to those described in the previous embodiment (Fig. 2b). Similarly, active layer 303 can include a plurality of integrated circuits separated by scribe lines 308. FIG. 7a also shows the metal bond pad 304 formed in the active layer 303. Furthermore, such metal pads can be made of any metal compatible with solder bumps or wire bonds; for example, copper or aluminum. Forming the bond pad 304 can include forming a passivation layer and a pad opening in the passivation layer.

在圖7b中,一臨時載體312接合至絕緣體上半導體300之 作用層303。舉例而言,此臨時載體可為一晶圓,其包括矽、蘇打石灰或硼矽酸鹽玻璃,或藍寶石。臨時載體可塗覆有接合黏合層(未示出),舉例而言,其可包括人造蠟、熱塑聚合物或紫外線(UV)可固化聚合物。舉例而言,接合製程可包括加熱該臨時咋提並且使其接觸絕緣體上半導體。舉例而 言,在接合期間每平方釐米可施加1牛頓至5牛頓(N/cm2)的壓力,或者10N/cm2至50N/cm2。若使用UV可固化黏合劑,則接合步驟將包含用UV光照射,通常穿透透明臨時載體。 In FIG. 7b, a temporary carrier 312 is bonded to the active layer 303 of the semiconductor-on-insulator 300. For example, the temporary carrier can be a wafer comprising tantalum, soda lime or borosilicate glass, or sapphire. The temporary carrier may be coated with a bonding adhesive layer (not shown), which may include, for example, an artificial wax, a thermoplastic polymer, or an ultraviolet (UV) curable polymer. For example, the bonding process can include heating the temporary lift and contacting the semiconductor on insulator. For example, a pressure of 1 Newton to 5 Newtons (N/cm 2 ) per square centimeter, or 10 N/cm 2 to 50 N/cm 2 , may be applied during the joining. If a UV curable adhesive is used, the bonding step will involve irradiation with UV light, typically through a transparent temporary carrier.

在圖7c中,處理層311被移除。舉例而言,此移除製程可包含一機械或化學機械研磨步驟,或一純化學拋光步驟或此等之一組合。雖然圖7c中未示出,但處理層311之一部分可保留在絕緣體310上。若足夠處理層被遺留在絕緣體310上,使得絕緣體上半導體自支撐(例如,若保留100微米處理層),則可不必需上述(圖7b)臨時載體處理。 In Figure 7c, the processing layer 311 is removed. For example, the removal process can include a mechanical or chemical mechanical polishing step, or a purification polishing step or a combination of these. Although not shown in FIG. 7c, a portion of the handle layer 311 may remain on the insulator 310. If sufficient processing layers are left on the insulator 310 such that the semiconductor on insulator is self-supporting (e.g., if a 100 micron processing layer is retained), the above (Fig. 7b) temporary carrier treatment may not be necessary.

轉到圖7d,由臨時載體312支撐的作用層303及絕緣體310接合至基板100之第二表面102。基板100可為一矽晶圓,或係一不同半導體晶圓,例如鍺;或係一絕緣體,例如藍寶石。基板100可在接合之前被薄化;例如經由如前述包含附接至一背面研磨膠帶或一剛性處理件,且隨後機械研磨或化學處理之一製程。雖然圖7d示出接合至基板100之表面102的絕緣體310之表面302,但保留處理層(未示出)之一些可介於表面302與102之間。圖7d中描繪之接合步驟可包含一對準步驟以便確保劃線308及108平置於彼此之上。舉例而言,此對準步驟所需之精度係劃線寬度之四分之一,或10微米,或20微米。例如與對準必須具有由接合完成之矽通孔連接的晶圓所需的精度相比,此係較不緊要的精度。應注意,舉例而言,透明的一臨時載體312(例如一玻璃載體)對於此對準步驟而言可為有利的。 Turning to FIG. 7d, the active layer 303 and the insulator 310 supported by the temporary carrier 312 are bonded to the second surface 102 of the substrate 100. The substrate 100 can be a single wafer, or a different semiconductor wafer, such as germanium, or an insulator, such as sapphire. The substrate 100 can be thinned prior to bonding; for example, via one of the methods of attaching to a back grinding tape or a rigid processing member as described above, and then mechanically grinding or chemically treating. Although FIG. 7d illustrates the surface 302 of the insulator 310 bonded to the surface 102 of the substrate 100, some of the remaining processing layers (not shown) may be interposed between the surfaces 302 and 102. The bonding step depicted in Figure 7d can include an alignment step to ensure that the scribe lines 308 and 108 are placed flat on each other. For example, the precision required for this alignment step is one-quarter of the width of the scribe line, or 10 microns, or 20 microns. This is less critical, for example, than the precision required to align a wafer that must be connected by a bonded via. It should be noted that, for example, a transparent temporary carrier 312 (e.g., a glass carrier) may be advantageous for this alignment step.

圖7d中使用之接合方法可為前述永久方法的任何種,包含但不限於:矽直接接合或熔融接合、永久黏合接合,或使用金屬間擴散或共熔層之接合,例如銅、錫或金。應注意一些接合技術(例如黏合或金屬間擴散接合)需要使用一中間層(例如黏合劑或金屬),其保留在總成中(圖7d中未示出)。 The joining method used in Figure 7d can be any of the foregoing permanent methods, including but not limited to: 矽 direct or melt bonding, permanent bond bonding, or bonding using intermetallic diffusion or eutectic layers, such as copper, tin or gold. . It should be noted that some bonding techniques (such as bonding or intermetallic diffusion bonding) require the use of an intermediate layer (e.g., adhesive or metal) that remains in the assembly (not shown in Figure 7d).

在圖7e中,臨時載體512連同在薄化期間用以支撐基板100之膠帶或剛性處理件(若使用)被移除。舉例而言,此可使用機械方式、熱方式或化學方式或其任何組合來實現。結果係一接合積體電路總成340。 In Figure 7e, the temporary carrier 512 is removed along with the tape or rigid handling member (if used) used to support the substrate 100 during thinning. For example, this can be accomplished using mechanical, thermal or chemical means, or any combination thereof. The result is a bonded integrated circuit assembly 340.

接著總成340經受上述圖2d至圖2f之描述中討論之相同步 驟(未示出):測試、焊接凸起、分離,以及將個別總成焊接及引線接合至一印刷電路板。圖3f示出最後結果:積體電路總成350a附接至具有接合墊107及207之印刷電路板206,其中積體電路總成350a之墊304經由焊接凸塊205連接至電路板墊207,且積體電路總成350a之墊104經由引線105連接至電路板墊107。 The assembly 340 is then subjected to the phase synchronization discussed in the description of Figures 2d through 2f above. Steps (not shown): testing, soldering bumps, separating, and soldering and wire bonding individual assemblies to a printed circuit board. 3f shows the final result: the integrated circuit assembly 350a is attached to the printed circuit board 206 having bond pads 107 and 207, wherein the pads 304 of the integrated circuit assembly 350a are connected to the circuit board pads 207 via solder bumps 205, The pad 104 of the integrated circuit assembly 350a is connected to the circuit board pad 107 via leads 105.

雖然已經關於本發明之特定實施例詳細描述本說明書,但將瞭解熟悉此項技術者在理解前述內容之後,可易於設想此等實施例之變更、變化及等效物。在不脫離本發明之精神與範疇的情況下,對本發明之此等及其他修改以及變更可由一般技術者實行。此外,此等一般技術者將瞭解前述描述僅係舉實例而非意欲限制本發明。因此,意欲本發明主旨涵蓋此等修改及變更。 Although the specification has been described in detail with reference to the specific embodiments of the present invention, it will be understood that These and other modifications and variations of the present invention can be carried out by the skilled artisan without departing from the spirit and scope of the invention. In addition, those skilled in the art will understand that the foregoing description is by way of example only and is not intended to limit the invention. Accordingly, it is intended that the subject matter of the invention be construed as

104‧‧‧金屬接合墊 104‧‧‧Metal joint pad

105‧‧‧引線 105‧‧‧Lead

107‧‧‧金屬墊 107‧‧‧Metal pad

204‧‧‧金屬墊 204‧‧‧Metal pad

205‧‧‧焊接凸塊 205‧‧‧welding bumps

206‧‧‧印刷電路板 206‧‧‧Printed circuit board

207‧‧‧金屬墊 207‧‧‧Metal pad

250a‧‧‧積體電路總成 250a‧‧‧ integrated circuit assembly

Claims (26)

一種積體電路總成,其包括:一第一基板,其具有一第一表面及一第二表面,一第一作用層,其形成於該第一基板之該第一表面上,該第一作用層包含一第一金屬接合墊;一第二基板,其具有一第一表面及一第二表面,該第一基板之該第二表面耦合至該第二基板之該第二表面,及一第二作用層,其形成於該第二基板之該第一表面上,該第二作用層包含一第二金屬接合墊。 An integrated circuit assembly, comprising: a first substrate having a first surface and a second surface, a first active layer formed on the first surface of the first substrate, the first The active layer includes a first metal bond pad; a second substrate having a first surface and a second surface, the second surface of the first substrate being coupled to the second surface of the second substrate, and a second active layer formed on the first surface of the second substrate, the second active layer comprising a second metal bond pad. 如申請專利範圍1之總成,其中該第二基板之厚度為小於30微米。 The assembly of claim 1 wherein the thickness of the second substrate is less than 30 microns. 如申請專利範圍1之總成,其中該第二基板之厚度為小於10微米。 The assembly of claim 1, wherein the thickness of the second substrate is less than 10 microns. 如申請專利範圍1之總成,其中該第一基板及該第二基板之厚度各小於或等於100微米。 The assembly of claim 1, wherein the thickness of the first substrate and the second substrate are each less than or equal to 100 micrometers. 如申請專利範圍1之總成,其中該第一作用層或該第二作用層包含被動器件。 The assembly of claim 1, wherein the first active layer or the second active layer comprises a passive device. 如申請專利範圍1之總成,其進一步包括:一印刷電路板,該印刷電路板電連接至該第一作用層及該第二作用層。 The assembly of claim 1, further comprising: a printed circuit board electrically connected to the first active layer and the second active layer. 如申請專利範圍6之總成,其中該印刷電路板用一焊接凸塊電連接至該第一作用層。 The assembly of claim 6 wherein the printed circuit board is electrically connected to the first active layer by a solder bump. 如申請專利範圍6之總成,其中該印刷電路板經由一引線接合電連接至該第二作用層。 The assembly of claim 6 wherein the printed circuit board is electrically connected to the second active layer via a wire bond. 如申請專利範圍1之總成,其進一步包括一絕緣層,其介於該第一基板之該第二表面與該第二基板之該第二表面之間。 The assembly of claim 1, further comprising an insulating layer interposed between the second surface of the first substrate and the second surface of the second substrate. 如申請專利範圍1之總成,其中該第二基板絕緣。 The assembly of claim 1, wherein the second substrate is insulated. 一種製造積體電路總成之方法,該方法包括:提供一第一基板,其具有一第一表面及一第二表面;在該第一基板之該第一表面上形成一第一作用層;提供一第二基板,其具有一第一表面及一第二表面,其中該第二基板包含一第二作用層,其形成於該第二基板之該第一表面上;及 將該第二基板之該第二表面耦合至該第一基板之該第二表面。 A method of manufacturing an integrated circuit assembly, the method comprising: providing a first substrate having a first surface and a second surface; forming a first active layer on the first surface of the first substrate; Providing a second substrate having a first surface and a second surface, wherein the second substrate comprises a second active layer formed on the first surface of the second substrate; The second surface of the second substrate is coupled to the second surface of the first substrate. 如申請專利範圍11之方法,其中提供一第二基板之該步驟包括:提供一絕緣體上半導體,其包含介於一作用半導體層與一處理層之間的一絕緣層,及移除該處理層之至少一部分。 The method of claim 11, wherein the step of providing a second substrate comprises: providing a semiconductor-on-insulator comprising an insulating layer between the active semiconductor layer and a processing layer, and removing the processing layer At least part of it. 如申請專利範圍12之方法,其中該處理層被完全移除。 The method of claim 12, wherein the treatment layer is completely removed. 如申請專利範圍12之方法,其進一步包括:在移除該處理層之至少一部分之該步驟之前,將一臨時載體接合至該絕緣體上半導體之該作用半導體層上;及在將該第二基板之該第二表面耦合至該第一基板之該第二表面之該步驟之後,移除該臨時載體。 The method of claim 12, further comprising: bonding a temporary carrier to the active semiconductor layer of the semiconductor-on-insulator prior to the step of removing at least a portion of the handle layer; and applying the second substrate After the step of coupling the second surface to the second surface of the first substrate, the temporary carrier is removed. 如申請專利範圍11之方法,其進一步包括在該第一作用層上形成一金屬接合墊。 The method of claim 11, further comprising forming a metal bond pad on the first active layer. 如申請專利範圍11之方法,其進一步包括在該第二作用層上形成一金屬接合墊。 The method of claim 11, further comprising forming a metal bond pad on the second active layer. 如申請專利範圍11之方法,其進一步包括在將該第二基板之該第二表面耦合至該第一基板之該第二表面之前薄化該第一基板。 The method of claim 11, further comprising thinning the first substrate prior to coupling the second surface of the second substrate to the second surface of the first substrate. 如申請專利範圍11之方法,其中該第一基板係一半導體晶圓。 The method of claim 11, wherein the first substrate is a semiconductor wafer. 如申請專利範圍18之方法,其中在該第一基板之該第一表面上形成一第一作用層之該步驟包括形成一互補金屬氧化物半導體電路。 The method of claim 18, wherein the step of forming a first active layer on the first surface of the first substrate comprises forming a complementary metal oxide semiconductor circuit. 如申請專利範圍11之方法,其中該第一作用層或該第二作用層包含被動器件。 The method of claim 11, wherein the first active layer or the second active layer comprises a passive device. 如申請專利範圍11之方法,其進一步包括:將該積體電路總成分離成個別積體電路晶片。 The method of claim 11, further comprising: separating the integrated circuit assembly into individual integrated circuit chips. 如申請專利範圍11之方法,其進一步包括:將一印刷電路板電連接至該第一作用層及該第二作用層。 The method of claim 11, further comprising: electrically connecting a printed circuit board to the first active layer and the second active layer. 如申請專利範圍22之方法,其中將一印刷電路板電連接至該第一作用層及該第二作用層之該步驟包括:在該第一作用層上形成一第一金屬接合墊;在該第二作用層上形成一第二金屬接合墊; 在該第一作用層上的該第一金屬接合墊上形成一焊接凸塊;將該焊接凸塊附接至該印刷電路板上的一第三金屬墊,及將該第二作用層上的該第二金屬接合墊用引線接合至該印刷電路板上的一第四金屬墊。 The method of claim 22, wherein the step of electrically connecting a printed circuit board to the first active layer and the second active layer comprises: forming a first metal bond pad on the first active layer; Forming a second metal bonding pad on the second active layer; Forming a solder bump on the first metal bond pad on the first active layer; attaching the solder bump to a third metal pad on the printed circuit board, and the The second metal bond pad is wire bonded to a fourth metal pad on the printed circuit board. 如申請專利範圍11之方法,其中將該第二基板之該第二表面耦合至該第一基板之該第二表面之該步驟包括:將一黏合層施加至該第一基板之該第二表面;及使該第二基板之該第二表面接觸該黏合層。 The method of claim 11, wherein the step of coupling the second surface of the second substrate to the second surface of the first substrate comprises: applying an adhesive layer to the second surface of the first substrate And contacting the second surface of the second substrate with the adhesive layer. 如申請專利範圍11之方法,其中將該第二基板之該第二表面耦合至該第一基板之該第二表面之該步驟包括熔融接合。 The method of claim 11, wherein the step of coupling the second surface of the second substrate to the second surface of the first substrate comprises fusion bonding. 如申請專利範圍11之方法,其中將該第二基板之該第二表面耦合至該第一基板之該第二表面之該步驟包括使該第二基板與該第一基板對準達到不小於5微米之精度。 The method of claim 11, wherein the step of coupling the second surface of the second substrate to the second surface of the first substrate comprises aligning the second substrate with the first substrate to not less than 5 Micron precision.
TW102147480A 2012-12-21 2013-12-20 Back-to-back stacked integrated circuit assembly and method of making TWI588946B (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US13/725,306 US8912646B2 (en) 2009-07-15 2012-12-21 Integrated circuit assembly and method of making
US13/725,403 US9390974B2 (en) 2012-12-21 2012-12-21 Back-to-back stacked integrated circuit assembly and method of making
US13/725,245 US8921168B2 (en) 2009-07-15 2012-12-21 Thin integrated circuit chip-on-board assembly and method of making

Publications (2)

Publication Number Publication Date
TW201426922A true TW201426922A (en) 2014-07-01
TWI588946B TWI588946B (en) 2017-06-21

Family

ID=51725663

Family Applications (1)

Application Number Title Priority Date Filing Date
TW102147480A TWI588946B (en) 2012-12-21 2013-12-20 Back-to-back stacked integrated circuit assembly and method of making

Country Status (1)

Country Link
TW (1) TWI588946B (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI667772B (en) * 2017-03-15 2019-08-01 日商東芝記憶體股份有限公司 Semiconductor memory device

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI708358B (en) * 2017-07-11 2020-10-21 聯華電子股份有限公司 Semiconductor device and method for manufacturing the same

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6441483B1 (en) * 2001-03-30 2002-08-27 Micron Technology, Inc. Die stacking scheme
JP4354398B2 (en) * 2004-12-27 2009-10-28 三菱重工業株式会社 Semiconductor device and manufacturing method thereof
US20080165521A1 (en) * 2007-01-09 2008-07-10 Kerry Bernstein Three-dimensional architecture for self-checking and self-repairing integrated circuits

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI667772B (en) * 2017-03-15 2019-08-01 日商東芝記憶體股份有限公司 Semiconductor memory device
TWI734127B (en) * 2017-03-15 2021-07-21 日商東芝記憶體股份有限公司 Semiconductor memory device and manufacturing method of semiconductor memory device

Also Published As

Publication number Publication date
TWI588946B (en) 2017-06-21

Similar Documents

Publication Publication Date Title
US9576937B2 (en) Back-to-back stacked integrated circuit assembly
JP7007496B2 (en) Multi-layer 3D integration die stack
CN110970407B (en) Integrated circuit package and method
US7691672B2 (en) Substrate treating method and method of manufacturing semiconductor apparatus
US8689437B2 (en) Method for forming integrated circuit assembly
JP2024504035A (en) Direct bonded structure
US8283208B2 (en) Method and apparatus for fabricating integrated circuit device using self-organizing function
US8922026B2 (en) Chip package and fabrication method thereof
TWI697090B (en) Package and method of forming the same
KR20160059738A (en) Pre-package and manufacturing method of semiconductor package using the same
WO2005119776A1 (en) Semiconductor device having three-dimensional stack structure and method for manufacturing the same
US7723213B2 (en) Manufacturing method of semiconductor chips and semiconductor device having the semiconductor chips
JP2000299428A (en) Precision aligning method for chip for mounting on board
JP2013526066A (en) CTE compensation for package substrates for reduced die distortion assembly
CN109390326A (en) Semiconductor device and its manufacturing method
TWI590398B (en) Methods for fabricating integrated circuit systems including high reliability die under-fill
WO2020094096A1 (en) Method for encapsulating ultrathin incoming material
US9425174B1 (en) Integrated circuit package with solderless interconnection structure
TWI588946B (en) Back-to-back stacked integrated circuit assembly and method of making
JP2012248732A (en) Semiconductor chip, semiconductor device, and manufacturing method of semiconductor device
TW202412120A (en) Three-dimensional packaging structure and its preparation method thereof
TWI543283B (en) Method of manufacturing a medium substrate
JP2022027651A (en) Extended seal ring structure for wafer stack
JP2013171916A (en) Semiconductor device manufacturing method
WO2018103397A1 (en) Photoelectric device package structure based on metallic bonding and manufacturing method thereof

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees