TW201234476A - Plasma processing apparatus and processing gas supply structure thereof - Google Patents

Plasma processing apparatus and processing gas supply structure thereof Download PDF

Info

Publication number
TW201234476A
TW201234476A TW100136860A TW100136860A TW201234476A TW 201234476 A TW201234476 A TW 201234476A TW 100136860 A TW100136860 A TW 100136860A TW 100136860 A TW100136860 A TW 100136860A TW 201234476 A TW201234476 A TW 201234476A
Authority
TW
Taiwan
Prior art keywords
gas
processing
processing chamber
processing apparatus
plasma
Prior art date
Application number
TW100136860A
Other languages
English (en)
Other versions
TWI538048B (zh
Inventor
Hachishiro Iizuka
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW201234476A publication Critical patent/TW201234476A/zh
Application granted granted Critical
Publication of TWI538048B publication Critical patent/TWI538048B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8593Systems

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Description

201234476 六、發明說明: 【發明所屬之技術領域】 置及其處理氣體供 本發明係關於一種電漿處理裝 給構造。 & 【先前技術】 〃自_,半導财置的$造領域等中對半導體晶圓 〇 轉板進仃賴處理或_處理等處理之裝置已知有 使用感應耦合電漿(ICP)之電漿處理裝置。 ^作為使用ICP之電漿處理裝置的處理氣體供給構 這已知有一種方式,其係在處理室上部設置有高頻線圈 之电漿處理裝置中,而於例如基板周圍之高頻線圈與基 板之間的空間設置有環狀中空管所構成的處理氣體供 給機構,並從中空管内侧所設置之複數氣體噴出口來將 處理氣體噴出至基板上部的空間(例如參照專利文獻 1) 〇 0 . 又,已知有一種方式,其係在處理室側壁部設置有 高頻線圈之電漿處理裝置中,而從例如處理室上部中央 來將處理氣體噴出炱基板上部的空間(例如參照專利 文獻2)。 上述處理氣體供給構造係皆係使用具有孔洞或狹 縫狀開口的噴嘴狀構造之方式。於處理室上部設置有高 頻線圈之電漿處理装置的情況,若在基板上部存在有氣 體導入用之大型構造物,便會因受到該構造物的遮蔽而 3 201234476 有基板的處理狀悲變得不均勻之虞。又,於基板上部且 為尚頻線圈的下部設置有氣體擴散室之結構的情況,便 需要有能夠防止在該空間發生放電現象之對策。於是, 喷出氣體的部位基本上來說便被限制在基板中央部及 外周部。 專利文獻1 :日本特開2001-85413號公報 專利文獻2:日本專利第3845154號公報 如上所述,傳統的電漿處理裝置及其處理氣體供給 構造中,由於喷出氣體之部位受到限制,因此便有難以 控制處理氣體的供給狀態來提高處理的面内均句性之 問題。 【發明内容】 曰本發明係鑑於上述過往情事所發明者,其目的在於 提供一種相較於以往而可提高處理的面内均勻性之電 漿處理裝置及其處理氣體供給構造。 本發明之電聚處理裂置係在處理室内產生感應耦 合電漿來對該處理室内所收納之基板進行處理,其特徵 在於具備有·上蓋’係覆蓋該處理室的上部開口所設 ΐ ’且具有介電體窗;複數氣體導入口,係配設於該上 盍,而用以:處理氣體供給至該處理室内; 係配設於該處理室外之兮人〜此 ㈣Π二 介電體窗上部;以及氣體供給 機構^具備有位錢介電體窗内_㈣上蓋所支撐 且具有透孔之1片板體,並且,透過設置於該 201234476 介電體窗之間,且端部係於該透孔邊緣部具有開口並與 該氣體導入口相連通之複數溝狀氣體流道,來從複數部 位處朝水平方向將處理氣體供給至該處理室内。 本發明之電漿處理裝置的處理氣體供給構造係具 備有:上蓋,係覆蓋處理室的上部開口所設置,且具有 介電體窗;複數氣體導入口,係配設於該上蓋,而用以 將處理氣體供給至該處理室内;以及高頻線圈,係配設 於該處理室外之該介電體窗上部;藉由對該高頻線圈施 加高頻電功率,而於該處理室内產生感應耦合電漿來對 該處理室内所收納之基板進行處理之電漿處理裝置的 處理氣體供給構造;其中該處理氣體供給構造的特徵為 係具備有位在該介電體窗内側而由該上蓋所支撐且具 有透孔之1片板體,並且,透過設置於該板體與該介電 體窗之間且端部係於該透孔邊緣部具有開口並與該氣 體導入口相連通之複數溝狀氣體流道,來從複數部位處 朝水平方向將處理氣體供給至該處理室内。 依據本發明,便可提供一種相較於以往而可提高處 理的面内均勻性之電漿處理裝置及其處理氣體供給構 造。 【實施方式】 以下,參照圖式來詳加說明本發明之實施形態。 圖1係概略顯示作為本發明一實施形態之電漿處 理裝置之電漿蝕刻裝置1的結構之圖式。如同圖所示, 5 201234476 電漿蝕刻裝置1具備處理室10。處理室10係由表面經 陽極氧化處理之鋁等而構成為略圓筒狀’其主要部分係 由上部具有開口而形成為容器狀之處理室本體u,與覆 蓋該處理室本體11的上部開口所配設之上蓋12所構 成。 上蓋12係設置有石英等所構成的介電體窗13,且 於處理室10外側設置有位在介電體窗13上部附近之高 頻線圈1‘該高頻線圈14係連接至高頻電源(未圖示), 而供給有特定頻率(例如13.56MHz)的高頻電功率。 處理室10的内部係設置有位在介電體窗13下方, 而用以载置半導體晶圓等基板之載置台15。載置台15 的基板载置面係設置有用以吸附基板之靜電夾具等(未 圖示)。又,該載置台15係連接有偏壓施加用之高頻電 源(未圖示)。載置台15周圍係設置有供朝下方排氣之環 狀排氣空間16,排氣空間16係設置有與排氣装置(未= 示)相連通之排氣口 17。 ° 載置台15周圍係配設有用以隔離載置台15上方的 處理空間18與排氣空間16之隔板19。又,處理室本 體11的側壁部分係設置有供搬入或搬出待處理基板 ?入口 20。該搬出入口 2(H系設置有閘;二 (未圖示)。 介電體窗13内側係配設有處理氣體供給機構3〇。 該處理氣體供給機構30如圖3、圖4所放大顯示般,。 係具備1片板體31。如圖2所示,由於板體31係形成 201234476
有複數個圓形透孔32,且I 故整體形狀為環狀。〃央抑形成有透孔32, 板體31係由介電體(例如石英 體31的厚度為3mm以上,較如)斤構成。該板 體31的上面係形成有沿著徑:而從外二=右。板 ”孔以的周緣部之複數溝槽,内周側
在介電體S 13與板體31之_ =1騎,便會 51〜54。 <间形成有溝狀氣體流道 及板3周設置有圍繞上述介電體窗13 低之段差,^形成有相讎高*内周側較 13的Ϊ制面岡周側的南段差部會成為用以支擇介電體窗 段差部則會成為用以^ Ί 内側的低 ^㈣I為支撐板體1的下側面周緣部之板 、支撐邛45b。此外,介電體窗支撐部45a係配設有用 以H體窗13與環狀組件4G之間氣密地密封之〇 型環46。 抵接於板體31的下側面周緣部之板體支撐部45b 的上,側係形成有複數道(本實施形態中為4道)環狀溝 槽’藉由使得板體31與板體支撐部45b相抵接,則環 狀溝槽的上側便會因板體31而被氣密地封閉,使得環 狀溝槽的部分構成為環狀氣體流道41〜44。此外,該等 %狀氣體流道41〜44彼此之間,以及最内周側環狀氣體 7 201234476 流道44的内軸係分別配設有0型環47。 士圖f 為上蓋12的構成組件之環狀組件40 上面係配設有複數個(本實施形態中為4個)氣體導入口 6卜64。該料體導人以⑽當中,氣體導入口 61、 62如圖1所V ’係透過形成於環狀組件40之處理氣體 導入通道81、82而與環狀氣體流道4卜42相連通。圖 1中雖未圖示,氣體導入口 63、64亦同樣地係透過 形成於環狀組件40之處理氣體導入通道83、84而與環 狀氣體流道43、44相連通。 又,如圖3所示,溝狀氣體流道51〜54當中,溝狀 氣體流道51的外周側端部係設置有外周側透孔5 i &,而 藉由該外周侧透孔51a來使溝狀氣體流道51與環狀氣 體流道41相連通。 ” 同樣地,如圖4所示,溝狀氣體流道51〜54當中, 溝狀氣體流道54的外周側端部係設置有外周侧透孔 54a’而藉由該外周侧透孔54a來使溝狀氣體流道“與 環狀氣體流道44相連通。此外,雖未圖示溝狀氣體流 道52、53 ’但亦同樣地係與環狀氣體流道42、43相遠 通0 如圖2所示,溝狀氣體流道51〜54係分別沿著圓周 方向而以等間隔設置為複數道(圖2所示之範例中為4° 道,總計為16道)’且設置為於圓周方向的位置不會相 重。本貫Μ形通中,其結構為與形成於最外周之淨狀 氣體流道41相連通的溝狀氣體流道51長度為最長,、 且 201234476 延伸至板體31内周部,而溝狀氣體流道μ、溝狀氣體 ^道53、溝狀氣體流道54的長度則依序變短。 該等溝狀氣體流道51〜54係設置為分別與環狀高 頻線圈14呈直交,而為藉由利用高頻線圈14所感^之 電磁場’便難以在溝狀氣體流道51〜54时生放電^構 ^此外’用以形成該等溝狀氣體流道51〜54之溝槽的 /朱度較佳為例如1 mm〜2mm左右。
板體31及介電體窗13的固定可藉由在將板體31 载置於板體支撐部.後,將介電體窗13載置於介電 ,窗支撐部45a⑽在碰31上,歸,將環狀抵壓 =65配置在介電體窗13上,並藉由螺检等來將抵壓 =65®疋在環狀組件4〇,而將介電體窗u周圍朝 向下方按壓之狀態來進行。 上述方式構成的處理氣體供給機構3〇中,從氣體
、61 64所導人之處理氣體係經由處理氣體導入 =81 84、%狀氣體流道41〜44、溝狀氣體流道 八:4 ’而從溝狀氣體流道5卜54所開設之透孔μ的部 刀朝水平方向被供給至處理室10内。
Pff W於處理氣體供給機構3Q中,由於高頻線圈14的 內、隹t氣體擴散室之構造,因此便不須在氣體擴散室 =進Γ電發生對策。又,不限於基板中央部及周邊 二二可將處理氣體的噴出位置設絲基板徑向方向 其乾複數位置處’因此可將處理氣體均勻地供給至 基板上方的處理空間18,從而提高處理的面内均勻性。 9 201234476 又’亦可依需要來將處理氣體不均句地供給至處理空間 18内,來任意地控制電漿處理的狀態。 又,於處理氣體供給機構30中,由於介設在介電 體窗13與基板之間之組件僅為實質上厚度較薄的1片 板體31,因此將介電體窗13(板體31)與載置台15上之 基板之間的間隔設定為較狹窄(例如1 〇mm〜4〇min左 右),來使處理空間18較為狹窄,便可適當地藉由實質 上高頻線圈14與基板的距離相當接近之狹窄間隙來進 行處理。 亦即,如上所述地,由於介設在介電體窗13與基 板之間之組件僅為實質上厚度較薄的1片板體31,因 此便容易使高頻線圈14與基板的距離接近。又’於處 理氣體供給機構30中,由於處理氣體之對處理室1〇内 的供給位置高度皆為一定,而從特定高度位置處來供給 處理氣體,因此縱使是藉由狹窄間隙來進行處理之情況 仍可提咼處理的面内均勻性。此外,由於介設在介電體 窗13與基板之間的組件僅為實質上平面狀的板體Μ, 而非為凹凸形狀,因此可使基板與板體31的間隔在遍 佈基板整面大致上為一定,且縱使是藉由狹窄間隙來進 行處理的情況仍可提高處理的面内均勻性。 如上所述地,由於溝狀氣體流道51〜5 4係設置為與 高頻線圈Μ呈直交,故在溝狀氣體流道51〜54内發生 放電的可能性很低。但為了確實地防止在溝狀氣體流道 51〜54内發生放電,亦可在溝狀氣體流道丨〜%的部分 10 201234476 設置有金屬膜,並將該金屬膜設定為接地電位或其他 位。 x、电 藉由上述方式構成的電漿蝕刻裝置1,在進行半 體晶圓的電漿蝕刻時,係開啟開閉機構(未圖示)仃並= 搬出入口 20來將基板搬入至處理室1〇内再载置於 台15且藉由靜電夾具來吸附。 、
接者,關閉搬出入口 20的開閉機構(未圖 口 17藉由真空幫浦(未圖示)等來將處理: 丨示),並從 室10内真 之後,藉域轉體供給機構Μ來將特定 特定處理氣體(蝕刻氣體)供給至處理室1〇内。此 氣體導入π 61〜64導人之處理氣體會通過處理氣體^ ^道氣體流道41〜44以及溝狀氣體流缝 == 流道51〜54所開設之透孔32的苟 刀朝水平方向被供給至處理室1 〇内。
目同高度位置處供給處理 理氣體更加均勻化。又, 氣體’故可使供給至基板之處 201234476 由於處理氣體供給機構3G係由介電 31:_,㈣介物窗13 .舰誠,^^ 遮敝經由介電體窗13 &、丄 ^可 固而破感應至處理空間之電磁場而 抑制基板處理狀態變得又 — ^ 兮不均勻。糟此’便可使電漿狀能 均勻化’從而可對基才反各部施予均勻的侧處理。亦心 即,可提高處理的面内均勻性。 然後’當特定的電漿蝕刻處理結束後’便停止高頻 電功率的施加及處理氣體的供給,並以相反於上述步驟 順序之順序來將基板從處理室1〇内搬出。 此外’本發明不限於上述實施形態,而當然可有各 種變形。例如,處理氣體供給機構3〇的環狀氣體流道 41〜44以及溝狀氣體流道51〜54等之數量不限於上述實 施形態,而亦可為任意數量。 【圖式簡單說明】 圖1係顯示本發明一實施形態之電漿蝕刻裝置的 剖面概略結構之圖式。 圖2係顯示圖1之電漿蝕刻裝置的主要部份結構之 圖式。 圖3係顯示圖1之電漿蝕刻裝置的主要部份剖面結 構之圖式。 圖4係顯示圖1之電漿蝕刻裝置的主要部份剖面結 構之圖式。 12 201234476 【主要元件符號說明】
1 電漿蝕刻裝置 10 處理室 11 處理室本體 12 上蓋 13 介電體窗 14 rfj頻線圈 15 載置台 16 排氣空間 17 排氣口 18 處理空間 19 隔板 20 搬出入口 30 處理氣體供給機構 31 板體 32 透孔 40 環狀組件 41 〜44 環狀氣體流道 45 突出部 45a 介電體窗支撐部 45b 板體支撐部 46、47 0型環 51 〜54 溝狀氣體流道 51a、54a 外周側透孔 13 201234476 61〜64 氣體導入口 65 .抵壓組件 81〜84 處理氣體導入通道 14

Claims (1)

  1. 201234476 七、申請專利範圍: 1. 一種電漿處理裝置,係在處理室内產生感應耦合電 漿來對該處理室内所收納之基板進行處理,其特徵 在於具備有: 上蓋,係覆蓋該處理室的上部開口所設置,且 具有介電體窗; 複數氣體導入口,係配設於該上蓋,而用以將 處理氣體供給至該處理室内; 高頻線圈,係配設於該處理室外之該介電體窗 上部;以及 氣體供給機構,係具備有位在該介電體窗内側 而由該上蓋所支撐且具有透孔之1片板體,並且, 透過設置於該板體與該介電體窗之間且端部係於 該透孔邊緣部具有開口並與該氣體導入口相連通 之複數溝狀氣體流道,來從複數部位處朝水平方向 將處理氣體供給至該處理室内。 2. 如申請專利範圍第1項之電漿處理裝置,其係具備 有抵接於該板體的下侧周緣部,而在與該板體之間 形成有複數環狀氣體流道之環狀組件; 透過該環狀氣體流道,來使該氣體導入口與該 氣體流道相連通。 3. 如申請專利範圍第1項之電漿處理裝置,其中該板 體係由介電體所構成。 4. 如申請專利範圍第3項之電漿處理裝置,其中該板 15 201234476 體係由石英或陶瓷所構成。 5. 如申請專利範圍第1〜4項中任一項之電漿處理裝 置,其中該板體為環狀。 6. 如申請專利範圍第1〜4項中任一項之電漿處理裝 置,其中該溝狀氣體流道係配設為與該高頻線圈呈 直交。 7. 如申請專利範圍第1〜4項中任一項之電漿處理裝 置,其中該溝狀氣體流道的部分係形成有金屬薄 膜。 8. 如申請專利範圍第1〜4項中任一項之電漿處理裝 置,其中該處理室内中之該板體與該基板的間隔為 10mm〜40mm的範圍。 9. 一種電漿處理裝置的處理氣體供給構造,該電漿處 理裝置具備有:上蓋,係覆蓋處理室的上部開口所 設置,且具有介電體窗;複數氣體導入口,係配設 於該上蓋,而用以將處理氣體供給至該處理室内; 以及高頻線圈,係配設於該處理室外之該介電體窗 上部,精由對該局頻線圈施加南頻電功率’而於該 處理室内產生感應耦合電漿來對該處理室内所收 納之基板進行處理; 其中該處理氣體供給構造的特徵為係具備有 位在該介電體窗内側而由該上蓋所支撐且具有透 孔之1片板體,並且,透過設置於該板體與該介電 體窗之間且端部係於該透孔邊緣部具有開口並與 16 201234476 該氣體導入口相連通之複數溝狀氣體流道,來從複 數部位處朝水平方向將處理氣體供給至該處理室
TW100136860A 2010-10-13 2011-10-12 A plasma processing apparatus and a processing gas supply structure thereof TWI538048B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2010230679A JP5718011B2 (ja) 2010-10-13 2010-10-13 プラズマ処理装置及びその処理ガス供給構造

Publications (2)

Publication Number Publication Date
TW201234476A true TW201234476A (en) 2012-08-16
TWI538048B TWI538048B (zh) 2016-06-11

Family

ID=45933069

Family Applications (1)

Application Number Title Priority Date Filing Date
TW100136860A TWI538048B (zh) 2010-10-13 2011-10-12 A plasma processing apparatus and a processing gas supply structure thereof

Country Status (5)

Country Link
US (1) US9117633B2 (zh)
JP (1) JP5718011B2 (zh)
KR (1) KR101777729B1 (zh)
CN (1) CN102573263B (zh)
TW (1) TWI538048B (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11145532B2 (en) * 2018-12-21 2021-10-12 Toto Ltd. Electrostatic chuck
CN116864415A (zh) * 2023-07-07 2023-10-10 北京屹唐半导体科技股份有限公司 工艺平台

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5522934A (en) * 1994-04-26 1996-06-04 Tokyo Electron Limited Plasma processing apparatus using vertical gas inlets one on top of another
JP3243125B2 (ja) * 1994-06-27 2002-01-07 東京エレクトロン株式会社 処理装置
US5746875A (en) 1994-09-16 1998-05-05 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US6209480B1 (en) * 1996-07-10 2001-04-03 Mehrdad M. Moslehi Hermetically-sealed inductively-coupled plasma source structure and method of use
US6033585A (en) * 1996-12-20 2000-03-07 Lam Research Corporation Method and apparatus for preventing lightup of gas distribution holes
JP3501930B2 (ja) * 1997-12-01 2004-03-02 株式会社ルネサステクノロジ プラズマ処理方法
JP3485505B2 (ja) 1999-09-17 2004-01-13 松下電器産業株式会社 処理装置
JP3599619B2 (ja) * 1999-11-09 2004-12-08 シャープ株式会社 プラズマプロセス装置
US6444040B1 (en) 2000-05-05 2002-09-03 Applied Materials Inc. Gas distribution plate
US6716303B1 (en) * 2000-10-13 2004-04-06 Lam Research Corporation Vacuum plasma processor having a chamber with electrodes and a coil for plasma excitation and method of operating same
JP4382505B2 (ja) * 2004-01-22 2009-12-16 パナソニック株式会社 プラズマエッチング装置の誘電板の製造方法
JP4572100B2 (ja) * 2004-09-28 2010-10-27 日本エー・エス・エム株式会社 プラズマ処理装置
US20090130335A1 (en) 2005-09-01 2009-05-21 Tomohiro Okumura Plasma processing apparatus, plasma processing method, dielectric window used therein, and manufacturing method of such a dielectric window
JP5121698B2 (ja) * 2006-03-06 2013-01-16 東京エレクトロン株式会社 プラズマ処理装置
JP5010234B2 (ja) 2006-10-23 2012-08-29 北陸成型工業株式会社 ガス放出孔部材を一体焼結したシャワープレートおよびその製造方法
CN201313934Y (zh) * 2008-09-10 2009-09-23 李刚 一种用于化学气相淀积的气体导入装置
JP5740203B2 (ja) * 2010-05-26 2015-06-24 東京エレクトロン株式会社 プラズマ処理装置及びその処理ガス供給構造

Also Published As

Publication number Publication date
CN102573263B (zh) 2015-04-15
JP2012084734A (ja) 2012-04-26
KR20120038381A (ko) 2012-04-23
US9117633B2 (en) 2015-08-25
CN102573263A (zh) 2012-07-11
US20120090783A1 (en) 2012-04-19
JP5718011B2 (ja) 2015-05-13
TWI538048B (zh) 2016-06-11
KR101777729B1 (ko) 2017-09-12

Similar Documents

Publication Publication Date Title
JP5606821B2 (ja) プラズマ処理装置
JP5102706B2 (ja) バッフル板及び基板処理装置
TWI544838B (zh) Plasma processing device and its treatment gas supply structure
TWI490942B (zh) Plasma processing device
TWI354310B (en) Apparatus for treating substrate using plasma
KR20100063005A (ko) 기판 에지 구역을 처리하기 위한 장치 및 방법
JP2004342703A (ja) プラズマ処理装置及びプラズマ処理方法
JP2015225890A (ja) プラズマ処理装置及び方法
JP2021125675A (ja) 基板処理装置及び基板処理方法
CN109427529A (zh) 等离子体处理设备和使用其制造半导体器件的方法
KR102189151B1 (ko) 기판처리장치
TW201234476A (en) Plasma processing apparatus and processing gas supply structure thereof
KR101287081B1 (ko) 플라즈마 처리 장치
US20130160950A1 (en) Plasma processing apparatus
JP6954565B2 (ja) 基板処理装置
JP2022104964A (ja) 堆積の均一性を改善するための、様々なプロファイルを有する側部を有するシャドーフレーム
KR102250368B1 (ko) 기판 처리 장치 및 기판 처리 방법
KR101559874B1 (ko) 기판 처리 장치 및 챔버 제조 방법
KR101841034B1 (ko) 프로세스 챔버가 구비된 플라즈마 장치
US20230207262A1 (en) Plasma generation unit, and apparatus for treating substrate with the same
KR102247468B1 (ko) 지지 유닛, 이를 포함하는 기판 처리 장치 및 지지 유닛 제조 방법
JP6574588B2 (ja) プラズマ処理装置
TW202341229A (zh) 基板處理設備
TW202343631A (zh) 基板載置台、基板處理裝置、及基板載置台之製造方法
KR20160017443A (ko) 플라즈마 장치