TW201234469A - Shallow trench isolation chemical mechanical planarization - Google Patents
Shallow trench isolation chemical mechanical planarization Download PDFInfo
- Publication number
- TW201234469A TW201234469A TW100146572A TW100146572A TW201234469A TW 201234469 A TW201234469 A TW 201234469A TW 100146572 A TW100146572 A TW 100146572A TW 100146572 A TW100146572 A TW 100146572A TW 201234469 A TW201234469 A TW 201234469A
- Authority
- TW
- Taiwan
- Prior art keywords
- slurry
- polishing
- oxide
- stage
- adjuster
- Prior art date
Links
- 239000000126 substance Substances 0.000 title claims description 17
- 238000002955 isolation Methods 0.000 title claims description 10
- 238000005498 polishing Methods 0.000 claims abstract description 127
- 238000000034 method Methods 0.000 claims abstract description 60
- 238000012876 topography Methods 0.000 claims abstract description 6
- 239000002002 slurry Substances 0.000 claims description 137
- 150000004767 nitrides Chemical class 0.000 claims description 91
- 229910000420 cerium oxide Inorganic materials 0.000 claims description 48
- BMMGVYCKOGBVEV-UHFFFAOYSA-N oxo(oxoceriooxy)cerium Chemical compound [Ce]=O.O=[Ce]=O BMMGVYCKOGBVEV-UHFFFAOYSA-N 0.000 claims description 47
- 239000003795 chemical substances by application Substances 0.000 claims description 29
- 239000003002 pH adjusting agent Substances 0.000 claims description 29
- 150000007524 organic acids Chemical class 0.000 claims description 27
- 239000000203 mixture Substances 0.000 claims description 25
- 239000000463 material Substances 0.000 claims description 20
- 239000002253 acid Substances 0.000 claims description 19
- 230000002378 acidificating effect Effects 0.000 claims description 19
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 claims description 13
- -1 carboxylic acid group organic acid Chemical class 0.000 claims description 12
- VHUUQVKOLVNVRT-UHFFFAOYSA-N Ammonium hydroxide Chemical compound [NH4+].[OH-] VHUUQVKOLVNVRT-UHFFFAOYSA-N 0.000 claims description 10
- 239000000908 ammonium hydroxide Substances 0.000 claims description 10
- 239000008187 granular material Substances 0.000 claims description 10
- 230000008859 change Effects 0.000 claims description 8
- 238000000227 grinding Methods 0.000 claims description 8
- 150000007522 mineralic acids Chemical class 0.000 claims description 7
- 125000002843 carboxylic acid group Chemical group 0.000 claims description 6
- 239000007864 aqueous solution Substances 0.000 claims description 5
- 229910052500 inorganic mineral Inorganic materials 0.000 claims description 5
- 239000011707 mineral Substances 0.000 claims description 5
- 239000004576 sand Substances 0.000 claims description 5
- 150000007529 inorganic bases Chemical class 0.000 claims description 4
- 238000007517 polishing process Methods 0.000 claims description 4
- 239000013078 crystal Substances 0.000 claims description 3
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 claims 2
- 150000001735 carboxylic acids Chemical class 0.000 claims 1
- 239000006185 dispersion Substances 0.000 claims 1
- 238000005242 forging Methods 0.000 claims 1
- 229910052757 nitrogen Inorganic materials 0.000 claims 1
- 239000010410 layer Substances 0.000 description 47
- KRKNYBCHXYNGOX-UHFFFAOYSA-N citric acid Chemical compound OC(=O)CC(O)(C(O)=O)CC(O)=O KRKNYBCHXYNGOX-UHFFFAOYSA-N 0.000 description 24
- 235000012431 wafers Nutrition 0.000 description 22
- NBIIXXVUZAFLBC-UHFFFAOYSA-N Phosphoric acid Chemical compound OP(O)(O)=O NBIIXXVUZAFLBC-UHFFFAOYSA-N 0.000 description 18
- KWYUFKZDYYNOTN-UHFFFAOYSA-M Potassium hydroxide Chemical compound [OH-].[K+] KWYUFKZDYYNOTN-UHFFFAOYSA-M 0.000 description 12
- 229910000147 aluminium phosphate Inorganic materials 0.000 description 9
- 239000004065 semiconductor Substances 0.000 description 9
- 235000015165 citric acid Nutrition 0.000 description 8
- 239000004094 surface-active agent Substances 0.000 description 7
- 238000013461 design Methods 0.000 description 6
- 230000000694 effects Effects 0.000 description 5
- 238000004519 manufacturing process Methods 0.000 description 5
- 230000008569 process Effects 0.000 description 5
- 239000000047 product Substances 0.000 description 5
- OFOBLEOULBTSOW-UHFFFAOYSA-N Malonic acid Chemical compound OC(=O)CC(O)=O OFOBLEOULBTSOW-UHFFFAOYSA-N 0.000 description 4
- 239000003945 anionic surfactant Substances 0.000 description 4
- WPYMKLBDIGXBTP-UHFFFAOYSA-N benzoic acid Chemical compound OC(=O)C1=CC=CC=C1 WPYMKLBDIGXBTP-UHFFFAOYSA-N 0.000 description 4
- 235000005985 organic acids Nutrition 0.000 description 4
- 230000001590 oxidative effect Effects 0.000 description 4
- 239000000758 substrate Substances 0.000 description 4
- QTBSBXVTEAMEQO-UHFFFAOYSA-N Acetic acid Chemical compound CC(O)=O QTBSBXVTEAMEQO-UHFFFAOYSA-N 0.000 description 3
- MUBZPKHOEPUJKR-UHFFFAOYSA-N Oxalic acid Chemical compound OC(=O)C(O)=O MUBZPKHOEPUJKR-UHFFFAOYSA-N 0.000 description 3
- ZLMJMSJWJFRBEC-UHFFFAOYSA-N Potassium Chemical compound [K] ZLMJMSJWJFRBEC-UHFFFAOYSA-N 0.000 description 3
- HEMHJVSKTPXQMS-UHFFFAOYSA-M Sodium hydroxide Chemical compound [OH-].[Na+] HEMHJVSKTPXQMS-UHFFFAOYSA-M 0.000 description 3
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical compound CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 description 3
- ZMANZCXQSJIPKH-UHFFFAOYSA-N Triethylamine Chemical compound CCN(CC)CC ZMANZCXQSJIPKH-UHFFFAOYSA-N 0.000 description 3
- HSFWRNGVRCDJHI-UHFFFAOYSA-N alpha-acetylene Natural products C#C HSFWRNGVRCDJHI-UHFFFAOYSA-N 0.000 description 3
- 229920001577 copolymer Polymers 0.000 description 3
- 238000010586 diagram Methods 0.000 description 3
- 238000005516 engineering process Methods 0.000 description 3
- 239000004615 ingredient Substances 0.000 description 3
- 239000002245 particle Substances 0.000 description 3
- 239000011591 potassium Substances 0.000 description 3
- 229910052700 potassium Inorganic materials 0.000 description 3
- 238000003860 storage Methods 0.000 description 3
- FERIUCNNQQJTOY-UHFFFAOYSA-N Butyric acid Chemical compound CCCC(O)=O FERIUCNNQQJTOY-UHFFFAOYSA-N 0.000 description 2
- VZCYOOQTPOCHFL-OWOJBTEDSA-N Fumaric acid Chemical compound OC(=O)\C=C\C(O)=O VZCYOOQTPOCHFL-OWOJBTEDSA-N 0.000 description 2
- DGAQECJNVWCQMB-PUAWFVPOSA-M Ilexoside XXIX Chemical compound C[C@@H]1CC[C@@]2(CC[C@@]3(C(=CC[C@H]4[C@]3(CC[C@@H]5[C@@]4(CC[C@@H](C5(C)C)OS(=O)(=O)[O-])C)C)[C@@H]2[C@]1(C)O)C)C(=O)O[C@H]6[C@@H]([C@H]([C@@H]([C@H](O6)CO)O)O)O.[Na+] DGAQECJNVWCQMB-PUAWFVPOSA-M 0.000 description 2
- QAOWNCQODCNURD-UHFFFAOYSA-N Sulfuric acid Chemical compound OS(O)(=O)=O QAOWNCQODCNURD-UHFFFAOYSA-N 0.000 description 2
- WNLRTRBMVRJNCN-UHFFFAOYSA-N adipic acid Chemical compound OC(=O)CCCCC(O)=O WNLRTRBMVRJNCN-UHFFFAOYSA-N 0.000 description 2
- 125000001931 aliphatic group Chemical group 0.000 description 2
- 150000003863 ammonium salts Chemical class 0.000 description 2
- 230000008901 benefit Effects 0.000 description 2
- 239000003093 cationic surfactant Substances 0.000 description 2
- 230000007547 defect Effects 0.000 description 2
- 239000003989 dielectric material Substances 0.000 description 2
- 230000003628 erosive effect Effects 0.000 description 2
- 238000005530 etching Methods 0.000 description 2
- LYCAIKOWRPUZTN-UHFFFAOYSA-N ethylene glycol Natural products OCCO LYCAIKOWRPUZTN-UHFFFAOYSA-N 0.000 description 2
- 230000006870 function Effects 0.000 description 2
- 125000002887 hydroxy group Chemical group [H]O* 0.000 description 2
- WGCNASOHLSPBMP-UHFFFAOYSA-N hydroxyacetaldehyde Natural products OCC=O WGCNASOHLSPBMP-UHFFFAOYSA-N 0.000 description 2
- 239000010954 inorganic particle Substances 0.000 description 2
- JVTAAEKCZFNVCJ-UHFFFAOYSA-N lactic acid Chemical compound CC(O)C(O)=O JVTAAEKCZFNVCJ-UHFFFAOYSA-N 0.000 description 2
- 238000004518 low pressure chemical vapour deposition Methods 0.000 description 2
- 229910052751 metal Inorganic materials 0.000 description 2
- 239000002184 metal Substances 0.000 description 2
- BDAGIHXWWSANSR-UHFFFAOYSA-N methanoic acid Natural products OC=O BDAGIHXWWSANSR-UHFFFAOYSA-N 0.000 description 2
- 238000012986 modification Methods 0.000 description 2
- 230000004048 modification Effects 0.000 description 2
- 239000002736 nonionic surfactant Substances 0.000 description 2
- 150000007530 organic bases Chemical class 0.000 description 2
- 239000011146 organic particle Substances 0.000 description 2
- XNGIFLGASWRNHJ-UHFFFAOYSA-N phthalic acid Chemical compound OC(=O)C1=CC=CC=C1C(O)=O XNGIFLGASWRNHJ-UHFFFAOYSA-N 0.000 description 2
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 2
- 229920000642 polymer Polymers 0.000 description 2
- 238000012545 processing Methods 0.000 description 2
- 229920006395 saturated elastomer Polymers 0.000 description 2
- 239000011734 sodium Substances 0.000 description 2
- 229910052708 sodium Inorganic materials 0.000 description 2
- 239000007858 starting material Substances 0.000 description 2
- WGTYBPLFGIVFAS-UHFFFAOYSA-M tetramethylammonium hydroxide Chemical compound [OH-].C[N+](C)(C)C WGTYBPLFGIVFAS-UHFFFAOYSA-M 0.000 description 2
- VZCYOOQTPOCHFL-UHFFFAOYSA-N trans-butenedioic acid Natural products OC(=O)C=CC(O)=O VZCYOOQTPOCHFL-UHFFFAOYSA-N 0.000 description 2
- 238000009966 trimming Methods 0.000 description 2
- 238000001039 wet etching Methods 0.000 description 2
- BJEPYKJPYRNKOW-REOHCLBHSA-N (S)-malic acid Chemical compound OC(=O)[C@@H](O)CC(O)=O BJEPYKJPYRNKOW-REOHCLBHSA-N 0.000 description 1
- VXNZUUAINFGPBY-UHFFFAOYSA-N 1-Butene Chemical compound CCC=C VXNZUUAINFGPBY-UHFFFAOYSA-N 0.000 description 1
- RTBFRGCFXZNCOE-UHFFFAOYSA-N 1-methylsulfonylpiperidin-4-one Chemical compound CS(=O)(=O)N1CCC(=O)CC1 RTBFRGCFXZNCOE-UHFFFAOYSA-N 0.000 description 1
- SMZOUWXMTYCWNB-UHFFFAOYSA-N 2-(2-methoxy-5-methylphenyl)ethanamine Chemical compound COC1=CC=C(C)C=C1CCN SMZOUWXMTYCWNB-UHFFFAOYSA-N 0.000 description 1
- NIXOWILDQLNWCW-UHFFFAOYSA-N 2-Propenoic acid Natural products OC(=O)C=C NIXOWILDQLNWCW-UHFFFAOYSA-N 0.000 description 1
- OSWFIVFLDKOXQC-UHFFFAOYSA-N 4-(3-methoxyphenyl)aniline Chemical compound COC1=CC=CC(C=2C=CC(N)=CC=2)=C1 OSWFIVFLDKOXQC-UHFFFAOYSA-N 0.000 description 1
- WSSSPWUEQFSQQG-UHFFFAOYSA-N 4-methyl-1-pentene Chemical compound CC(C)CC=C WSSSPWUEQFSQQG-UHFFFAOYSA-N 0.000 description 1
- QGZKDVFQNNGYKY-UHFFFAOYSA-O Ammonium Chemical group [NH4+] QGZKDVFQNNGYKY-UHFFFAOYSA-O 0.000 description 1
- 239000005711 Benzoic acid Substances 0.000 description 1
- 239000004215 Carbon black (E152) Substances 0.000 description 1
- 229920000858 Cyclodextrin Polymers 0.000 description 1
- FEWJPZIEWOKRBE-JCYAYHJZSA-N Dextrotartaric acid Chemical compound OC(=O)[C@H](O)[C@@H](O)C(O)=O FEWJPZIEWOKRBE-JCYAYHJZSA-N 0.000 description 1
- 229920005682 EO-PO block copolymer Polymers 0.000 description 1
- IAYPIBMASNFSPL-UHFFFAOYSA-N Ethylene oxide Chemical compound C1CO1 IAYPIBMASNFSPL-UHFFFAOYSA-N 0.000 description 1
- 229920000663 Hydroxyethyl cellulose Polymers 0.000 description 1
- 239000004354 Hydroxyethyl cellulose Substances 0.000 description 1
- GRYLNZFGIOXLOG-UHFFFAOYSA-N Nitric acid Chemical compound O[N+]([O-])=O GRYLNZFGIOXLOG-UHFFFAOYSA-N 0.000 description 1
- 229910019142 PO4 Inorganic materials 0.000 description 1
- OAICVXFJPJFONN-UHFFFAOYSA-N Phosphorus Chemical compound [P] OAICVXFJPJFONN-UHFFFAOYSA-N 0.000 description 1
- 229920003171 Poly (ethylene oxide) Polymers 0.000 description 1
- 229930182556 Polyacetal Natural products 0.000 description 1
- 239000004698 Polyethylene Substances 0.000 description 1
- 239000004743 Polypropylene Substances 0.000 description 1
- 239000004372 Polyvinyl alcohol Substances 0.000 description 1
- KJTLSVCANCCWHF-UHFFFAOYSA-N Ruthenium Chemical compound [Ru] KJTLSVCANCCWHF-UHFFFAOYSA-N 0.000 description 1
- 229920002125 Sokalan® Polymers 0.000 description 1
- PPBRXRYQALVLMV-UHFFFAOYSA-N Styrene Natural products C=CC1=CC=CC=C1 PPBRXRYQALVLMV-UHFFFAOYSA-N 0.000 description 1
- KDYFGRWQOYBRFD-UHFFFAOYSA-N Succinic acid Natural products OC(=O)CCC(O)=O KDYFGRWQOYBRFD-UHFFFAOYSA-N 0.000 description 1
- FEWJPZIEWOKRBE-UHFFFAOYSA-N Tartaric acid Natural products [H+].[H+].[O-]C(=O)C(O)C(O)C([O-])=O FEWJPZIEWOKRBE-UHFFFAOYSA-N 0.000 description 1
- GWEVSGVZZGPLCZ-UHFFFAOYSA-N Titan oxide Chemical compound O=[Ti]=O GWEVSGVZZGPLCZ-UHFFFAOYSA-N 0.000 description 1
- PCBMYXLJUKBODW-UHFFFAOYSA-N [Ru].ClOCl Chemical compound [Ru].ClOCl PCBMYXLJUKBODW-UHFFFAOYSA-N 0.000 description 1
- 229920006243 acrylic copolymer Polymers 0.000 description 1
- 239000000654 additive Substances 0.000 description 1
- 230000000996 additive effect Effects 0.000 description 1
- 239000001361 adipic acid Substances 0.000 description 1
- 235000011037 adipic acid Nutrition 0.000 description 1
- 150000005215 alkyl ethers Chemical class 0.000 description 1
- BJEPYKJPYRNKOW-UHFFFAOYSA-N alpha-hydroxysuccinic acid Natural products OC(=O)C(O)CC(O)=O BJEPYKJPYRNKOW-UHFFFAOYSA-N 0.000 description 1
- 150000001412 amines Chemical class 0.000 description 1
- JFCQEDHGNNZCLN-UHFFFAOYSA-N anhydrous glutaric acid Natural products OC(=O)CCCC(O)=O JFCQEDHGNNZCLN-UHFFFAOYSA-N 0.000 description 1
- 125000000129 anionic group Chemical group 0.000 description 1
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 1
- PLUHAVSIMCXBEX-UHFFFAOYSA-N azane;dodecyl benzenesulfonate Chemical compound N.CCCCCCCCCCCCOS(=O)(=O)C1=CC=CC=C1 PLUHAVSIMCXBEX-UHFFFAOYSA-N 0.000 description 1
- CFJRGWXELQQLSA-UHFFFAOYSA-N azanylidyneniobium Chemical compound [Nb]#N CFJRGWXELQQLSA-UHFFFAOYSA-N 0.000 description 1
- 235000010233 benzoic acid Nutrition 0.000 description 1
- 230000015572 biosynthetic process Effects 0.000 description 1
- 210000004556 brain Anatomy 0.000 description 1
- KDYFGRWQOYBRFD-NUQCWPJISA-N butanedioic acid Chemical compound O[14C](=O)CC[14C](O)=O KDYFGRWQOYBRFD-NUQCWPJISA-N 0.000 description 1
- 125000003178 carboxy group Chemical group [H]OC(*)=O 0.000 description 1
- 150000001732 carboxylic acid derivatives Chemical class 0.000 description 1
- 239000000969 carrier Substances 0.000 description 1
- 239000000919 ceramic Substances 0.000 description 1
- 238000005229 chemical vapour deposition Methods 0.000 description 1
- 238000005253 cladding Methods 0.000 description 1
- 238000004140 cleaning Methods 0.000 description 1
- 150000001875 compounds Chemical class 0.000 description 1
- 239000002178 crystalline material Substances 0.000 description 1
- 238000000151 deposition Methods 0.000 description 1
- 230000008021 deposition Effects 0.000 description 1
- ZBCBWPMODOFKDW-UHFFFAOYSA-N diethanolamine Chemical class OCCNCCO ZBCBWPMODOFKDW-UHFFFAOYSA-N 0.000 description 1
- 230000005669 field effect Effects 0.000 description 1
- 239000012467 final product Substances 0.000 description 1
- 235000019253 formic acid Nutrition 0.000 description 1
- 239000001530 fumaric acid Substances 0.000 description 1
- 125000000524 functional group Chemical group 0.000 description 1
- 238000002309 gasification Methods 0.000 description 1
- 229930195733 hydrocarbon Natural products 0.000 description 1
- 229910052739 hydrogen Inorganic materials 0.000 description 1
- 239000001257 hydrogen Substances 0.000 description 1
- 125000004435 hydrogen atom Chemical group [H]* 0.000 description 1
- 235000019447 hydroxyethyl cellulose Nutrition 0.000 description 1
- 239000011229 interlayer Substances 0.000 description 1
- 239000013067 intermediate product Substances 0.000 description 1
- 230000009545 invasion Effects 0.000 description 1
- 239000004310 lactic acid Substances 0.000 description 1
- 235000014655 lactic acid Nutrition 0.000 description 1
- 238000001459 lithography Methods 0.000 description 1
- VZCYOOQTPOCHFL-UPHRSURJSA-N maleic acid Chemical compound OC(=O)\C=C/C(O)=O VZCYOOQTPOCHFL-UPHRSURJSA-N 0.000 description 1
- 239000011976 maleic acid Substances 0.000 description 1
- 239000001630 malic acid Substances 0.000 description 1
- 235000011090 malic acid Nutrition 0.000 description 1
- 230000007246 mechanism Effects 0.000 description 1
- 230000000877 morphologic effect Effects 0.000 description 1
- URLJKFSTXLNXLG-UHFFFAOYSA-N niobium(5+);oxygen(2-) Chemical group [O-2].[O-2].[O-2].[O-2].[O-2].[Nb+5].[Nb+5] URLJKFSTXLNXLG-UHFFFAOYSA-N 0.000 description 1
- 229910017604 nitric acid Inorganic materials 0.000 description 1
- 235000006408 oxalic acid Nutrition 0.000 description 1
- TWNQGVIAIRXVLR-UHFFFAOYSA-N oxo(oxoalumanyloxy)alumane Chemical compound O=[Al]O[Al]=O TWNQGVIAIRXVLR-UHFFFAOYSA-N 0.000 description 1
- 229910052760 oxygen Inorganic materials 0.000 description 1
- 239000001301 oxygen Substances 0.000 description 1
- RVTZCBVAJQQJTK-UHFFFAOYSA-N oxygen(2-);zirconium(4+) Chemical compound [O-2].[O-2].[Zr+4] RVTZCBVAJQQJTK-UHFFFAOYSA-N 0.000 description 1
- 238000000059 patterning Methods 0.000 description 1
- 229920006287 phenoxy resin Polymers 0.000 description 1
- 239000013034 phenoxy resin Substances 0.000 description 1
- 235000021317 phosphate Nutrition 0.000 description 1
- 125000002467 phosphate group Chemical group [H]OP(=O)(O[H])O[*] 0.000 description 1
- 150000003013 phosphoric acid derivatives Chemical class 0.000 description 1
- 239000011574 phosphorus Substances 0.000 description 1
- 229910052698 phosphorus Inorganic materials 0.000 description 1
- 229920003023 plastic Polymers 0.000 description 1
- 239000004033 plastic Substances 0.000 description 1
- 229920003229 poly(methyl methacrylate) Polymers 0.000 description 1
- 229920002432 poly(vinyl methyl ether) polymer Polymers 0.000 description 1
- 229920000768 polyamine Polymers 0.000 description 1
- 229920000515 polycarbonate Polymers 0.000 description 1
- 239000004417 polycarbonate Substances 0.000 description 1
- 229920000867 polyelectrolyte Polymers 0.000 description 1
- 229920000728 polyester Polymers 0.000 description 1
- 229920000573 polyethylene Polymers 0.000 description 1
- 239000004926 polymethyl methacrylate Substances 0.000 description 1
- 229920006324 polyoxymethylene Polymers 0.000 description 1
- 229920001155 polypropylene Polymers 0.000 description 1
- 229920002451 polyvinyl alcohol Polymers 0.000 description 1
- 239000004800 polyvinyl chloride Substances 0.000 description 1
- 229920000915 polyvinyl chloride Polymers 0.000 description 1
- 229940096992 potassium oleate Drugs 0.000 description 1
- MLICVSDCCDDWMD-KVVVOXFISA-M potassium;(z)-octadec-9-enoate Chemical compound [K+].CCCCCCCC\C=C/CCCCCCCC([O-])=O MLICVSDCCDDWMD-KVVVOXFISA-M 0.000 description 1
- HSJXWMZKBLUOLQ-UHFFFAOYSA-M potassium;2-dodecylbenzenesulfonate Chemical compound [K+].CCCCCCCCCCCCC1=CC=CC=C1S([O-])(=O)=O HSJXWMZKBLUOLQ-UHFFFAOYSA-M 0.000 description 1
- 239000011164 primary particle Substances 0.000 description 1
- 229910052707 ruthenium Inorganic materials 0.000 description 1
- YBCAZPLXEGKKFM-UHFFFAOYSA-K ruthenium(iii) chloride Chemical compound [Cl-].[Cl-].[Cl-].[Ru+3] YBCAZPLXEGKKFM-UHFFFAOYSA-K 0.000 description 1
- 150000003839 salts Chemical class 0.000 description 1
- HFHDHCJBZVLPGP-UHFFFAOYSA-N schardinger α-dextrin Chemical compound O1C(C(C2O)O)C(CO)OC2OC(C(C2O)O)C(CO)OC2OC(C(C2O)O)C(CO)OC2OC(C(O)C2O)C(CO)OC2OC(C(C2O)O)C(CO)OC2OC2C(O)C(O)C1OC2CO HFHDHCJBZVLPGP-UHFFFAOYSA-N 0.000 description 1
- 238000010187 selection method Methods 0.000 description 1
- 238000000926 separation method Methods 0.000 description 1
- 239000000344 soap Substances 0.000 description 1
- 239000000243 solution Substances 0.000 description 1
- 125000001424 substituent group Chemical group 0.000 description 1
- 150000003871 sulfonates Chemical class 0.000 description 1
- 125000000542 sulfonic acid group Chemical group 0.000 description 1
- 239000011975 tartaric acid Substances 0.000 description 1
- 235000002906 tartaric acid Nutrition 0.000 description 1
- OGIDPMRJRNCKJF-UHFFFAOYSA-N titanium oxide Inorganic materials [Ti]=O OGIDPMRJRNCKJF-UHFFFAOYSA-N 0.000 description 1
- 238000012546 transfer Methods 0.000 description 1
- 229910001928 zirconium oxide Inorganic materials 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/31051—Planarisation of the insulating layers
- H01L21/31053—Planarisation of the insulating layers involving a dielectric removal step
-
- C—CHEMISTRY; METALLURGY
- C09—DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
- C09G—POLISHING COMPOSITIONS; SKI WAXES
- C09G1/00—Polishing compositions
- C09G1/02—Polishing compositions containing abrasives or grinding agents
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/76—Making of isolation regions between components
- H01L21/762—Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
- H01L21/76224—Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Chemical & Material Sciences (AREA)
- Organic Chemistry (AREA)
- Mechanical Treatment Of Semiconductor (AREA)
- Finish Polishing, Edge Sharpening, And Grinding By Specific Grinding Devices (AREA)
Abstract
Description
201234469 六、發明說明: 【發明所屬之技術領域】 本申請案對2010年10月4曰提出的U.S. Provisional Serial201234469 VI. Description of the invention: [Technical field to which the invention pertains] U.S. Provisional Serial proposed in this application on October 4, 2010
No. 6 1 /3 89,546主張優先權,並將其整體以提及方式納入 本文。 本申請案係關於共同讓渡的申請案:「具有覆蓋層遮 罩的化學機械平坦化」,序號爲(TBD)(代理案件表編號 YOR9 20100499USl(163-369)),一 倂同時提出;「用於製 造鰭式場效電晶體裝置之化學機械平坦化方法」,序號爲 (TBD)(代理案件表編號 Y〇R920 1 005 3 7US2( 1 63-3 72)), 一倂同時提出;及「製造置換型金屬閘極裝置的方法」,序 號爲(TBD)(代理案件表編號 YOR920100538USl( 1 63 -3 73)) ,一倂同時提出,並皆以提及方式納入本文。 【先前技術】 本發明係關於半導體製造及裝置,且更特別係關於化 學機械平坦化(CMP)的系統及方法。 淺溝渠隔離(STI)結構係導入0.25 μιη技術節點,以取 代習用的LOCOS (局部的矽氧化)結構,以提供較佳的裝 置隔離。STI製造方法包含化學機械平坦化(CMP)步驟。 淺溝渠隔離CMP爲前端製程(FEOL)CMP方法的應用之一 ,並呈現一些技術及可製造性的挑戰。影響S TI C Μ P方法 的主要因素爲i)整體晶片的型樣密度變異,Π)影響牆面坡 度及氧化物塡充之溝渠蝕刻方法的可變異性,及iii)使用 201234469 以下稱爲「氧化物」之二氧化矽的類型(例如四乙基正矽 酸鹽(TEOS)、高密度電漿(HDP)氧化物、高縱橫比方法(HARP) 氧化物)及以下稱爲「氮化物」之氮化矽(例如電漿強化 化學蒸汽沈積(PECVD)、低壓化學蒸汽沈積(LPCVD)、快速 高溫化學蒸汽沈積(RTCVD))。整體晶圓之氧化物及氮化 物沈積的厚度及均勻度變異也爲影響STI CMP的因素。 其次,後續的方法步驟受CMP方法所影響。有利爲 將氮化物上的所有氧化物完全去除。否則,殘留的氧化物 在氮化物剝除時會成爲遮罩,而留下剩餘的氮化物。爲確 保完全去除氮化物上的氧化物,可使用稀釋之經緩衝的 HF蝕刻。然而,如此會增加溝渠氧化物的損失及放大刮 痕缺陷。最終的形貌會明顯受CMP方法以及後CMP濕式 蝕刻方法二者所影響。 碟化、侵蝕及與型樣密度相關的氮化物厚度爲對STI CMP方法之非平坦性的一些貢獻因子。然而,即使STI CMP方法達到完美的平坦性且整體型樣密度皆完美均勻的 後CMP氮化物厚度,將犧牲的氮化物去除會導致非平坦 的表面。因爲拋光速率及濕式蝕刻速率二者皆與型樣密度 有關’自所有來源累積的非均勻性導致大的形貌變異。 型樣密度的效應明顯影響後CMP的平坦性。由於使 用於層間介電(ILD)拋光的氧化物介電物質之緊密相似性 ’早期的STI CMP方法使用與ILD CMP相同的耗材(墊 、獎料)及方法參數。因爲應該在很大的活性區域以及高 度密集陣列中去除氮化物上的所有氧化物,需要特定量的No. 6 1 / 3 89,546 claims priority and is incorporated herein by reference in its entirety. This application is related to the application for joint transfer: "Chemical mechanical flattening with overlay mask", serial number (TBD) (agent case number YOR9 20100499USl (163-369)), one at the same time; A chemical mechanical planarization method for manufacturing a fin field effect transistor device, serial number (TBD) (proxy case number Y〇R920 1 005 3 7US2 (1 63-3 72)), one at the same time; and The method for manufacturing a replacement metal gate device, the serial number (TBD) (agent case number YOR920100538USl (1 63 - 3 73)), is also proposed at the same time, and is incorporated herein by reference. [Prior Art] The present invention relates to semiconductor fabrication and devices, and more particularly to systems and methods for chemical mechanical planarization (CMP). The shallow trench isolation (STI) structure introduces a 0.25 μη technology node to replace the conventional LOCOS (local niobium oxide) structure to provide better device isolation. The STI fabrication process includes a chemical mechanical planarization (CMP) step. Shallow trench isolation CMP is one of the applications of the Front End Process (FEOL) CMP method and presents some technical and manufacturability challenges. The main factors affecting the S TI C Μ P method are i) the variation of the pattern density of the whole wafer, Π the variability of the trench etching method affecting the wall slope and the oxide filling, and iii) the use of 201234469 "Oxide" type of cerium oxide (eg, tetraethyl orthosilicate (TEOS), high density plasma (HDP) oxide, high aspect ratio method (HARP) oxide) and hereinafter referred to as "nitride" Niobium nitride (such as plasma enhanced chemical vapor deposition (PECVD), low pressure chemical vapor deposition (LPCVD), rapid high temperature chemical vapor deposition (RTCVD)). Variations in thickness and uniformity of oxide and nitride deposition on the entire wafer are also factors influencing STI CMP. Second, subsequent method steps are affected by the CMP method. It is advantageous to completely remove all oxides on the nitride. Otherwise, the residual oxide becomes a mask when the nitride is stripped, leaving the remaining nitride. To ensure complete removal of oxides on the nitride, a dilute buffered HF etch can be used. However, this increases the loss of the trench oxide and amplifies the scratch defects. The final morphology will be significantly affected by both the CMP process and the post-CMP wet etch process. Discrimination, erosion, and nitride thickness associated with pattern density are some contributing factors to the non-flatness of the STI CMP method. However, even if the STI CMP method achieves perfect flatness and the overall pattern density is perfectly uniform for the post-CMP nitride thickness, the sacrifice of nitride removal results in a non-flat surface. Because both the polishing rate and the wet etch rate are related to the pattern density, the non-uniformity accumulated from all sources results in large morphological variations. The effect of pattern density significantly affects the flatness of the post-CMP. Due to the close similarity of oxide dielectric materials used for interlayer dielectric (ILD) polishing, the early STI CMP method used the same consumables (pads, prizes) and method parameters as ILD CMP. Since all oxides on the nitride should be removed in a large active area as well as in a highly dense array, a specific amount is required.
-6- S 201234469 過度拋光。如此導致在一些特徵結構中整個氮化物層的去 除及在其他區域中溝渠氧化物的侵飩。此乃起因於以一般 習用的氧化物漿料之氮化物的高拋光速率(約300A/分鐘 【發明內容】 一種將晶圓拋光的方法,其包含在第一拋光步驟中, 去除覆蓋層並將頂層平坦化,使部份保留在下層之上。第 二拋光步驟包括二個階段。在第一階段中,將頂層去除並 將下層暴露,使頂層對下層的選擇比介於約1: 1至約2: 1,以提供平坦的形貌。在第二階段中,自下層的上方將 頂層的殘留部份去除,以確保下層表面完全暴露。 一種將淺溝渠隔離(STI)結構拋光的方法,以平坦化氧 化物層及暴露下面的氮化物,其包含第一化學機械拋光步 驟,以去除覆蓋層並將氧化物層平坦化,使留下3 00至 600A的氧化物;第二化學機械拋光步驟包括二個階段, 具有:第一階段包括將氧化物層去除並將下面氮化物表面 暴露,使氧化物對氮化物的選擇比約1: 1至2: 1,以提 供平坦的形貌;及第二階段包括將殘留在氮化物表面上方 的殘留氧化物去除,以確保氮化物表面完全暴露。 與所附圖形一倂閱讀以下說明性具體實例的詳細描述 ,將使這些及其他特徵與優點顯而易見。 【實施方式】 201234469 本發明提供半導體裝置結構的化學機械平坦化方法。 在一特別適用的具體實例中,半導體裝置結構包括淺溝渠 隔離結構。該方法使用的一系列步驟包含對不同介電物質 具不同選擇比的漿料。雖然可使用相對於另一者而選擇性 被蝕刻之其他物質,介電物質可包含氧化物及氮化物層。 在一方法中,第一步驟包含以膠體氧化矽漿料或氧化 鈽/界面活性劑漿料拋光,以減少覆蓋層及將初始形貌平 坦化,留下薄層,例如約300至600A的氧化物。下一步 驟包括二個階段。在第一階段中,使用具有頂層及下層間 選擇比的漿料,將頂層拋光去除並將下層暴露。在一具體 實例中,選擇比可包括氧化物(頂層)對氮化物(下層) 大約爲1: 1至2:〗。在第二階段中,使用具有高的頂層 拋光速率及無明顯的下層速率之漿料,以去除留在下層上 方之任何殘留的頂層物質。如此確保將整個下層完全暴露 ’使下層可藉由後續處理中的濕式蝕刻加以去除。 本原則使用具有層之間不同選擇比的漿料,例如氧化 物及氮化物,達到高度平坦的後化學機械平坦化(CMP)表 面。在使用氧化物及氮化物的方法中,去除過多的氧化物 覆蓋層’例如使拋光後殘留約3 00 A的氧化物。對於此平 坦化步驟,可使用具有例如4 : 1的氧化物對氮化物選擇 比或氧化鈽/界面活性劑系漿料之氧化物拋光漿料。在下 一步驟中’可使用具有大致爲1: 1的氧化物對氮化物選 擇比的漿料,以達到對氧化物及氮化物區域相等的拋光速 率’以避免因二種物質間拋光速率的差異而起的碟化及侵-6- S 201234469 Over-polished. This results in the removal of the entire nitride layer in some features and the erosion of trench oxides in other regions. This is due to the high polishing rate of the nitride of the conventionally used oxide paste (about 300 A/min). A method of polishing a wafer, which is included in the first polishing step, removes the cover layer and The top layer is flattened so that the portion remains on the lower layer. The second polishing step includes two stages. In the first stage, the top layer is removed and the lower layer is exposed, so that the top layer to the lower layer is selected at a ratio of about 1:1 to Approximately 2:1 to provide a flat topography. In the second stage, the remaining portion of the top layer is removed from above the lower layer to ensure complete exposure of the underlying surface. A method of polishing a shallow trench isolation (STI) structure, To planarize the oxide layer and expose the underlying nitride, comprising a first chemical mechanical polishing step to remove the cap layer and planarize the oxide layer, leaving an oxide of 300 to 600 A; second chemical mechanical polishing The step includes two stages, having: the first stage includes removing the oxide layer and exposing the underlying nitride surface such that the oxide to nitride selection ratio is about 1:1 to 2:1 to provide a flat shape And the second stage involves removing the residual oxide remaining above the nitride surface to ensure complete exposure of the nitride surface. A detailed description of the following illustrative examples will be made in conjunction with the accompanying drawings, which will Advantages are obvious. [Embodiment] 201234469 The present invention provides a chemical mechanical planarization method for a semiconductor device structure. In a particularly applicable embodiment, the semiconductor device structure includes a shallow trench isolation structure. The method uses a series of steps including different The electrical material has a different ratio of the slurry. Although other materials that are selectively etched relative to the other can be used, the dielectric material can comprise an oxide and nitride layer. In one method, the first step comprises colloidal The cerium oxide slurry or cerium oxide/surfactant slurry is polished to reduce the cover layer and flatten the initial topography, leaving a thin layer, such as an oxide of about 300 to 600 A. The next step includes two stages. In the first stage, the slurry with the top to bottom ratio is used to remove the top layer and remove the underlying layer. In a specific example, the selection ratio may include an oxide (top layer) to a nitride (lower layer) of about 1:1 to 2:. In the second stage, a higher top layer polishing rate and no significant lower layer are used. Rate slurry to remove any residual top layer material remaining above the lower layer. This ensures complete exposure of the entire lower layer' so that the lower layer can be removed by wet etching in subsequent processing. This principle uses different choices between layers. Ratio of slurry, such as oxides and nitrides, to a highly flat post-chemical mechanical planarization (CMP) surface. In methods using oxides and nitrides, excessive oxide capping is removed', for example, after polishing An oxide of about 300 A. For this planarization step, an oxide polishing slurry having an oxide to nitride selectivity ratio of, for example, 4:1 or a cerium oxide/surfactant-based slurry can be used. In the next step 'a slurry with an oxide-to-nitride selectivity of approximately 1:1 can be used to achieve an equal polishing rate for the oxide and nitride regions' to avoid differences in polishing rates between the two materials. Diskization and invasion
-8- S 201234469 蝕。爲確保氮化物上無殘留氧化物,可使用 率及可忽略的氮化物速率之外加修整拋光作 預防在修整拋光去除微量氧化物時氮化物的 可藉由改變所使用漿料的組成份而完成。 圖形中的流程及方塊圖在一些替代的執 圖形指示的順序進行。例如,在連續顯示的 視所包含的功能性而定,事實上可實質地同 時可以相反順序執行方塊。 應瞭解的是以所給的說明性架構的詞語 然而,其他架構、結構、基板物質及方法特 本發明的範圍內變化。整篇揭示說明氧化物 晶矽物質。然而,這些物質爲說明性且也可 範圍內的其他物質。此外,整篇揭示說明厚 厚度維度爲說明性且可如本原則使用其他維 在此所述的裝置可爲積體電路晶片設計 片設計可用圖解的電腦程式語言加以產生, 儲存媒體中(例如磁碟、磁帶、實體硬碟機 擷取網路中的虛擬硬碟機)。若設計者未製 光刻遮罩製造晶片,設計者可用物理性機構 設計儲存的儲存媒體之複本)傳達所得的設 間接地以電子方式(例如經由網路)至該實 存的設計轉換成適當的格式(例如G D S 11 ) 的製造,其通常包括論及之在晶圓上形成晶 複本。光刻遮罩係用以界定待蝕刻或其他處 具高氧化物速 爲選項。此可 任何損失。此 行例中可不依 二個方塊中, 時執行,或有 說明本發明, 徵與步驟可在 、氮化物及多 考量在本發明 度維度。這些 度。 的一部份。晶 並儲存在電腦 、或例如儲存 造晶片或使用 (例如提供將 計、或直接或 體。再將經儲 ,供光刻遮罩 片設計的多個 理的晶圓(及 • 9 - 201234469 /或其上之層)範圍。 在此所述的方法可用以製造積體電路晶片。所得 體電路晶片可由作爲空模的粗晶圓形式(亦即具有多 封裝晶片的單一晶圓)或以封裝形式之製造者加以分 在後者中,晶片係以單一晶片封裝(例如具有固定於 板的導線之塑膠載體,或其他更高階的載體)或以多 片封裝(例如具有表面互連或埋設的互連中之一或二 陶瓷載體)加以安裝。在任一情況下,再將晶片與其 片、不連續的電路元件、及/或其他訊號處理裝置加 合,作爲(a)中間產品、例如主機板或(b)最終產品的 份。最終產品可爲包括積體電路晶片、範圍自玩具及 低階應用至具有顯示器、鍵盤或其他輸入裝置、及中 理器之高等電腦產品的任何產品。 現在參考圖形,其中相同的數字代表相同或相似 件,且由圖1開始,如一說明性具體實例所顯示的 方法的橫切面。此方法的一個優點爲其提供使用不同 比的漿料之彈性,以達到高度平坦的後拋光形貌。此 使用能對頂層及下層提供不同拋光速率的漿料,可加 化達到高度平坦的後拋光表面。 爲了解釋的目的,說明氧化物的頂層及氮化物的 。這些物質代表常使用的物質對,且特別適用於淺溝 離製造方法。也可使用其他物質及物質對。也說明性 述對STI CMP方法的漿料組成份。 初始時,半導體基板10具有在其中形成的溝渠 的積 重未 配。 主機 重晶 者的 他晶 以整 —部 其他 央處 的元 CMP 選擇 方法 以變 下層 渠隔 地描 12,-8- S 201234469 Eclipse. In order to ensure no residual oxide on the nitride, the use rate and negligible nitride rate plus trimming to prevent the nitride from being removed during trimming and polishing to remove trace oxides can be accomplished by changing the composition of the slurry used. . The flow and block diagrams in the drawing are performed in the order in which the alternative graphics are indicated. For example, depending on the functionality contained in the continuously displayed views, the blocks may in fact be executed substantially in reverse order. It should be understood that the words of the illustrative architecture are given. However, other architectures, structures, substrate materials, and methods vary within the scope of the invention. The entire article reveals the oxide crystalline material. However, these materials are illustrative and other materials within the scope. In addition, the entire disclosure reveals that the thickness dimension is illustrative and that other dimensions can be used as in this principle. The apparatus described herein can be designed for use in a computer programming language for the integrated circuit chip design, in a storage medium (eg, magnetic Discs, tapes, and physical hard drives capture virtual hard drives in the network). If the designer does not make a lithographic mask to fabricate the wafer, the designer can use a physical mechanism to design a copy of the stored storage medium. The resulting design is indirectly electronically converted (eg, via the network) to the actual design to the appropriate design. The fabrication of the format (eg, GDS 11) typically involves the formation of a crystal replica on the wafer. The lithographic mask is used to define the high oxide speed to be etched or other options. This can be any loss. In this example, the present invention may be implemented in the following two blocks, or the present invention may be described, and the steps may be in the form of nitrides and more considerations in the dimensions of the present invention. These degrees. Part of it. Crystallized and stored in a computer, or for example, a wafer for storage or use (for example, providing a wafer that is to be metered, or directly or in bulk, and then stored for lithographic mask design) (and • 9 - 201234469 / Or a layer thereon. The method described herein can be used to fabricate integrated circuit wafers. The resulting bulk circuit wafer can be in the form of a coarse wafer as a dummy mode (ie, a single wafer with multiple packaged wafers) or packaged. The manufacturer of the form is divided into the latter, the wafer is packaged in a single chip (such as a plastic carrier with wires fixed to the board, or other higher order carrier) or in multiple packages (eg, interconnected or buried) One or two ceramic carriers are attached. In either case, the wafer is added to its sheets, discrete circuit components, and/or other signal processing devices as (a) intermediate products, such as motherboards or (b) a portion of the final product, which may include integrated circuit chips, ranging from toys and low-end applications to higher levels with displays, keyboards or other input devices, and intermediate devices Any product of a brain product. Reference is now made to the accompanying drawings, wherein like numerals represent the same or like parts, and the cross-section of the method as shown in the illustrative embodiment of FIG. 1. An advantage of this method is that it provides a different ratio. The flexibility of the slurry to achieve a highly flat post-polish morphology. This uses a slurry that provides different polishing rates for the top and bottom layers, which can be added to a highly flat post-polished surface. For purposes of explanation, oxides are described. The top layer and the nitride. These materials represent the commonly used material pairs and are particularly suitable for shallow trench separation methods. Other materials and material pairs can also be used. The slurry composition of the STI CMP method is also described. At the time, the semiconductor substrate 10 has the accumulated weight of the trench formed therein. The crystal of the host recrystallizer is described by the meta-CMP selection method of the other central portion to change the lower channel.
-10- S 201234469 並待用以形成淺溝渠隔離。藉由形成及型樣出微影術遮罩 及可包括氧化物墊1 4及氮化物墊1 6之外加層,將溝渠1 2 蝕刻進入基板10。氧化物18(例如TEOS、HDP氧化物、 HARP)沈積在溝渠12中及氮化物墊16之上。應瞭解的 是可使用其他結構。 步驟100中,以拋光將氧化物覆蓋層19去除。此步 驟的一個目標爲降低大的初始形貌,去除整體的氧化物覆 蓋層,及在裝置上各處(例如半導體模)留下大約300A 至600A、較佳爲接近3 00A的平坦氧化物層20。因爲在 拋光的起始階段,希望高的氧化物去除速率且實際上未暴 露氮化物的表面,步驟100中較不關心漿料的選擇比。此 可藉由具有大約4:1的氧化物對氮化物選擇比的氧化物 拋光漿料而達成。氧化漿料可包括鹼,例如氫氧化鉀或氫 氧化銨,且氧化矽硏磨劑可選自發煙氧化矽及膠體氧化矽 。然而,爲增進平坦性及達到遍佈各種型樣密度之均勻的 氧化物厚度,可能希望氧化物漿料中納入添加劑。步驟 1 00中也可使用氧化鈽/界面活性劑系統,以達到希望的平 坦性及均勻度。 步驟200中,進行平坦化拋光。步驟200去除殘留約 3 00 A的氧化物層18,將底下氮化物覆蓋的表面(氮化物 墊16 )暴露,及達到無缺陷(例如拋光刮痕、凹洞及其他 污點)之高度平坦的最終表面。爲達到高平坦性,較佳爲 具有對氧化物及氮化物覆蓋表面爲大致相同的拋光速率。 氧化物及氮化物的拋光速率不應該很高,因爲如此會無可 -11 - 201234469 避免地導致不良的可控制性。因此,會高度希望有對氧化 物及氮化物的拋光速率在約300至約600A/分鐘範圍內的 漿料。此將提供具有良好可控制性之適當的拋光時間’及 容許過度拋光邊緣以將難以拋光的結構平坦化° 不同物質的化學機械平坦化拋光速率隨著線寬、型樣 密度及實際電路配置中的特徵尺寸而變。在經型樣的結構 中,不同物質的局部拋光速率爲包覆層晶圓中相同物質的 拋光速率之極複雜的函數。因此,有利的是將經型樣的晶 圓拋光及實驗地測量平坦性而將漿料的選擇比最佳化’以 確保達到希望的目標。因爲模的配置在技術節點之間、且 甚至在相同技術節點的不同產品之間會變化,高度希望能 夠以變化漿料中成份的濃度而改變拋光速率的選擇比。在 可使用於廣泛範圍的產品及技術節點的漿料系統範圍內, 拋光速率的選擇比在步驟200中爲「可調整」的。調整拋 光速率選擇比的能力爲拋光步驟200中使用本流程以達到 高度平坦的最終表面之因子。 步驟200包括二階段。在階段210中,一個目標爲達 到高度平坦的表面。此可由氧化物對氮化物的選擇比而完 成,例如約】:1至約2: 1。在一些以氮化物覆蓋的區域 中,薄層氧化物可留在氮化物的上方,且這些區域可能需 要進一步拋光(過度拋光),以去除氧化物並將氮化物完 全暴露。若以1: 1至2: 1選擇比的漿料進行過度拋光, 可發生氮化物的過度去除。因此,第二階段拋光2 20 (視 需要)的一個目標爲確保在將氮化物上方殘留的氧化物去-10- S 201234469 It is to be used to form shallow trench isolation. The trenches 12 are etched into the substrate 10 by forming and patterning a lithography mask and may include an oxide pad 14 and a nitride pad 16 in addition to a layer. Oxide 18 (e.g., TEOS, HDP oxide, HARP) is deposited in trench 12 and over nitride pad 16. It should be understood that other structures can be used. In step 100, the oxide cap layer 19 is removed by polishing. One goal of this step is to reduce the large initial topography, remove the overall oxide cap layer, and leave a flat oxide layer of approximately 300A to 600A, preferably approximately 300A, throughout the device (eg, a semiconductor die). 20. Since a high oxide removal rate is desired at the initial stage of polishing and the surface of the nitride is not actually exposed, the selection ratio of the slurry is less concerned in step 100. This can be achieved by an oxide polishing slurry having an oxide to nitride selectivity of about 4:1. The oxidizing slurry may include a base such as potassium hydroxide or ammonium hydroxide, and the oxidative honing agent may be selected from the group consisting of fumed cerium oxide and colloidal cerium oxide. However, in order to improve flatness and achieve a uniform oxide thickness throughout various pattern densities, it may be desirable to incorporate an additive into the oxide slurry. A cerium oxide/surfactant system can also be used in step 100 to achieve the desired level of flatness and uniformity. In step 200, planarization polishing is performed. Step 200 removes the oxide layer 18 remaining at about 300 A, exposing the underlying nitride-covered surface (nitride pad 16), and achieving a highly flat final without defects (eg, polishing scratches, pits, and other stains). surface. In order to achieve high flatness, it is preferred to have substantially the same polishing rate for the oxide and nitride covering surfaces. The polishing rate of oxides and nitrides should not be very high, as this would be incompetent -11 - 201234469 avoiding poor controllability. Therefore, it is highly desirable to have a slurry having a polishing rate for oxides and nitrides in the range of from about 300 to about 600 A/min. This will provide proper polishing time with good controllability and allow over-polishing edges to flatten difficult-to-polish structures. Chemical mechanical planarization polishing rates for different materials with line width, pattern density, and actual circuit configuration The feature size varies. In a warp-type structure, the local polishing rate of the different materials is a very complex function of the polishing rate of the same material in the cladding wafer. Therefore, it is advantageous to polish the warp of the pattern and experimentally measure the flatness to optimize the selection ratio of the slurry to ensure that the desired target is achieved. Since the configuration of the modes varies between the technical nodes and even between different products of the same technology node, it is highly desirable to be able to vary the polishing rate selection ratio by varying the concentration of the components in the slurry. The polishing rate selection is "adjustable" in step 200 over a range of slurry systems that can be used for a wide range of products and technology nodes. The ability to adjust the polishing rate selection ratio is a factor in the polishing step 200 using this process to achieve a highly flat final surface. Step 200 includes two phases. In stage 210, one target is to achieve a highly flat surface. This can be accomplished by an oxide to nitride selection ratio, for example, from about 1 to about 2:1. In some areas covered by nitride, a thin layer of oxide may remain above the nitride, and these areas may require further polishing (over-polishing) to remove oxides and completely expose the nitride. Excessive removal of nitride can occur if the slurry is selected for over-polishing with a ratio of 1:1 to 2:1. Therefore, a goal of the second stage polishing 2 20 (as needed) is to ensure that the oxide remaining above the nitride goes
-12- S 201234469 除時不會發生氮化物的明顯損失。此係使用具高的氧化物 拋光速率及無明顯的氮化物拋光速率之漿料。 本原則提供的漿料組成份係對第一階段拋光2 1 0提供 氧化物對氮化物的選擇比約爲1 : 1至2 : 1及對第二階段 220爲更高之氧化物對氮化物的選擇比。在一具體實例中 ,第一階段210及第二階段220中使用二種不同的漿料組 成份。在另一具體實例中,提供二種成份的漿料系統,其 中二種成份皆使用於第一階段拋光210且漿料系統僅有一 種成份使用於第二階段220。而在另一具體實例中,僅有 —種成份使用於第一階段210且二種成份皆使用於第二階 段 2 20。 在步驟300中,可進行額外的蝕刻以額外處理的製備 將表面清潔。蝕刻可包括對氧化物去除的HF蝕刻、或稀 釋的HF蝕刻,及對氮化物去除的熱磷酸(H3P04)濕式蝕刻 。如圖1所示,氮化物16在步驟300之後自氧化物14去 除。 如一具體實例的漿料可包括以下成份:a)硏磨劑, b)pH調節劑,及c)有機酸。 a)硏磨劑:硏磨劑可爲至少一種選自無機顆粒及有機 顆粒之類型的硏磨劑。無機顆粒的實例可包括氧化矽、氧 化鋁、氧化鈦、氧化锆、氧化鈽等。氧化矽的實例可包括 發煙氧化矽、以溶膠方法合成的氧化矽、膠體氧化矽等。 將氯化矽或類似者與氧及水在氣態下反應’可獲得發煙氧 化矽。將烷氧基矽化合物作爲起始物質加以水解及/或縮 -13- 201234469 合,可獲得以溶膠方法合成的氧化矽。例如使用預先純化 的起始物質的無機膠體方法,可獲得膠體氧化矽。有機顆 粒的實例可包括聚氯乙烯、苯乙烯(共)聚合物、聚縮醛 、聚酯、聚醯胺、聚碳酸酯、烯屬烴(共)聚合物、苯氧 基樹脂、丙烯酸(共)聚合物等。烯屬烴(共)聚合物的 實例可包括聚乙烯、聚丙烯、聚-1-丁烯、聚-4-甲基-1-戊 烯等。丙烯酸(共)聚合物的實例可包括聚甲基異丁烯酸 酯等。硏磨劑的平均顆粒直徑較佳爲5至500 nm,更佳爲 10至200 nm,且進一步更佳爲20至150 nm。使用具有 平均顆粒直徑在此範圍內的硏磨劑粒子可達成適當的拋光 速率。 b) pH調節劑:如本具體實例漿料的pH較佳爲1至】】 ’且更佳爲2至6。將漿料的pH調整至此範圍可達成適 當的拋光速率。pH調節劑的實例可包括有機鹼、無機鹼 、及無機酸。有機鹼的實例可包括氫氧化四甲基錢、三乙 基胺等。無機鹼的實例可包括氫氧化銨、氫氧化鉀、氫氧 化鈉等。無機酸的實例可包括硝酸、硫酸、磷酸等。 c) 有機酸:有機酸係使用作爲氮化物拋光速率的促進 劑。可使用各種有機酸,例如一元酸(例如單竣酸)、二 元酸(例如二羧酸)'多元酸(例如多羧酸)、及具有取 代基(羥基、胺)的羧酸。該有機酸的實例包括飽和酸、 不飽和酸、芳族酸等。飽和酸的實例可包括甲酸、乙酸、 丁酸、草酸、丙二酸、丁二酸、戊二酸、己二酸等。包含 羥基的酸實例可包括乳酸、蘋果酸、酒石酸、檸檬酸等。 -14--12- S 201234469 No significant loss of nitride occurs during time division. This uses a slurry with a high oxide polishing rate and no significant nitride polishing rate. The slurry composition provided by this principle provides a oxide to nitride selectivity ratio of about 1:1 to 2:1 for the first stage polishing and a higher oxide to nitride for the second stage 220. The choice is better than that. In one embodiment, two different slurry composition components are used in the first stage 210 and the second stage 220. In another embodiment, a two component slurry system is provided, wherein both components are used in the first stage polishing 210 and only one component of the slurry system is used in the second stage 220. In another embodiment, only one component is used in the first phase 210 and both components are used in the second phase 2 20 . In step 300, additional etching may be performed to prepare the additional treatment to clean the surface. The etch may include an HF etch for oxide removal, or a dilute HF etch, and a hot phosphoric acid (H3P04) wet etch for nitride removal. As shown in Figure 1, nitride 16 is removed from oxide 14 after step 300. The slurry as a specific example may include the following components: a) a honing agent, b) a pH adjuster, and c) an organic acid. a) honing agent: The honing agent may be at least one type of honing agent selected from the group consisting of inorganic particles and organic particles. Examples of the inorganic particles may include cerium oxide, aluminum oxide, titanium oxide, zirconium oxide, cerium oxide, and the like. Examples of the cerium oxide may include fumed cerium oxide, cerium oxide synthesized by a sol method, colloidal cerium oxide, and the like. The ruthenium oxychloride is obtained by reacting ruthenium chloride or the like with oxygen and water in a gaseous state. The alkoxy ruthenium compound is hydrolyzed as a starting material and/or the ruthenium is synthesized by the sol method. Colloidal cerium oxide can be obtained, for example, by an inorganic colloidal method using a previously purified starting material. Examples of the organic particles may include polyvinyl chloride, styrene (co)polymer, polyacetal, polyester, polyamine, polycarbonate, olefinic hydrocarbon (co)polymer, phenoxy resin, acrylic acid (total ) polymers and the like. Examples of the olefinic (co)polymer may include polyethylene, polypropylene, poly-1-butene, poly-4-methyl-1-pentene, and the like. Examples of the acrylic (co)polymer may include polymethyl methacrylate or the like. The average particle diameter of the honing agent is preferably from 5 to 500 nm, more preferably from 10 to 200 nm, and still more preferably from 20 to 150 nm. A suitable polishing rate can be achieved using honing agent particles having an average particle diameter within this range. b) pH adjuster: The pH of the slurry as in the specific example is preferably from 1 to ′′ and more preferably from 2 to 6. Adjusting the pH of the slurry to this range achieves an appropriate polishing rate. Examples of the pH adjuster may include an organic base, an inorganic base, and a mineral acid. Examples of the organic base may include tetramethylammonium hydroxide, triethylamine, and the like. Examples of the inorganic base may include ammonium hydroxide, potassium hydroxide, sodium hydroxide, and the like. Examples of the inorganic acid may include nitric acid, sulfuric acid, phosphoric acid, and the like. c) Organic acids: Organic acids are used as accelerators for the rate of nitride polishing. Various organic acids such as a monobasic acid (e.g., monodecanoic acid), a dibasic acid (e.g., dicarboxylic acid) 'polyacid (e.g., polycarboxylic acid), and a carboxylic acid having a substituent (hydroxyl, amine) can be used. Examples of the organic acid include a saturated acid, an unsaturated acid, an aromatic acid, and the like. Examples of the saturated acid may include formic acid, acetic acid, butyric acid, oxalic acid, malonic acid, succinic acid, glutaric acid, adipic acid, and the like. Examples of the acid containing a hydroxyl group may include lactic acid, malic acid, tartaric acid, citric acid, and the like. -14-
S 201234469 不飽和酸的實例可包括順丁烯二酸、反丁烯二酸等。芳族 酸的實例可包括苯甲酸、鄰苯二甲酸等。較佳爲使用具有 二個或多個羧酸基團的有機酸,以獲得高的氮化物拋光速 率。也可使用這些有機酸的鹽類(例如鉀或銨鹽)。 其他成份:本具體實例容許將其他成份添加進漿料, 以調整氧化物對氮化物的選擇比。如一具體實例的漿料可 包括界面活性劑。界面活性劑的實例可包括陰離子性、非離 子性、及陽離子性界面活性劑。陰離子性界面活性劑的實例 可包括含有至少一種選自羧基(-COOX)、磺酸基(-so3x)、 及磷酸基(-hpo4x)(其中X代表氫、銨、或金屬)之官能 基的界面活性劑。陰離子性界面活性劑的實例可包括脂族 及芳族硫酸鹽及磺酸鹽、磷酸鹽等。較佳爲使用例如十二 基苯磺酸鉀、十二基苯磺酸銨、烷基萘磺酸鈉、烷基磺琥 珀酸鹽、烷烯基琥珀酸鉀等的化合物。可使用如油酸鉀等 的脂族皂類。這些陰離子性界面活性劑可單獨或組合使用 。非離子性界面活性劑的實例可包括聚氧乙烯烷基醚、環 氧乙烷-環氧丙烷嵌段共聚物、乙炔二醇、乙炔二醇的環 氧乙烷添加產物、乙炔醇等。要注意的是也可使用例如聚 乙烯醇、環糊精、聚乙烯基甲基醚、或羥基乙基纖維素的 非離子性聚合化合物。陽離子性界面活性劑的實例可包括 脂族胺鹽類、脂族銨鹽類等。此外,在拋光以控制選擇比 時,也可添加例如聚(丙烯酸)及其例如鈉、鉀、及銨鹽 類之多元電解質。 利用以下的實例,進一步說明包括漿料成份功能之本 -15- 201234469 原則。要注意的是本發明並不受限於以下實例。雖然這些 物質可使用於如本原則的其他步驟中’實例1 -5可使用於 步驟1 0 0。 實例1中,額外的氫氧化銨對主要顆粒直徑爲3 5 n m 之膠體氧化矽硏磨劑的效應(該硏磨劑爲商業上得自例如 日本Fuso化學有限公司)提供如一說明性具體實例的適 用漿料。此膠體氧化矽硏磨劑爲商業氧化矽硏磨劑的實例 ,其可用以達成如本原則的特徵。以此漿料可達成氧化物 對氮化物的速率選擇比自0至1。然而,對氧化物及氮化 物二者的拋光速率可維持很低。 實例2中,具氧化矽硏磨劑的檸檬酸對氧化物提供很 高的選擇比。氧化物的拋光速率在450至600 A/分鐘的範 圍內,而氮化物的速率則接近〇。 實例3中,具氧化矽硏磨劑的檸檬酸及氫氧化銨提供 氧化物對氮化物的速率選擇比爲〇至1。氧化物的拋光速 率在150至500A/分鐘的範圍內,而氮化物的速率則在0 至250A/分鐘間變化。 實例4中,具氧化矽硏磨劑的磷酸提供氧化物對氮化 物的速率選擇比爲自〇至1。在此情況下,氧化物的速率 在4 00至65 0A/分鐘間變化,且氮化物的速率則在150至 200A/分鐘的窄範圍內變化。在此,選擇比的變化係由容 許氧化物速率變化而保持氮化物的拋光速率幾乎固定或在 極窄範圍內而達成。 實例5中,具氣化矽硏磨劑的檸檬酸、氫氧化銨及磷Examples of S 201234469 unsaturated acid may include maleic acid, fumaric acid, and the like. Examples of the aromatic acid may include benzoic acid, phthalic acid, and the like. It is preferred to use an organic acid having two or more carboxylic acid groups to obtain a high nitride polishing rate. Salts of these organic acids (for example potassium or ammonium salts) can also be used. Other Ingredients: This specific example allows other ingredients to be added to the slurry to adjust the oxide to nitride selectivity ratio. The slurry as a specific example may include a surfactant. Examples of the surfactant may include anionic, nonionic, and cationic surfactants. Examples of the anionic surfactant may include at least one functional group selected from the group consisting of a carboxyl group (-COOX), a sulfonic acid group (-so3x), and a phosphate group (-hpo4x) (wherein X represents hydrogen, ammonium, or a metal). Surfactant. Examples of the anionic surfactant may include aliphatic and aromatic sulfates and sulfonates, phosphates and the like. Preferably, for example, a compound such as potassium dodecylbenzenesulfonate, ammonium dodecylbenzenesulfonate, sodium alkylnaphthalenesulfonate, alkylsulfosuccinate, potassium alkynylsuccinate or the like is used. An aliphatic soap such as potassium oleate can be used. These anionic surfactants can be used singly or in combination. Examples of the nonionic surfactant may include polyoxyethylene alkyl ether, ethylene oxide-propylene oxide block copolymer, acetylene glycol, ethylene oxide addition product of acetylene glycol, acetylene alcohol and the like. It is to be noted that a nonionic polymeric compound such as polyvinyl alcohol, cyclodextrin, polyvinyl methyl ether, or hydroxyethyl cellulose can also be used. Examples of the cationic surfactant may include aliphatic amine salts, aliphatic ammonium salts and the like. Further, in polishing to control the selection ratio, for example, poly(acrylic acid) and a polyelectrolyte thereof such as sodium, potassium, and ammonium salts may be added. Use the following examples to further illustrate the principles of the -15-201234469 including the function of the slurry components. It is to be noted that the present invention is not limited to the following examples. Although these materials can be used in other steps as in this principle, 'Examples 1 - 5 can be used for Step 1 0 0. In Example 1, the effect of additional ammonium hydroxide on a colloidal cerium oxide granule having a primary particle diameter of 35 nm (which is commercially available, for example, from Fuso Chemical Co., Ltd., Japan) is provided as an illustrative example. Suitable for slurry. This colloidal oxidative honing agent is an example of a commercial oxidative honing agent that can be used to achieve characteristics as in this principle. With this slurry, the rate of selection of oxide to nitride can be achieved from 0 to 1. However, the polishing rate for both oxides and nitrides can be kept low. In Example 2, citric acid with cerium oxide granules provided a very high selectivity to oxides. The polishing rate of the oxide is in the range of 450 to 600 A/min, and the rate of the nitride is close to 〇. In Example 3, citric acid and ammonium hydroxide with cerium oxide granules provided an oxide to nitride rate selection ratio of 〇1. The polishing rate of the oxide is in the range of 150 to 500 A/min, and the rate of the nitride is varied from 0 to 250 A/min. In Example 4, the phosphoric acid with cerium oxide provides a ratio of oxide to nitride rate selection from 〇 to 1. In this case, the rate of the oxide varies from 400 to 65 A/min, and the rate of the nitride varies within a narrow range of 150 to 200 A/min. Here, the change in the selection ratio is achieved by allowing the oxide rate to change while maintaining the polishing rate of the nitride almost fixed or in a very narrow range. In Example 5, citric acid, ammonium hydroxide and phosphorus with a gasification honing agent
-16- S 201234469 酸提供氧化物對氮化物的速率選擇比爲〇至0.4。氧化物 的拋光速率幾乎很固定或在極窄範圍內( 450至470Α/分 鐘),而氮化物的速率則在0至2 50Α/分鐘間變化。在此 ’選擇比的變化係由容許氮化物速率變化而保持氧化物的 拋光速率幾乎固定或在極窄範圍內而達成。 實例6中’適用於步驟210(第一階段)拋光的漿料 例如包括: 1) 在0.5至30重量%範圍內的氧化矽硏磨劑,較佳範圍爲 5至1 0重量%, 2) 在0.5至50 g/L範圍內的有機酸,較佳範圍爲3至25 g/L, 3) 在0.01至5 g/L範圍內的酸性PH調節劑,較佳範圍爲 0 · 1 至 2·0 g/L, 4) 在0至5 g/L範圍內的鹼性PH調節劑,較佳範圍爲〇 至2 g/L ,及 5) 漿料pH在1至11範圍內,較佳範圍爲2至6。 實例7中’實例6的調合物之特別有用的實例包括: 1) 分散於水中之5重量%的膠體氧化矽硏磨劑, 2) 5 g/L的檸檬酸, 3) 0.25 至 0.35 g/L 的磷酸, 4) 0.1至0.5 g/L的氫氧化銨,及 5 )pH在2至5的範圍內’較佳的pH爲約4。 實例8中’實例6的調合物之另一特別有用的實例包 括:-16- S 201234469 Acid provides oxide to nitride rate selection ratio of 〇 to 0.4. The polishing rate of the oxide is almost fixed or in a very narrow range (450 to 470 Å/min), while the rate of nitride varies from 0 to 2 50 Å/min. The change in the 'selection ratio' is achieved by allowing the nitride rate to change while maintaining the polishing rate of the oxide almost fixed or in a very narrow range. The slurry suitable for the step 210 (first stage) polishing in Example 6 includes, for example: 1) a cerium oxide granule in the range of 0.5 to 30% by weight, preferably 5 to 10% by weight, 2) An organic acid in the range of 0.5 to 50 g/L, preferably in the range of 3 to 25 g/L, 3) an acidic pH adjusting agent in the range of 0.01 to 5 g/L, preferably in the range of 0 · 1 to 2 • 0 g/L, 4) an alkaline pH adjuster in the range of 0 to 5 g/L, preferably in the range of 〇 to 2 g/L, and 5) a pH of the slurry in the range of 1 to 11, preferably The range is 2 to 6. Particularly useful examples of the blend of Example 6 in Example 7 include: 1) 5 wt% colloidal cerium oxide granules dispersed in water, 2) 5 g/L citric acid, 3) 0.25 to 0.35 g/ The phosphoric acid of L, 4) 0.1 to 0.5 g/L of ammonium hydroxide, and 5) the pH in the range of 2 to 5, preferably a pH of about 4. Another particularly useful example of the blend of Example 6 in Example 8 includes:
S -17- 201234469 1) 分散於水中之1 〇重量%的膠體氧化矽硏磨劑, 2) 10 g/L的檸檬酸, 3) 1至2 g/L的磷酸, 4) 0.1至2.0 g/L的氫氧化銨,及 5) pH在2至5的範圍內。 參考圖2,在另一具體實例中,拋光桌404示意地如 —說明性具體實例所示。桌404包括旋轉墊402,在其上 將半導體晶圓410拋光。晶圓載體414通過背膜412以真 空抽吸夾持晶圓410。晶圓410係以待拋光的表面與拋光 墊402接觸的方式安裝。拋光漿料406(及/或408)可包 括一種成份或多重成份。成份的流量係使用示意描述的閥 4 1 6加以控制,其較佳爲自動化。 在一具體實例中,漿料包括二部份:第1部份-硏磨 劑漿料(例如氧化矽)、有機酸及酸性pH調節劑,第2 部份-鹼性p Η調節劑及酸性p Η調節劑。漿料可供應至拋 光桌404作爲二種成份406、408,且使其在拋光桌上混合 ’以產生具有想要的最終組成份之漿料。由使用相同或不 同的漿料流量,可在拋光時變化漿料組成份,以獲得氧化 物及氮化物在不同拋光階段下想要的拋光速率。在另一實 例中,起始時使用第1部份及第2部份,且在一定時間之 後’關閉第2部份,以產生與原來的調合物具有不同氧化 物對氮化物選擇比的漿料。在拋光進行時,保持一種成份 的流量固定及變化其他,可達成類似的效應。 在另一具體ΙΪ例中,漿料包括二部份:第1部份-硏 -18 -S -17- 201234469 1) 1% by weight of colloidal cerium oxide grinding agent dispersed in water, 2) 10 g/L citric acid, 3) 1 to 2 g/L phosphoric acid, 4) 0.1 to 2.0 g /L of ammonium hydroxide, and 5) pH in the range of 2 to 5. Referring to Figure 2, in another embodiment, polishing table 404 is shown schematically as an illustrative example. Table 404 includes a rotating pad 402 upon which semiconductor wafer 410 is polished. The wafer carrier 414 holds the wafer 410 by vacuum suction through the back film 412. The wafer 410 is mounted in such a manner that the surface to be polished is in contact with the polishing pad 402. Polishing paste 406 (and/or 408) may comprise one component or multiple components. The flow rate of the components is controlled using a schematically described valve 416, which is preferably automated. In one embodiment, the slurry comprises two parts: a first part - a honing agent slurry (such as cerium oxide), an organic acid and an acidic pH adjusting agent, a second part - an alkaline p Η adjusting agent and an acid p Η regulator. The slurry can be supplied to the polishing table 404 as two components 406, 408 and allowed to mix on a polishing table to produce a slurry having the desired final composition. By using the same or different slurry flow rates, the slurry composition can be varied during polishing to achieve the desired polishing rate of the oxide and nitride at different polishing stages. In another example, the first part and the second part are used initially, and after a certain time, the second part is turned off to produce a slurry having a different oxide to nitride selectivity than the original blend. material. A similar effect can be achieved by maintaining a constant flow rate of one component while changing the polishing process. In another specific example, the slurry comprises two parts: Part 1 - 硏 -18 -
S 201234469 磨劑漿料(例如氧化矽)、有機酸及酸性Ρ η調節劑;第 2部份-氧化矽硏磨劑漿料、鹼性ρΗ調節劑及酸性ρΗ調 節劑。漿料可供應至桌404作爲二種成份406、408,且使 其在拋光桌4 0 4上混合,以產生具有想要的最終組成份之 漿料。由使用相同或不同的漿料流量,可在拋光時變化漿 料組成份,以獲得氧化物及氮化物在不同拋光階段下想要 的拋光速率。起始時使用第1部份,且在一定時間之後, 關閉第1部份並開啓第2部份,以產生與原來的調合物具 有不同氧化物對氮化物選擇比的漿料。 在另一具體實例中,使用這些漿料作爲第一階段及第 二階段拋光之二種獨立的漿料。第二階段漿料應該具有高 的氧化物對氮化物的選擇比,而無明顯的氮化物拋光速率 。具有此能力的漿料組成份實例說明如下。 實例9中,第二階段(步驟220 )的漿料可包括: 1 )分散於水中之5重量%的膠體氧化矽硏磨劑, 2) 5 g/L的檸檬酸, 3) 0.25至0.35 g/L的磷酸,及 4) pH在2至3的範圍內。 實例10中’第二階段(步驟220 )的漿料之另—實例 包括: 1)分散於水中之10重量%的膠體氧化矽硏磨劑, 2μ 5 g/L的檸檬酸, 3) 0.25 至 0.35 g/L 的磷酸, 4) 0.5 g/L的氫氧化銨,及 -19- 201234469 5)pH在2至3的範圍內。 參考圖3,示意地顯示去除率對pH圖,以顯示pH對 具有氧化矽硏磨劑之氧化物及氮化物拋光速率的效應。在 pH範圍爲2至7時,氮化物的拋光速率爲約150至650A/ 分鐘。最高値達到pH約3.5。氧化物的速率徘徊在約10 至100A/分鐘範圍內,明顯很低。pH範圍在8至10時, 氧化物及氮化物速率皆維持很低。自pH 1 1至1 3時,氧 化物的速率開始增加而氮化物的速率則維持很低。以磷酸 將這些溶液的pH値調整在1至7的範圍內,且以KOH調 整在8至1 1的範圍內。 參考圖4,提供以二個或多個步驟將頂層平坦化及使 底下覆蓋區域暴露之對STI結構拋光的方法。在一特別適 用的具體實例中,頂層包括氧化物且下層包括氮化物。然 而,應瞭解的是本原則包括在氧化物上方的氮化物或其他 物質對,其可相對於另一者而選擇性地蝕刻。在方塊502 中,化學機械拋光去除任何覆蓋層並將氧化物層平坦化, 使留下300至600A的氧化物。此拋光係以含有氧化矽硏 磨劑的氧化物漿料或含有氧化鈽硏磨劑與界面活性劑的漿 料完成。在方塊5 04中,化學機械拋光有二個階段。在方 塊5 06中,第一階段包括將氧化物層去除並將下層氮化物 覆蓋的表面暴露,使氧化物對氮化物的選擇比約1: 1至2 :1,以完成高度平坦的形貌。在方塊508中,第二階段 包括將殘留在氮化物層上方的任何殘留氧化物去除,以確 保氮化物表面完全暴露。S 201234469 Grinding agent slurry (such as cerium oxide), organic acid and acid Ρ conditioner; Part 2 - cerium oxide grinding agent slurry, alkaline ρ Η adjusting agent and acidic Η Η adjusting agent. The slurry can be supplied to table 404 as two components 406, 408 and mixed on a polishing table 404 to produce a slurry having the desired final composition. By using the same or different slurry flow rates, the slurry composition can be varied during polishing to achieve the desired polishing rate of oxides and nitrides at different polishing stages. The first part is used initially, and after a certain period of time, the first part is turned off and the second part is turned on to produce a slurry having a different oxide to nitride selectivity than the original composition. In another embodiment, these slurries are used as the two separate slurries for the first stage and the second stage of polishing. The second stage slurry should have a high oxide to nitride selectivity ratio without significant nitride polishing rate. An example of a slurry composition having this ability is explained below. In Example 9, the slurry of the second stage (step 220) may comprise: 1) 5% by weight colloidal cerium oxide grinding agent dispersed in water, 2) 5 g/L citric acid, 3) 0.25 to 0.35 g /L of phosphoric acid, and 4) pH in the range of 2 to 3. Another example of the slurry of the second stage (step 220) in Example 10 includes: 1) 10% by weight of colloidal cerium oxide granules dispersed in water, 2μ 5 g/L of citric acid, 3) 0.25 to 0.35 g/L phosphoric acid, 4) 0.5 g/L ammonium hydroxide, and -19-201234469 5) pH in the range of 2 to 3. Referring to Figure 3, the removal rate versus pH plot is schematically shown to show the effect of pH on the oxide and nitride polishing rate of the cerium oxide etchant. At a pH ranging from 2 to 7, the polishing rate of the nitride is from about 150 to 650 A/min. The highest enthalpy reaches a pH of about 3.5. The rate of oxide enthalpy is in the range of about 10 to 100 A/min, which is significantly lower. At pH values between 8 and 10, the oxide and nitride rates are kept low. From pH 1 1 to 13, the rate of oxide begins to increase while the rate of nitride remains low. The pH of these solutions was adjusted to a range of 1 to 7 with phosphoric acid, and was adjusted to a range of 8 to 11 with KOH. Referring to Figure 4, a method of planarizing a top layer in two or more steps and exposing the underlying footprint to the STI structure is provided. In a particularly suitable embodiment, the top layer comprises an oxide and the lower layer comprises a nitride. However, it should be understood that the present principles include a nitride or other pair of materials over the oxide that can be selectively etched relative to the other. In block 502, chemical mechanical polishing removes any cover layer and planarizes the oxide layer leaving an oxide of 300 to 600 Å. This polishing is carried out with an oxide slurry containing a cerium oxide abrasive or a slurry containing a cerium oxide abrasive and a surfactant. In block 594, there are two stages in chemical mechanical polishing. In block 506, the first stage includes removing the oxide layer and exposing the underlying nitride-covered surface to a ratio of oxide to nitride of about 1:1 to 2:1 to achieve a highly planar morphology . In block 508, the second stage includes removing any residual oxide remaining above the nitride layer to ensure complete exposure of the nitride surface.
-20- S 201234469 第一階段(方塊506)的黎料可包括以〇 5至3〇重量 %分散於水性溶液中的氧化矽硏磨劑、〇.〇1至30 g/L範圍 內的有機酸、〇·〇 1至1 〇 g/L範圍內的酸性pH調節劑及〇 至15 g/L範圍內的鹼性pH調節劑。第一階段(方塊5〇6 )的漿料pH範圍可介於1至11。第一階段(方塊506) 的獎料較佳組成份可包括分散於水中之5重量%的膠體氧 化矽硏磨劑、具有二個或多個羧酸基團之〇.5至50 g/L的 有機酸、0.25至0.35 g/L的無機酸、0_丨至i.og/L的無機 鹼、pH在2至5的範圍內,較佳pH爲4。 第二階段(方塊5 08 )的漿料可包括分散於水性溶液 中自0.5至30重量%的膠體氧化砂硏磨劑、〇.〇1至3〇 g/L 範圍內的有機酸、0.01至10 g/L範圍內的酸性PH調節劑 。第二階段(方塊5 08)漿料的PH範圍較佳爲1至5。第 一階段(方塊508)的獎料較佳組成份包括分散於水中之 5至10重量%的膠體氧化砂硏磨劑、具有二個或多個殘酸 基團之5 g/L的有機酸、0.25至0.35 g/L的無機酸、PH 在2至3的範圍內。 在方塊510及512中,可將方塊506及508的漿料以 二或多部份導入,且變化以達成想要的結果。在一具體實 例中,可使用漿料作爲具以下組成份之二部份漿料:第一 部份·0·5至30%氧化矽硏磨劑漿料+0.5至50 g/L的有機 酸+ 0.01至5 g/L的酸性pH調節劑;及第二部份-0.01至5 g/L的鹼性pH調節劑+0.01至50 g/L的酸性pH調節劑。 漿料可供應至該桌作爲二種成份,並使其在拋光桌上混合 -21 - 201234469 ,以產生具想要的最終組成份之漿料。由使用相同或不同 的漿料流量,在拋光時可變化漿料的組成份,以獲得不同 拋光階段下對氧化物及氮化物想要的拋光速率。在另一具 體實例中,可使用漿料作爲具以下組成份之二部份漿料: 第一部份-0.5至30%氧化矽硏磨劑漿料+0.5至50 g/L的 有機酸+ 0.01至5 g/L的酸性pH調節劑,第二部份-0.5至 30%氧化砂硏磨劑獎料+0.01至5 g/L的鹼性pH調節劑 + 0.01至50 g/L的酸性pH調節劑。漿料可供應至該桌作 爲二種成份,並使其在拋光桌上混合,以產生具想要的最 終組成份之漿料。由使用相同或不同的漿料流量,在拋光 時可變化漿料的組成份,以獲得不同拋光階段下對氧化物 及氮化物想要的拋光速率。 而在另一具體實例中,漿料能對氧化物提供高的拋光 速率且對氮化物無明顯的拋光速率。該漿料的組成份可包 括分散於水中之0.5至7重量%的膠體氧化矽硏磨劑、具 有二個或多個羧酸基團之5 g/L的有機酸、0.25至0.35 g/L的無機酸、pH在2至3的範圍內。而該漿料的另一組 成份包括分散於水中之8至20重量%的膠體氧化矽硏磨劑 、具有二個或多個羧酸基團之15 g/L的有機酸、0.25至 0_ 35 g/L的無機酸、0.01至5 g/L的氫氧化銨、PH在2至 5的範圍內,較佳的pH爲約4。在進一步之另一具體實例 中,漿料能對氮化物提供高的拋光速率且對氧化物無明顯 的拋光速率。該漿料的組成份包括5至1 0重量%的膠體氧 化矽硏磨劑、0.1至10 g/L的無機酸、pH在2至6的範圍-20- S 201234469 The first stage (block 506) may include cerium oxide granules dispersed in an aqueous solution at a concentration of 〇5 to 3% by weight, 有机.〇1 to 30 g/L of organic An acidic pH adjuster in the range of 1 to 1 〇g/L of acid, 〇·〇, and an alkaline pH adjuster in the range of 15 g/L. The slurry pH of the first stage (block 5〇6) can range from 1 to 11. The preferred component of the first stage (block 506) may comprise 5% by weight of colloidal cerium oxide granules dispersed in water, 〇5 to 50 g/L having two or more carboxylic acid groups. The organic acid, 0.25 to 0.35 g/L of the inorganic acid, 0_丨 to i.og/L of the inorganic base, has a pH in the range of 2 to 5, preferably pH 4. The slurry of the second stage (block 5 08) may comprise from 0.5 to 30% by weight of colloidal oxidized sand honing agent, 有机. 〇1 to 3 〇g/L of organic acid dispersed in an aqueous solution, 0.01 to Acidic pH regulator in the range of 10 g/L. The pH of the slurry in the second stage (block 508) is preferably from 1 to 5. The preferred component of the first stage (block 508) comprises 5 to 10% by weight of colloidal oxidized sand honing agent dispersed in water, and 5 g/L of organic acid having two or more residual acid groups. , 0.25 to 0.35 g / L of inorganic acid, PH in the range of 2 to 3. In blocks 510 and 512, the slurry of blocks 506 and 508 can be introduced in two or more portions and varied to achieve the desired result. In one embodiment, the slurry can be used as a two-part slurry having the following composition: Part 1 · 0·5 to 30% cerium oxide slurry + 0.5 to 50 g/L of organic acid + 0.01 to 5 g/L of an acidic pH adjuster; and a second part - 0.01 to 5 g/L of an alkaline pH adjuster + 0.01 to 50 g/L of an acidic pH adjuster. The slurry can be supplied to the table as two ingredients and allowed to mix -21 - 201234469 on a polishing table to produce a slurry with the desired final composition. By using the same or different slurry flow rates, the composition of the slurry can be varied during polishing to achieve the desired polishing rate for oxides and nitrides at different polishing stages. In another embodiment, the slurry can be used as a two-part slurry having the following composition: Part 1 - 0.5 to 30% cerium oxide slurry + 0.5 to 50 g/L organic acid + 0.01 to 5 g/L acidic pH adjuster, second part - 0.5 to 30% oxidized sand honing agent prize + 0.01 to 5 g/L alkaline pH adjuster + 0.01 to 50 g/L acidity pH regulator. The slurry can be supplied to the table as two components and mixed on a polishing table to produce a slurry having the desired final composition. By using the same or different slurry flow rates, the composition of the slurry can be varied during polishing to achieve the desired polishing rate for oxides and nitrides at different polishing stages. In yet another embodiment, the slurry provides a high polishing rate for the oxide and no significant polishing rate for the nitride. The composition of the slurry may include 0.5 to 7% by weight of a colloidal cerium oxide grinding agent dispersed in water, 5 g/L of an organic acid having two or more carboxylic acid groups, and 0.25 to 0.35 g/L. The inorganic acid has a pH in the range of 2 to 3. The other component of the slurry comprises 8 to 20% by weight of colloidal cerium oxide grinding agent dispersed in water, 15 g/L of organic acid having two or more carboxylic acid groups, 0.25 to 0-35 The g/L inorganic acid, 0.01 to 5 g/L ammonium hydroxide, has a pH in the range of 2 to 5, preferably a pH of about 4. In yet another embodiment, the slurry provides a high polishing rate for the nitride and no significant polishing rate for the oxide. The composition of the slurry includes 5 to 10% by weight of a colloidal cerium oxide granule, 0.1 to 10 g/L of a mineral acid, and a pH in the range of 2 to 6.
-22- S 201234469 內。 在方塊5 1 2中,可進行清潔蝕刻,以去除殘留的物質 。例如,可進行HF或熱磷酸的濕式蝕刻。在方塊514中 ,可如記錄的計畫繼續處理半導體晶圓或模。 已描述淺溝渠隔離之化學機械平坦化(CMP)系統與方 法之較佳具體實例(其用以說明但非限制),應注意的是 ,熟悉本技藝者依照上述的教導可進行修改及變化。所以 ,應瞭解在揭示的特殊具體實例中所作的改變係在隨附申 請專利範圍所界定之本發明的範圍內。因此,如本發明已 述的觀點含有細節及專利法律所要求的特殊性,受Letters Patent所保護之主張及希望者列舉於隨附的申請專利範圍 中。 【圖式簡單說明】 由以下較佳具體實例的描述並參考以下圖形,提供本 揭示的細節,其中: 圖1爲顯示半導體裝置及方法步驟的橫剖面之示意圖 ’以說明如本原則的二步驟淺溝渠隔離(STI)之化學機械平 坦化(CMP)方法; 圖2爲顯示如一具體實例使用具可變流量之二部份漿 料的二部份漿料系統圖; 圖3爲顯示以磷酸及KOH調整pH對氧化物及氮化物 拋光速率上的pH效應圖;及 圖4爲顯示如本原則之說明性方法的流程圖。 -23- 201234469 【主要元件符號說明】 1 〇 :半導體基板 12 :溝渠 1 4 :氧化物墊 1 6 :氮化物墊 1 8 :氧化物層 1 9 :氧化物覆蓋層 20:平坦的氧化物層 402 :旋轉墊 404 :拋光桌 406 :漿料 408 :漿料 4 1 0 ·晶圓 4 1 2 :背膜 4 1 4 :晶圓載體 4 1 6 :閥 -24--22- S 201234469. In block 51, a cleaning etch can be performed to remove residual material. For example, wet etching of HF or hot phosphoric acid can be performed. In block 514, the semiconductor wafer or mold can continue to be processed as recorded. Having described the preferred embodiments of the chemical mechanical planarization (CMP) system and method for shallow trench isolation, which are intended to be illustrative, but not limiting, it is noted that modifications and variations can be made by those skilled in the art in light of the above teaching. Therefore, it is to be understood that the modifications made in the particular embodiments disclosed are within the scope of the invention as defined by the appended claims. Therefore, the claims as set forth in the Detailed Description of the Invention and the specificity required by the Patent Law, the claims and the claims of which are protected by the Letters Patent are listed in the accompanying claims. BRIEF DESCRIPTION OF THE DRAWINGS The details of the disclosure are provided by the following description of the preferred embodiments of the invention, in which: FIG. 1 is a schematic diagram showing a cross section of a semiconductor device and method steps to illustrate two steps as in the present principles. a shallow trench isolation (STI) chemical mechanical planarization (CMP) method; FIG. 2 is a two-part slurry system diagram showing a two-part slurry with variable flow rate as shown in a specific example; KOH adjusts the pH effect on the oxide and nitride polishing rates; and Figure 4 is a flow chart showing an illustrative method as in this principle. -23- 201234469 [Explanation of main component symbols] 1 〇: semiconductor substrate 12: trench 1 4 : oxide pad 1 6 : nitride pad 1 8 : oxide layer 1 9 : oxide cap layer 20: flat oxide layer 402: Rotating pad 404: Polishing table 406: Slurry 408: Slurry 4 1 0 · Wafer 4 1 2: Back film 4 1 4 : Wafer carrier 4 1 6 : Valve-24-
SS
Claims (1)
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US13/012,142 US8497210B2 (en) | 2010-10-04 | 2011-01-24 | Shallow trench isolation chemical mechanical planarization |
PCT/US2011/053230 WO2012102765A1 (en) | 2011-01-24 | 2011-09-26 | Shallow trench isolation chemical mechanical planarization |
Publications (1)
Publication Number | Publication Date |
---|---|
TW201234469A true TW201234469A (en) | 2012-08-16 |
Family
ID=46582432
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW100146572A TW201234469A (en) | 2011-01-24 | 2011-12-15 | Shallow trench isolation chemical mechanical planarization |
Country Status (2)
Country | Link |
---|---|
TW (1) | TW201234469A (en) |
WO (1) | WO2012102765A1 (en) |
Family Cites Families (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR20030013146A (en) * | 2001-08-07 | 2003-02-14 | 에이스하이텍 주식회사 | Method for silicon wafer polishing fluid composition |
KR20080101454A (en) * | 2007-05-18 | 2008-11-21 | 주식회사 하이닉스반도체 | Method for isolation of semiconductor device |
KR20090026984A (en) * | 2007-09-11 | 2009-03-16 | 테크노세미켐 주식회사 | A slurry composition for chemical mechanical polishing of insulation layer |
KR20090038141A (en) * | 2007-10-15 | 2009-04-20 | 주식회사 하이닉스반도체 | Method of fabricating trench isolation in semicondtor device |
-
2011
- 2011-09-26 WO PCT/US2011/053230 patent/WO2012102765A1/en active Application Filing
- 2011-12-15 TW TW100146572A patent/TW201234469A/en unknown
Also Published As
Publication number | Publication date |
---|---|
WO2012102765A1 (en) | 2012-08-02 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US8497210B2 (en) | Shallow trench isolation chemical mechanical planarization | |
US6914001B2 (en) | Chemical/mechanical polishing slurry, and chemical mechanical polishing process and shallow trench isolation process employing the same | |
US7196010B2 (en) | Slurry for chemical mechanical polishing process and method of manufacturing semiconductor device using the same | |
JP4537010B2 (en) | Chemical mechanical polishing slurry and chemical mechanical polishing method using the same | |
US20120196443A1 (en) | Chemical mechanical polishing method | |
JP4768335B2 (en) | Chemical mechanical polishing method of organic film, semiconductor device manufacturing method, and program | |
JP2004349426A (en) | Chemical mechanical polishing method for sti | |
JP2013074036A (en) | Slurry for cmp and method for manufacturing semiconductor device | |
KR100839355B1 (en) | Method of recycling a substrate | |
JP2004363191A (en) | Chemical mechanical polishing slurry for organic film, method of chemically/mechanically polishing organic film, and method of manufacturing semiconductor device | |
JPH10321570A (en) | Abrasive for polishing semiconductor wafer, its manufacture, and polishing method | |
KR20060123878A (en) | Cerium oxide chemical mechanical polishing slurry composition that enhanced polishing non-uniformity | |
TW201234469A (en) | Shallow trench isolation chemical mechanical planarization | |
US20070269908A1 (en) | Method for in-line controlling hybrid chemical mechanical polishing process | |
JP2004228519A (en) | Semiconductor device, and its manufacturing method | |
JP2009266882A (en) | Abrasive powder, polishing method of base using same, and manufacturing method of electronic component | |
TWI532090B (en) | Fabrication of replacement metal gate devices | |
TWI529791B (en) | Chemical mechanical planarization processes for fabrication of finfet devices | |
KR20090038141A (en) | Method of fabricating trench isolation in semicondtor device | |
KR20080101454A (en) | Method for isolation of semiconductor device | |
Ong et al. | Influence of STI Trench Fill and Dummy Design on CMP Behavior | |
Merricks et al. | AN INVESTIGATION OF CERIA-BASED SLURRIES EXHIBITING REVERSE-PRESTONIAN BEHAVIOUR | |
JP2004134751A (en) | Abrasive and polishing method for substrate | |
JP2004006965A (en) | Method of polishing substrate | |
JP2001057350A (en) | Abrasive material and method of polishing substrate |