JP2004363191A - Chemical mechanical polishing slurry for organic film, method of chemically/mechanically polishing organic film, and method of manufacturing semiconductor device - Google Patents

Chemical mechanical polishing slurry for organic film, method of chemically/mechanically polishing organic film, and method of manufacturing semiconductor device Download PDF

Info

Publication number
JP2004363191A
JP2004363191A JP2003157154A JP2003157154A JP2004363191A JP 2004363191 A JP2004363191 A JP 2004363191A JP 2003157154 A JP2003157154 A JP 2003157154A JP 2003157154 A JP2003157154 A JP 2003157154A JP 2004363191 A JP2004363191 A JP 2004363191A
Authority
JP
Japan
Prior art keywords
film
resist film
forming
resist
polishing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2003157154A
Other languages
Japanese (ja)
Other versions
JP4202826B2 (en
Inventor
Yukiteru Matsui
之輝 松井
Fukugaku Minami
学 南幅
Yoshikuni Tateyama
佳邦 竪山
Hiroyuki Yano
博之 矢野
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Priority to JP2003157154A priority Critical patent/JP4202826B2/en
Priority to TW093114973A priority patent/TWI241626B/en
Priority to US10/855,529 priority patent/US7452819B2/en
Priority to CNB2004100461803A priority patent/CN100346451C/en
Publication of JP2004363191A publication Critical patent/JP2004363191A/en
Priority to US12/289,326 priority patent/US8685857B2/en
Application granted granted Critical
Publication of JP4202826B2 publication Critical patent/JP4202826B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Landscapes

  • Finish Polishing, Edge Sharpening, And Grinding By Specific Grinding Devices (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)

Abstract

<P>PROBLEM TO BE SOLVED: To provide a method of polishing an organic film, such as a resist film or the like, stably in a short time, restraining a dishing phenomenon from occurring in the film so as to keep its surface superior. <P>SOLUTION: The method is to chemically and mechanically polish the organic film deposited on a semiconductor substrate. The method is characterised by being provided with the processes of making the semiconductor substrate (32) with the organic film deposited thereon bear against a polishing cloth (31) which is pasted on a turntable (30) and has a compressive modulus of 100 to 600 MPA, while the semiconductor substrate (32) with the organic film is rotated at a relative speed of 0.17 to 1.06 m/sec to the polishing cloth (31); and feeding a polishing slurry (37) which has functional groups selected out of anion, cation, amphoteric, and non-ion functional groups, contains resin particles which are 0.05 to 5 μm in primary particle diameter, and has a pH of 2 to 8, onto the polishing cloth (31) to polish the organic film. <P>COPYRIGHT: (C)2005,JPO&NCIPI

Description

【0001】
【発明の属する技術分野】
本発明は、化学的機械的研磨スラリー、これを用いた研磨方法および半導体装置の製造方法に係り、特に、レジスト膜等の有機膜用の化学的機械的研磨スラリー、これを用いた研磨方法および半導体装置の製造方法に関する。
【0002】
【従来の技術】
半導体装置の製造プロセスにおいては、所望の構造を形成するためにフォトレジスト膜が犠牲膜として用いられる。例えば、半導体基板にトレンチ、あるいは絶縁膜にホールを形成した後、フォトレジストを塗布して犠牲膜を形成する。犠牲膜をリセスあるいは剥離することによって、所望の構造が得られる。こうした方法は、例えば、トレンチキャパシタを有する半導体記憶装置の製造において、ストレージノード電極とセルトランジスタ拡散層とを電気的に接続する埋め込みストラップを形成するために用いられる。また、Cuデュアルダマシン構造(Cu DD構造)の形成プロセスにおいて、ヴィアホール先形成(Via first DD)プロセスにも有用である。
【0003】
いずれの場合も、フォトレジスト膜の膜厚は、ウエハー全体にわたって均一であることが要求される。しかしながら、パターン密度が高いトレンチあるいはホールにレジストが埋め込まれる場合には、密パターン上のレジスト体積が減少する。このため、密パターン上のレジスト膜厚と、疎なパターン上あるいはフィールド上のレジスト膜厚との間には、数100nmオーダーのバラツキが生じてしまう。
【0004】
レジストの膜厚バラツキは、その後に施されるリセスにおいてさらに拡大されて、デバイス形状を悪化させる。さらに、焦点深度の低下や歩留まり悪化を引き起こす。
【0005】
こうしたレジスト膜厚のバラツキに起因した問題を解消すべく、レジスト塗布後に化学的機械的研磨法によりフォトレジストを平坦化する方法が提案されている(例えば、特許文献1参照)。しかしながら、フォトレジスト膜に対するCMPには、これまでに次のような問題があった。
【0006】
従来のレジストCMPでは、レジスト研磨時間が長く、研磨時間の安定性が低い。この問題は、特に3μm程度の厚膜レジストをCMPする際に顕在化し、具体的には、研磨時間は200乃至270秒と長く安定性も乏しい。
【0007】
また、ディッシングの抑制が困難で、しかもウエハー面内の均一性が乏しい。ディッシングの面内均一性が低い場合には、その後のリセス深さのバラツキを招いて、ウエハー面内で均一に所望の形状を得ることが困難となる。例えば、埋め込みストラップを形成する際には、リセス深さのバラツキはカラー酸化膜のバラツキに直結し、結果として埋め込みストラップの抵抗バラツキを招く。
【0008】
高温でベークして硬度が高められたレジスト膜を研磨するに当たっては、実用的なプロセスマージンと生産性とを確保するために、シリカやアルミナのような無機粒子が研磨粒子として用いられる。この場合、トレンチ内に無機粒子が残留しやすく、表面状態を悪化させるとともに、残留粒子がCMP後のリセス工程時のマスクになってしまうという問題がある。
【0009】
なお、研磨粒子として樹脂粒子を用いる方法が提案されている(例えば、特許文献2参照)。これにおいては、研磨対象は、純Al、AlSiCu合金、AlCu合金等のAlを主成分とする合金から成る膜、シリコン酸化膜、シリコン窒化膜、アモルファスシリコン膜、多結晶シリコン膜、および単結晶シリコン膜等である。したがって、金属膜やシリコン膜といった無機膜を研磨するのに最適となるように、スラリーが調製される。
【0010】
【特許文献1】
特開2001−77064号公報
【0011】
【特許文献2】
特許第3172008号
【0012】
【発明が解決しようとする課題】
本発明は、ディッシングを抑制して良好な表面を維持しつつ、短時間で安定してレジスト膜等の有機膜を化学的機械的に研磨可能なスラリーを提供することを目的とする。
【0013】
また本発明は、ディッシングを抑制して良好な表面を維持しつつ、短時間で安定してレジスト膜等の有機膜を研磨する方法を提供することを目的とする。
【0014】
さらに本発明は、平坦性および均一性が高いレジスト埋め込み構造を短時間で安定に形成することが可能な、半導体装置の製造方法を提供することを目的とする。
【0015】
【課題を解決するための手段】
本発明の一態様にかかる有機膜用化学的機械的研磨スラリーは、アニオン系、カチオン系、両性系、および非イオン系官能基から選択される官能基を有するとともに、一次粒子径が0.05μm以上5μm以下の樹脂粒子を含有し、pHが2以上8以下であることを特徴とする。
【0016】
本発明の一態様にかかる有機膜の化学的機械的研磨方法は、半導体基板上に堆積された有機膜を化学的機械的に研磨する方法であって、
ターンテーブル上に貼付された100乃至600MPaの圧縮弾性率を有する研磨布上に、前記有機膜を有する半導体基板を前記研磨布に対して0.17乃至1.06m/secの相対速度で回転させつつ当接させる工程、および
前記研磨布上に、前述の有機膜用化学的機械的研磨スラリーを供給して、前記有機膜を研磨する工程を具備することを特徴とする。
【0017】
本発明の一態様にかかる半導体装置の製造方法は、下地としての半導体基板または半導体基板上に堆積された絶縁膜に凹部を形成する工程、
前記凹部が形成された下地の全面にレジスト膜を形成する工程、
前記レジスト膜を前述の方法により化学的機械的に研磨して、前記凹部内に選択的に前記レジスト膜を埋め込む工程、および、
前記凹部内に埋め込まれたレジスト膜を所定の深さまでリセスする工程を具備することを特徴とする。
【0018】
本発明の他の態様にかかる半導体装置の製造方法は、半導体基板上に堆積された絶縁膜にホールを形成する工程、
前記絶縁膜の全面に第1のレジスト膜を形成する工程、
前記第1のレジスト膜を前述の方法により化学的機械的に研磨して、前記ホール内に前記第1のレジスト膜を選択的に埋め込む工程、
前記化学的機械的研磨後の前記第1のレジスト膜上に第2のレジスト膜を形成する工程、
前記第2のレジスト膜上に中間層を形成する工程、
前記中間層の上に第3のレジスト膜を形成する工程、および
前記第3のレジスト膜をパターン露光する工程を具備することを特徴とする。
【0019】
【発明の実施の形態】
以下、図面を参照して、本発明の実施形態を説明する。
【0020】
本発明の実施形態にかかる有機膜用化学的機械的研磨スラリーに含有される樹脂粒子としては、PMMA(ポリメチルメタクリレート)等のメタクリル樹脂、PST(ポリスチレン)樹脂、ユリア樹脂、メラミン樹脂、ポリアセタール樹脂、およびポリカーボネイト樹脂からなる群から選択される粒子を用いることができる。特に、CMPに適した硬度・弾性を有することから、PMMAあるいはPST樹脂が好ましい。
【0021】
樹脂粒子の一次粒子径が0.05μm未満の場合には、有機膜が埋め込まれる凹部、すなわち半導体基板に設けられたトレンチあるいは絶縁膜に設けられたホール内に粒子が侵入しやすくなって、ディッシングが拡大する傾向にある。一方、5μmを越えると粒子の分散性を制御するのが困難になり、スラリーが沈降しやすくなる。したがって、本発明の実施形態においては、樹脂粒子の一次粒子径は0.05μm以上5μm以下に制限される。なお、樹脂粒子の一次粒子径は、好ましくは0.1μm以上3.0μm以下である。
【0022】
こうした樹脂粒子の表面には、アニオン系、カチオン系、両性系、および非イオン系官能基から選択される少なくとも1種の官能基が導入される。アニオン系官能基としては、例えば、カルボン酸型、スルホン酸型、硫酸エステル型、リン酸エステル型等が挙げられ、カチオン系官能基としては、例えば、アミン塩型、第4級アンモニウム塩型等が挙げられる。両性系官能基としては、例えば、アルカノールアミド型、カルボキシベタイン型、およびグリシン型等が挙げられ、非イオン系官能基としては、例えば、エーテル型、エステル型等が挙げられる。粒子の製造が容易であることから、カルボキシル基が特に好ましい。
【0023】
樹脂粒子を安定して分散させるためには、ζ電位の絶対値が所定値以上であることが好ましい。具体的には、ζ電位の絶対値は20mV程度以上であることが望まれる。官能基の割合を0.05mol/L程度以上とすることによって、これを達成することができる。場合によっては、2種以上の官能基が同時に存在していてもよい。官能基が樹脂粒子の表面に存在することによって、界面活性剤を添加することなく、樹脂粒子同士の電気的反発力により分散性を高めることができる。
【0024】
例えば、官能基としてカルボキシル基(COOH)を表面に有する樹脂粒子の場合、カルボキシル基はスラリー中でCOOH→COO+ Hと解離して、樹脂粒子の表面がマイナスに帯電する。このため、電気的反発力により粒子同士の凝集を防ぎ、分散性を高めて寿命を長くすることが可能である。
【0025】
カルボキシル基(COOH)を表面に有するPMMA粒子は、例えば、次のような手法により合成することができる。まず、メチルメタクリレート、メタクリル酸、ジビニルベンゼン、ラウリル硫酸アンモニウムおよび過硫酸アンモニウムを、十分な量のイオン交換水とともにフラスコ中に収容する。これを、窒素ガス雰囲気下、攪拌しつつ70〜80℃に昇温して、6〜8時間重合させる。こうして、表面にカルボキシル基を有するとともに一次粒子径0.15〜0.25μm程度のPMMA粒子が得られる。反応温度や時間、その他の製造条件を変更することによって、0.05〜5μmの範囲内で樹脂粒子の一次粒子径を制御することができる。
【0026】
上述したような官能基を表面に有する樹脂粒子を水中に分散させることによって、本発明の実施形態にかかる有機膜用化学的機械的研磨スラリーが得られる。水としては、イオン交換水、純水等を用いることができる。樹脂粒子は、スラリー中0.01〜30wt%程度の濃度となるよう分散させることが好ましい。0.01wt%未満の場合には、十分に高い速度で有機膜を研磨することが困難となる。一方、30wt%を越えると、有機膜が埋め込まれるSiN、SiO等といった絶縁膜との選択比が取れなくなるおそれがある。
【0027】
スラリー中には、酸化剤、有機酸あるいは界面活性剤といった添加剤を、通常用いられている量で必要に応じて配合してもよい。
【0028】
ただし、本発明の実施形態にかかるスラリーは、pHが2以上8以下に規定される。pHが2未満の場合には、COOH等の官能基が解離しづらく分散性が悪化する。一方、pHが8を越えると、レジスト膜等の有機膜への化学的ダメージが大きくなってディッシングが増大する。
【0029】
pH調整剤を適宜配合することによって、上述した範囲のpHに調整することができる。pH調整剤としては、例えば、硝酸、リン酸、塩酸、硫酸、クエン酸等を用いることができる。
【0030】
本発明の実施形態にかかる有機膜用化学的機械的研磨スラリーは、所定の粒径の樹脂粒子を含有しているので、有機膜の化学的機械的研磨に好適に用いることができる。特に、樹脂粒子の表面には官能基が存在していることから、界面活性剤を別途添加することなく粒子同士の電気的反発力によって分散性を高めることができる。樹脂粒子の分散性の確保は、研磨特性上、さらには保存安定性の観点から非常に重要な要因である。粒子が良好に分散されていない場合には、粗大粒子が形成されてスクラッチの原因となるおそれがある。あるいは、スラリーがハードケーキ化して、保存安定性が悪化してしまう。樹脂粒子の表面に官能基が存在しているので、本発明の実施形態にかかるスラリーでは、こうした不都合が生じることはない。
【0031】
しかも、本発明の実施形態にかかるスラリーのpHは所定の範囲内に規定されているので、研磨対象である有機膜に何等化学的ダメージを与えることなく、樹脂粒子表面の官能基を十分に解離させることができる。
【0032】
本発明の実施形態にかかるスラリーを用いた有機膜の研磨は、例えば次のように行なうことができる。図1に示すように、研磨布31が貼付されたターンテーブル30を10〜50rpmで回転させつつ、半導体基板32を保持したトップリング33を200〜600gf/cmの研磨荷重で当接させる。トップリング33の回転数は5〜60rpmとすることができる。ただし、研磨布31の回転速度に対する半導体基板32の相対速度は、0.17乃至1.06m/secの範囲内となるように、ターンテーブル30およびトップリング33の回転数を決定することが好ましく、これについては後述する。研磨布31上には、スラリー供給ノズル35から100〜300cc/minの流量でスラリー37を供給する。なお、図1には、水供給ノズル34およびドレッサー36も併せて示してある。
【0033】
研磨布31としては、100ないし600MPaの範囲内の圧縮弾性率を有する硬質研磨パッドが用いられる。圧縮弾性率が100MPa未満の場合には、研磨対象のレジスト膜よりも硬度が小さいことから、機械的に除去することができない。一方、600MPaを越えると、研磨後の有機膜の表面にスクラッチを生じやすくなる。したがって、例えば、圧縮弾性率が290MPa程度のIC1000(ロデール・ニッタ社製)を用いることが好ましい。IC1000は、圧縮弾性率が6MPa以下のSuba400(ロデール・ニッタ社製)に支持されていてもよい。しかしながら、Suba400あるいはPolitexのような圧縮弾性率が6MPa以下の軟質パッドを単独で用いた場合には、本発明の実施形態にかかるスラリーを用いて120secの研磨を行なったところでレジスト膜を除去することはできない。
【0034】
本発明の実施形態にかかるスラリーは、こうした条件で研磨に用いることによって効果を充分に発揮し、ディッシング等の表面形状の劣化を何等引き起こすことなく、短時間で安定してレジスト膜等の有機膜を研磨することができる。
【0035】
本発明の実施形態にかかる有機膜用化学的機械的研磨スラリーを、次のような手法により調製した。
【0036】
(スラリー1)
まず、スチレン92重量部、メタクリル酸4重量部、ヒドロキシエチルアクリレート4重量部、ラウリル硫酸アンモニウム0.1重量部、過硫酸アンモニウム0.5重量部、およびイオン交換水400重量部を、容量2リットルのフラスコに収容した。窒素ガス雰囲気下で攪拌しながら70℃に昇温し、6時間重合させた。これによって、カルボキシル基を有し、一次粒子径0.2μmのPST粒子が得られた。
【0037】
このPST粒子を1wt%の濃度で純水に分散させ、硝酸を添加してpHを3に調整してスラリー1を得た。
【0038】
(スラリー2)
まず、メチルメタクリレ−ト94重量部、メタクリル酸1重量部、ヒドロキシメチルメタクリレート5重量部、ラウリル硫酸アンモニウム0.03重量部、過硫酸アンモニウム0.6重量部、およびイオン交換水400重量部を、容量2リットルのフラスコに収容した。窒素ガス雰囲気下で攪拌しながら70℃に昇温し、6時間重合させた。これによって、カルボキシル基を有し、一次粒子径0.3μmのPMMA粒子が得られた。
【0039】
このPMMA粒子を1wt%の濃度で純水に分散させ、リン酸を添加してpHを3に調整してスラリー2を得た。
【0040】
いずれのスラリーも、表面に官能基を有する一次粒子径0.05〜5μmの樹脂粒子を含有し、しかも、pHは2〜8の間に調整されている。このため、研磨後の表面には何等形状の劣化を引き起こすことなく、レジスト膜等の有機膜を短時間で研磨することが可能である。
【0041】
(実施形態1)
本実施形態においては、ストレージノード電極とセルトランジスタ拡散層とを電気的に接続する埋め込みストラップを形成するプロセスについて説明する。
【0042】
図2乃至4は、埋め込みストラップの形成方法を表わす。
【0043】
まず、図2(a)に示すように、Pad酸化膜12およびPad窒化膜13が順次堆積された半導体基板11に対し、フォトリソグラフィ法およびドライエッチング法によりトレンチ14を形成する。トレンチ周面の下部には、n型の不純物を拡散させることによって埋め込みプレート電極15を形成する。
【0044】
こうして形成された埋め込みプレート電極15の内周にキャパシタ誘電膜16を堆積し、さらに、このキャパシタ誘電膜上にストレージノード電極となるAs−dopedポリシリコン膜(以下、ストレージノードと称す)17を堆積する。このストレージノード17によってトレンチ14内が埋め込まれる。次に、ストレージノード17を所望の深さまでエッチバックし、HPO等の溶液を用いてトレンチ14側壁のキャパシタ誘電膜16をエッチングにより除去して、図2(b)に示す構造を得る。その後、半導体基板上に熱酸化膜(図示せず)を形成する。
【0045】
さらに、図2(c)に示すように、ストレージノード17が埋め込まれていないトレンチ14の上部内壁にカラー酸化膜18を堆積する。このカラー酸化膜18は、埋め込みプレート電極15とセルトランジスタの拡散層(図示せず)とを電気的に絶縁する機能を有する。その後、後述するポリシリコン膜とストレージノード17とのコンタクトをとるために、ドライエッチング法を用いて、ストレージノード上のカラー酸化膜18を除去する。
【0046】
次いで、図3(d)に示すように全面にレジスト膜19を形成した後、レジスト膜にCMPを施し、図3(e)に示すように平坦化してPad窒化膜13の表面を露出する。レジスト膜19の研磨には、本発明の実施形態にかかる方法が適用される。
【0047】
具体的には、研磨布としてIC1000(ロデール・ニッタ社製)を用い、本発明の実施形態にかかるスラリーにより以下のように行なった。図1に示したように、研磨布31が貼付されたターンテーブル30を30rpmで回転させつつ、半導体基板32を保持したトップリング33を500gf/cmの研磨荷重で当接させた。トップリング33の回転数は32rpmとし、研磨布31上には、スラリー供給ノズル35から150cc/minの流量でスラリー37を供給した。スラリーとしては、前述のスラリー1を用いた。基板に設けられたトレンチ径は0.14μmであるので、本実施形態においては、スラリー中に含有される樹脂粒子の一次粒子径は、トレンチ径の140%程度となる。
【0048】
さらに、図3(f)に示すように、セルトランジスタ拡散層(図示せず)とのコンタクトをとるために必要な深さまで、CDE(Chemical Dry Etching)法によりレジスト膜19がリセスされる。
【0049】
ウエットエッチング法により、図4(g)に示すようにカラー酸化膜18の一部を除去して、トレンチ14内に半導体基板11の一部を露出する。カラー酸化膜18の表面は、図示するようにレジスト膜19の表面よりも下方に存在し、埋め込みストラップの開口部20が形成される。
【0050】
図4(h)に示すようにレジスト膜19を除去した後、図4(i)に示すように、セルトランジスタの拡散層(図示せず)とストレージノード17とのコンタクトをとるためのポリシリコン膜21を堆積する。このポリシリコン膜21により埋め込みストラップの開口部20が埋め込まれる。これにより、埋め込みストラップが形成される。
【0051】
本実施形態では、レジスト膜19を予め平坦化した後、リセスが行なわれるので、均一な深さでレジスト膜をリセスすることができる。したがって、エッチバック後のカラー酸化膜18の膜厚にバラツキを生じさせることはない。
【0052】
カラー酸化膜の膜厚バラツキは、埋め込みストラップにおける抵抗値バラツキを招き、歩留まり低下の原因となる。このため、バラツキは30nm以下に抑制することが必要とされる。
【0053】
従来は、レジスト膜を平坦化することなくリセスして、カラー酸化膜のエッチバックが行なわれていた。レジストがトレンチに埋め込まれることにより、セル部アレイ端においてレジスト塗布膜厚に変化を生じて、レジスト塗布膜厚は、フィールド部、セル部アレイ端、セル部中央の順に薄くなる。例えば、開口径0.14μm、深さ1.2μmのトレンチにレジストを埋め込んだ場合、フィールド部とセル部中央とにおけるレジストの膜厚には、120nm程度の差が生じる。その結果、レジストリセス深さがばらつくため、カラー酸化膜エッチバック後の膜厚にバラツキが生じる。具体的には、カラー酸化膜の膜厚には、130nm程度のバラツキが生じて、プロセスの破綻を招いていた。
【0054】
本発明の実施形態においては、リセス前のレジスト膜19をCMPにより平坦化して均一な膜厚とするため、カラー酸化膜18の膜厚のバラつきを30nm以下に低減することが可能となった。
【0055】
ここで、本発明の実施形態に係るレジストCMPについて詳細に説明する。
【0056】
レジストCMPの研磨は、CuあるいはWといったメタルCMPとは異なるメカニズムで進行する。メタルCMPは、通常、研磨対象である金属膜の表面に脆弱な保護膜を形成し、これを無機粒子からなる研磨粒子で除去することによって行なわれる。これに対して、レジストCMPは、研磨パッドあるいは研磨粒子の機械的研磨力によりレジスト膜を剥ぎ取ることによって、主としてレジスト膜の除去が進行する。このような機械的要素が極めて強いCMPにおいては、ウエハーと研磨パッドとの間の摩擦を高く維持することが、高い研磨速度を得るために極めて重要である。
【0057】
通常のCMP、例えばSiO−CMPでは、パッド表面および被研磨面はいずれも親水性である。このため、研磨中におけるこれらの間の摩擦係数は、研磨パッドの回転数あるいはウエハーの相対速度に関わらず、いわゆるStribeck線図の境界潤滑領域にある。なお、ウエハーの回転速度ωと研磨パッドの回転速度Ωとが等しい場合には、ウエハーの相対速度vは、テーブル中心からウエハー中心までの距離Rと研磨パッド回転速度Ωとの積で与えられる(v=RΩ)。したがって、通常のPrestonの式により、研磨パッドの回転速度が増加すると研磨速度も上昇する傾向にある。
【0058】
図5に示したStribeck線図を参照して、これについて説明する。図5のグラフにおいて、横軸は負荷特性を表わし、縦軸は摩擦係数(任意単位)を表わす。
【0059】
例えばSiOの場合には、研磨パッドの回転数が10rpmから100rpmの広い範囲内で境界潤滑領域となる。研磨パッド中心とウエハー中心との距離Rを170mmとして計算すると、前述の回転数の場合、ウエハーの相対速度は、それぞれ0.17m/sおよび1.57m/sとなる。
【0060】
これに対して、疎水性材料であるレジスト膜を研磨するレジストCMPの場合は、状況が大きく異なり、ウエハーの相対速度が低いほど研磨速度は大きくなる。これは、研磨後のパッド表面が疎水化するためであると考えられる。レジスト研磨後のパッド表面には、フレーク状のレジスト削りカスが多量に発生する。この削りカスは、研磨を重ねる毎にパッド表面に蓄積されて、パッド表面を疎水性にする。その結果、パッド表面およびウエハー表面はいずれも疎水性となるため、ある程度の相対速度以上になると摩擦係数が急激に低下する。この状態は、図5に示したStribeck線図の液体潤滑領域であり、ウエハーとパッドとの間の接触がほとんどゼロの状態になる。この場合、レジスト膜の研磨速度は非常に小さくなる。
【0061】
レジストCMPに当たって、200mmウエハーを用いて研磨パッド中心とウエハー中心との距離Rを170mmとし、研磨パッドの回転数Ωを変化させて、摩擦の状態に及ぼす相対速度の影響を調べた。その結果、10rpm乃至60rpmでは境界潤滑領域であり、70rpmを越えると液体潤滑領域となった。境界潤滑領域内でレジストCMPを達成するには、ウエハーの相対速度vは、0.17m/sec乃至1.06m/secの範囲とする必要がある。
【0062】
図6は、CMP時間とレジスト除去面積率との関係を表わすグラフである。レジスト除去面積率とは、レジスト膜が全面に存在するCMP前の状態を0%とし、CMP完了後のレジスト残りのない状態を100%として定義する。ここでは、膜厚3μmのレジスト膜について調べた。図6のグラフには、ウエハーの相対速度が異なる3種類の結果を示してある。曲線a、bおよびcは、それぞれウエハーの相対速度が0.53m/s、1.24m/sおよび1.57m/sの場合の結果である。なお、これらの相対速度の際の研磨パッドの回転数は、それぞれ30rpm、70rpmおよび100rpmである。上述したように70rmp以上、すなわち、1.24m/s以上の相対速度でレジストCMPを行なうと、Stribeck線図の液体潤滑領域に入り、摩擦係数が小さくなる。このため、曲線bに示されるようにCMPを完了するためには270sec以上の長時間を要し、研磨速度は極めて低い。
【0063】
これに対して、30rpm、すなわち0.53m/sの相対速度では、境界潤滑の領域に入るために摩擦は高く維持される。曲線aに示されるように、わずか20sec程度で研磨が完了する。
【0064】
なお、曲線cに示されるように、液体潤滑領域となる1.57m/sの場合には、270秒の研磨を行なったところで、レジスト除去面積率は15%程度にとどまっている。
【0065】
図7のグラフには、45秒間の研磨を行なった際の相対速度とレジスト除去面積率との関係を示す。相対速度が0.17m/sec乃至1.06m/secの範囲内であれば、45秒間の研磨によって80%以上のレジスト除去面積率を達成することができる。
【0066】
さらに、図8のグラフには、CMP時間とテーブルトルク電流との関係を示す。曲線dは相対速度0.53m/s(回転数30rpm)の場合の結果であり、曲線eは相対速度1.24m/s(回転数70rpm)の場合の結果である。曲線dに示されるように、相対速度が0.53m/sの場合には、わずか25秒程度で18Aを越えるテーブルトルク電流を得ることができる。このテーブルトルク電流と、ウエハーと研磨パッドとの間の摩擦には正の相関関係があり、この場合の研磨時間の安定性は高く、20枚程度のウエハーを研磨しても、研磨時間は60秒程度に一定していた。
【0067】
これに対して、相対速度が1.24m/sの場合には、曲線eに示されるように、180秒の研磨を行なったところで、テーブルトルク電流は15A程度にとどまっている。この場合には、研磨に長時間を要するのに加えて、研磨時間の安定性が低かった。20枚程度のウエハーを研磨した場合、研磨時間は200秒から270秒の間で変化した。
【0068】
なお、十分な研磨速度を確保するために、CMP中の荷重は、200乃至600gf/cmの範囲内とすることが好ましい。200gf/cm未満の場合には、Stribeck線図の液体潤滑領域に入りやすく、摩擦を維持することが困難となる。一方、600gf/cmを越えると、スラリーが被研磨面に供給され難くなり、研磨速度が低下するとともにスクラッチが増加するおそれがある。
【0069】
本発明の実施形態にかかるスラリーにおける樹脂粒子の粒径は、レジストが埋め込まれるトレンチの開口径に応じて決定することが望まれる。以下に、これについて詳細に説明する。
【0070】
図9には、トレンチ部における研磨粒子の状態を示す。トレンチの開口径dは2μmとする。
【0071】
例えば、スラリーとして一次粒子径0.035μmのシリカ粒子を1wt%含有するスラリーを用いた場合には、シリカ粒子サイズはトレンチ径より著しく小さい。このため、図9(a)に示されるように、シリカ粒子23はトレンチ内に侵入して、ディッシングを進行させる。一方、ある程度の大きさの樹脂粒子24を用いた場合には、図9(b)に示されるように、トレンチ内に侵入しにくくなるためディッシングが進行しない。
【0072】
図9に示したそれぞれの場合について、ウエハー位置とディッシング量との関係を、図10のグラフに示す。図10中、曲線fおよび曲線gは、それぞれ図9(b)および図9(a)の場合である。曲線gに示されるように、粒径の小さなシリカ粒子が用いられる場合には、レジストディッシングは50nmを越え、かつ面内均一性も悪い。また、ディッシング形状が歪になってリセス後の形状を悪化させる。しかも、トレンチ内に侵入したシリカ粒子は、容易に除去することができず残留しやすい。この場合、レジストリセス時のマスクとなって、リセス深さのバラツキの原因となる。
【0073】
一方、粒径の大きな樹脂粒子の場合には、曲線fに示されるように、ディッシングは25nm程度に抑制される。万一トレンチ内に残留しても、樹脂粒子はレジストと同様の有機材料であるために、リセス(CDE)により除去可能である。したがって、粒子残留によるリスクは極めて小さい。さらに、SiN膜に対する研磨力が小さく、シリカ粒子に比べてSiN研磨速度を1/10に抑制できるという利点もある。
【0074】
図11には、粒子サイズ/トレンチサイズ比と、ディッシング量との関係を示す。40nm程度のディッシングは、実質的に影響を及ぼさないので許容される。したがって、粒子サイズ/トレンチサイズ比は、70%以上とすることが好ましい。粒子サイズ/トレンチサイズ比が200%を越えると、ディッシング量は15%程度と一定のレベルにとどまる。また、すでに説明したような理由から、樹脂粒子の一次粒子径は5μm以下に制限される。これらを考慮して、粒子サイズ/トレンチサイズ比の上限を決定することが望まれる。
【0075】
図12には、樹脂粒子のサイズとディッシング量との関係を示す。ここでのサイズは一次粒子径であり、0.05μm以上の場合には、ディッシング量を40nm以下に抑えることができる。
【0076】
以上述べたように、本発明の実施形態にかかる方法により、短時間で、ディッシングおよびそのバラツキの小さいレジスト埋め込み構造を形成することが可能である。したがって、埋め込みストラップの形成に適用した場合には、抵抗バラツキを著しく低減することができる。
【0077】
なお、本発明の実施形態にかかるスラリーおよび研磨方法は、フォトレジスト膜のみならず、有機SOGなどの有機膜に対しても適用することができる。
【0078】
(実施形態2)
本実施形態においては、Cuデュアルダマシン(DD)配線の形成プロセス、特に、ヴィアホール先形成プロセスにおける配線パターンの形成について説明する。
【0079】
図13および図14は、ヴィアホール先形成DDプロセスにおける多層レジスト法を用いた配線パターンの形成方法を表わす工程断面図である。
【0080】
まず、図13(a)に示すように、ストッパー膜41、第1の絶縁膜42および第2の絶縁膜43を、CVD法あるいはスピン塗布法などにより半導体基板40の上に順次形成する。半導体基板40には、素子および下層配線(図示せず)が形成されている。第1の絶縁膜42および第2の絶縁膜43には、RIE法によりホール44を形成し、その上に第1のレジスト膜45を堆積する。第1のレジスト膜45は、エッチングマスクおよび反射防止膜の役割を果たす。
【0081】
第1のレジスト膜45上には、SOGまたはSiOなどからなる中間層46、および第2のレジスト膜47を順次形成し、図13(b)に示すように第2のレジスト膜47をリソグラフィーによりパターン化する。
【0082】
次に、ハロゲンガスなどを用いて、図13(c)に示すように中間層46をドライエッチングにより加工した後、酸素ガスなどを用いて、図14(d)に示すように第1のレジスト膜45をエッチングする。この際、中間層46上の第2のレジスト膜47も同時にエッチング除去される。
【0083】
続いて、図14(e)に示すように配線溝48のエッチングを行なう。このとき、中間層46も同時に除去される。その後、ホール内に埋め込まれた第1のレジスト膜45を、第2の絶縁膜43上の第1のレジスト膜45とともに剥離して、図14(f)に示すようにヴィアホール49を形成する。
【0084】
ヴィアホール49内のストッパー膜41を除去した後、TaNなどからなるバリアメタル膜(図示せず)を介してCu膜をメッキ法あるいはスパッタ法などにより成膜する。さらに、CMPを行なうことによりフィールド上のCuを除去して、図14(g)に示すCu配線50を有するCuデュアルダマシン(DD)配線が形成される。
【0085】
こうした従来の手法では、第1のレジスト膜45を形成する際、ヴィア密度の高いパターン(以下、密ヴィアと称する)にレジストが吸収されて、第1のレジスト膜45の膜厚にはバラツキが生じていた。具体的には、密ヴィアの上のレジスト膜厚と、フィールド部上あるいはヴィア密度の低いパターン(以下、疎ヴィアと称する)上のレジスト膜厚との間には、100nm程度のバラツキが生じていた。この膜厚差は、その上に形成される中間層46や第2のレジスト膜47によっても緩和されず、むしろ30nm程度拡大する傾向にある。
【0086】
その結果、レジストパターニング時における疎ヴィア部と密ヴィア部とのベストフォーカスが一致せず、0.1μm程度シフトする。図15には、レジスト膜厚のフォーカス依存性を示す。図15(a)および(b)は、それぞれ疎ヴィア部(0.14μm/15μm)および密ヴィア部(0.14μm/0.14μm)におけるレジスト膜厚のフォーカス依存性である。これらのグラフには3種類の露光量についての結果を示してあり、曲線は、上から順に45mJ/cm、41mJ/cm、37mJ/cmの露光量に対応する。露光量によらず、疎ヴィア部および密ヴィア部のベストフォーカスには、0.1μm程度のずれがある。これは、レジスト膜厚差に相当する量である。なお、ヴィアホールがない場合(リファレンスプロセス)には、レジスト膜厚にバラツキが生じないため、図16(a)(疎ヴィア部)および図16(b)(密ヴィア部)に示されるように、ベストフォーカスのパターン依存はない。
【0087】
ED Tree解析を行なって、得られたマージンカーブを図17に示す。図17(a)および(b)は、それぞれリファレンスプロセスおよび従来例についての結果である。さらに、後述する本発明の実施形態についての結果を、図17(c)として示してある。露光余裕度5%での焦点深度を比較すると、従来例の場合には、図17(b)に示されるように0.3μmであるのに対し、リファレンスプロセスでは、図17(a)に示されるように焦点深度は0.4μmである。従来例では、露光余裕度5%での焦点深度が0.1μmロスされることになり、プロセスマージンを縮小してしまう。
【0088】
本発明の実施形態においては、第1のレジスト膜45を形成後にレジストCMPを行なって、第1のレジスト膜45の膜厚が均一になるよう平坦化する。その後、第2のレジスト膜、中間層および第3のレジスト膜を順次形成することにより膜厚差を緩和して、ヴィア密度に依存した焦点深度のロスを低減する。
【0089】
図18に本発明の実施形態にかかる半導体装置の製造方法の一部を表わす工程断面図を示す。
【0090】
まず、図18(a)に示すように、素子および下層配線(図示せず)が形成された半導体基板40上にSiCからなるストッパー膜41を50nmの膜厚で形成した。さらに、膜厚400nmの第1の絶縁膜42(ブラックダイアモンド、アプライドマテリアル社製)、および膜厚100nmの第2の絶縁膜43(SiO)を順次堆積し、これらの絶縁膜に開口径140nmのヴィアホール44を形成した。全面に膜厚0.3μmで第1のレジスト膜45を堆積し、第2の絶縁膜43上の第1のレジスト膜45を除去して、ホール44内に選択的に残置した。
【0091】
第2の絶縁膜43上の第1のレジスト膜45の除去は、本発明の実施形態にかかるスラリーを用いたCMPにより行なった。具体的には、研磨布としてIC1000(ロデール・ニッタ社製)を用い、図1に示したように、研磨布31が貼付されたターンテーブル30を30rpmで回転させつつ、半導体基板32を保持したトップリング33を500gf/cmの研磨荷重で当接させた。トップリング33の回転数は33rpmとし、研磨布31上には、スラリー供給ノズル35から150cc/minの流量でスラリー37を供給した。ウエハーの相対速度は、0.53m/s程度となる。スラリーとしては、前述のスラリー1を用いた。本実施形態においては、スラリー中に含有される樹脂粒子の一次粒子径は、ホールの開口径の140%程度である。
【0092】
60秒間の研磨を行なった結果、開口径0.14μmのホール44におけるレジストディッシングは10nm以下であり、第2の絶縁膜43のロスもほぼゼロに抑制することができた。
【0093】
次に、図18(b)に示すように、上述したような手法により、第2のレジスト膜51、中間層52、および第3のレジスト膜53を順次堆積して、第3のレジスト膜53をパターニングする。
【0094】
第1のレジスト膜45がCMPにより平坦化されているので、パターニング後の密ヴィア部と疎ヴィア部との間におけるレジスト膜厚差は、ほぼゼロに改善される。疎ヴィア部および密ヴィア部についてのレジスト膜の露光量依存性を、それぞれ図19(a)および(b)に示す。ベストフォーカスは、実質的にヴィア密度に存在しないことが、これらに示されている。露光度余裕5%での焦点深度は、図17(c)に示したように0.4μmとなり、これはレファレンスプロセスと同レベルである。このように、レジストCMPを行なって膜厚を均一にすることによって、リソグラフィーのフォーカスマージンを拡大することが可能となった。
【0095】
なお、通常3層レジストプロセスにおいては、第1のレジスト膜のエッチング耐性を高めるために、300℃以上の高温ベーク処理が施される。高温ベーク処理によって、レジスト膜の硬度が樹脂粒子の硬度よりも大きくなり、しかも脆くなるため、樹脂粒子では良好に研磨することができず剥がれが生じる場合がある。高温ベークされたレジスト膜よりも硬いアルミナ粒子を研磨粒子として用いれば、こうしたレジスト膜も除去することができるものの、アルミナのような無機粒子を含有するスラリーを用いると、ある程度のディッシングや粒子残留リスクを覚悟せざるを得ない。
【0096】
そこで、第1のレジスト膜を100℃〜200℃、例えば150℃程度の低温でベークした後、本発明の実施形態にかかるスラリーでCMPを行なうことが好ましい。100℃未満の場合には、レジストの密着性が低下してCMP時にはがれを抑制するのが困難となる。一方、200℃を越えると、樹脂粒子よりもレジスト膜が硬化して、除去できなくなるおそれがある。低温でベークされているので、第1のレジスト膜は、本発明の実施形態にかかるスラリーを用いて除去することができる。その後、第2のレジスト膜を形成し、300〜350℃程度の高温ベークを行なうことによって、エッチング耐性が高められる。300℃未満の場合には、ドライエッチングにおける選択比を確保するのが困難となる。一方、350℃を越えると、酸素が抜けてしまい、レジストアッシングができなくなるおそれがある。
【0097】
樹脂粒子を含有する本発明の実施形態にかかるスラリーを用いて研磨することができるので、研磨後の表面におけるディッシングは低減され、しかも粒子が残留するおそれもない。
【0098】
(実施形態3)
本実施形態においては、レジストリセス法を用いたCuデュアルダマシン(DD)配線の形成方法について説明する。
【0099】
図20を参照して、従来の方法を説明する。
【0100】
まず、図20(a)に示すように、図示しない素子などが形成された半導体基板60上に絶縁膜61を形成し、下層Cu配線62を埋め込み形成する。この上に、ストッパー膜63および層間絶縁膜64を順次堆積し、層間絶縁膜にヴィアホール65をリソグラフィーおよびドライエッチング(RIE)により形成する。さらに、全面に第1のレジスト膜66を形成する。
【0101】
次に、図20(b)に示すように、第1のレジスト膜66をCDE法によりエッチバックすることにより、所定の深さまでリセスする。
【0102】
続いて、図20(c)に示すように、反射防止膜67および第2のレジスト膜68を順次堆積し、第2のレジスト膜68に配線溝69をパターニングする。さらに、RIEにより反射防止膜67および絶縁膜64のエッチングを行なって配線溝69を形成する。
【0103】
その後、ヴィアホール65内に埋め込まれた第1のレジスト膜66を除去し、実施形態2で説明したようにメタルの成膜およびCMPによってCu DD構造が形成される。
【0104】
従来技術の手法では、次のような問題があった。ヴィアホール65が設けられた層間絶縁膜64上に第1のレジスト膜66を形成する際、レジストがホール65で消費される。このため、図20(a)に示されるように、密ヴィア部72におけるレジスト膜厚は、疎ヴィア部71あるいはフィールド上に比べて100nm程度薄くなってしまう。
【0105】
その後のリセス工程において、レジストの膜厚バラツキはさらに拡大し、図20(b)に示すように密ヴィア部72のレジスト膜厚が最も薄くなる。
【0106】
配線溝69を加工する際には、密ヴィア部72のレジスト膜66が耐え切れず、図20(c)に示されるように下層配線にエッチングダメージ70を与える。また、ヴィアホール65内に埋め込まれたレジスト膜厚66のバラツキに起因して、反射防止膜67の膜厚にもバラツキが生じる。密ヴィア部72の反射防止膜67の膜厚が薄くなって、反射防止膜のエッチング時には、密ヴィア部72がオーバーエッチングとなる。その結果、密ヴィア部72の配線溝69が疎ヴィア部71より深くなり、メタル成膜・CMP後の配線抵抗バラツキとなって顕在化する。
【0107】
本発明の実施形態においては、第1のレジスト膜66を形成後にレジストCMPを行なって、第1のレジスト膜66の膜厚が均一となるように平坦性を確保した後、リセスを行なう。
【0108】
図21乃至図22に本発明の実施形態にかかる半導体装置の製造方法の一部を表わす工程断面図を示す。
【0109】
まず、図21(a)に示すように、図示しない素子などが形成された半導体基板60上にSiOを400nmの厚さで堆積して絶縁膜61を形成し、常法により下層Cu配線62を埋め込んだ。さらに、SiCからなるストッパー膜63(膜厚:50nm)、およびSiOからなる層間絶縁膜64(膜厚:1μm)を順次堆積した。層間絶縁膜64に開口径140nmのヴィアホール65を形成した後、全面に第1のレジスト膜66を堆積した。その後、層間絶縁膜64上の第1のレジスト膜66を除去して、ヴィアホール内に選択的に残置した。
【0110】
層間絶縁膜64上の第1のレジスト膜66の除去は、本発明の実施形態にかかるスラリーを用いたCMPにより行なった。具体的には、研磨布としてIC1000/Suba400(ロデール・ニッタ社製)を用い、図1に示したように、研磨布31が貼付されたターンテーブル30を30rpmで回転させつつ、半導体基板32を保持したトップリング33を500gf/cmの研磨荷重で当接させた。トップリング33の回転数は33rpmとし、研磨布31上には、スラリー供給ノズル35から150cc/minの流量でスラリー37を供給した。ウエハーの相対速度は、0.53m/s程度である。スラリーとしては、前述のスラリー2を用いた。本実施形態においては、スラリー中に含有される樹脂粒子の一次粒子径は、ヴィアホールの開口径の210%程度である。
【0111】
60秒間の研磨を行なった結果、第1のレジスト膜66のディッシングは10nm以下であり、層間絶縁膜64のロスもほぼゼロに抑制することができた。次に、ヴィアホール65内の第1のレジスト膜66をエッチバックすることにより所定の深さまでリセスした。リセス後における第1のレジスト膜66の膜厚は、図21(b)に示すように実質的に均一であり、そのバラツキは20nm以下に抑制された。
【0112】
リセス後の第1のレジスト膜66の膜厚が実質的に均一であるので、反射防止膜67も、図21(c)に示すように均一な膜厚で形成することができる。さらに、第2のレジスト膜68を形成し、第2のレジスト膜68、反射防止膜67および層間絶縁膜64に配線溝69をパターニングする。
【0113】
続いて、図22(d)に示すように、第1のレジスト膜66、反射防止膜67および第2のレジスト膜68を除去した。ヴィアホール内のストッパー膜63を除去した後、TaNなどからなるバリアメタル膜(図示せず)を介して配線溝69およびヴィアホールホールにCuを埋め込んだ。さらに、CMPを行なうことによって、図22(e)に示すようにCu DD配線73が形成される。
【0114】
リセスに先立って第1のレジスト膜をCMPにより平坦化しているので、下層配線へのエッチングダメージあるいは配線溝の深さバラツキを著しく低減して、Cu DD配線を形成することが可能となった。
【0115】
【発明の効果】
以上詳述したように、本発明の一態様によれば、ディッシングを抑制して良好な表面を維持しつつ、短時間で安定してレジスト膜等の有機膜を化学的機械的に研磨可能なスラリーが提供される。本発明の他の態様によれば、ディッシングを抑制して良好な表面を維持しつつ、短時間で安定してレジスト膜等の有機膜を研磨する方法が提供される。本発明のさらに他の態様によれば、平坦性および均一性が高いレジスト埋め込み構造を短時間で安定に形成することが可能な、半導体装置の製造方法が提供される。
【0116】
本発明を用いることにより、リソグラフィーにおけるプロセスマージンを拡大するとともに、歩留まりの高い半導体製造プロセスを構築することが可能となり、その工業的価値は絶大である。
【図面の簡単な説明】
【図1】CMPの状態を示す概略図。
【図2】本発明の一実施形態にかかる半導体装置の製造方法を示す工程断面図。
【図3】図2に続く工程を示す断面図。
【図4】図3に続く工程を示す断面図。
【図5】Stribeck線図を示すグラフ図。
【図6】CMP時間とレジスト除去面積率との関係を示すグラフ図。
【図7】相対速度とレジスト除去面積率との関係を示すグラフ図。
【図8】CMP時間とテーブルトルク電流との関係を示すグラフ図。
【図9】トレンチ部における研磨粒子の状態を示す模式図。
【図10】ウエハー位置とディッシング量との関係を示すグラフ図。
【図11】粒子サイズ/トレンチサイズ比とディッシング量との関係を示すグラフ図。
【図12】研磨粒子サイズとディッシング量との関係を示すグラフ図。
【図13】多層レジスト法を用いた配線パターンの形成方法を表わす工程断面図。
【図14】図13に引き続く工程を表わす断面図。
【図15】レジスト膜厚のフォーカス依存性を示すグラフ図。
【図16】レジスト膜厚のフォーカス依存性を示すグラフ図。
【図17】マージンカーブを示すグラフ図。
【図18】本発明の他の実施形態にかかる半導体装置の製造方法の一部を表わす工程断面図。
【図19】レジスト膜厚のフォーカス依存性を示すグラフ図。
【図20】レジストリセスによるCuデュアルダマシンの形成プロセスを示す工程断面図。
【図21】本発明のさらに他の実施形態にかかる半導体装置の製造方法の一部を表わす工程断面図。
【図22】図21に続く工程を示す断面図。
【符号の説明】
11…半導体基板,12…Pad酸化膜,13…Pad窒化膜,14…トレンチ,15…プレート電極,16…キャパシタ誘電膜,17…ストレージノード,18…カラー酸化膜,19…レジスト膜,20…埋め込みストラップの開口部,21…ポリシリコン,23…シリカ粒子,24…樹脂粒子,30…ターンテーブル,31…研磨布,32…半導体基板,33…トップリング,34…水供給ノズル,35…スラリー供給ノズル,36…ドレッサー,37…スラリー,40…半導体基板,41…ストッパー膜,42…第1の絶縁膜,43…第2の絶縁膜,44…ホール,45…第1のレジスト膜,46…中間層,47…第2のレジスト膜,48…配線溝,49…ヴィアホール,50…Cu配線,51…第2のレジスト膜,52…中間層,53…第3のレジスト膜,60…半導体基板,61…絶縁膜,62…Cu配線,63…ストッパー膜,64…層間絶縁膜,65…ヴィアホール,66…第1のレジスト膜,67…反射防止膜,68…第2のレジスト膜,69…配線溝,70…下層配線へのダメージ,71…疎ヴィア部,72…密ヴィア部,73…Cu配線。
[0001]
TECHNICAL FIELD OF THE INVENTION
The present invention relates to a chemical mechanical polishing slurry, a polishing method using the same, and a method for manufacturing a semiconductor device. In particular, the present invention relates to a chemical mechanical polishing slurry for an organic film such as a resist film, a polishing method using the same, and The present invention relates to a method for manufacturing a semiconductor device.
[0002]
[Prior art]
In a manufacturing process of a semiconductor device, a photoresist film is used as a sacrificial film to form a desired structure. For example, after forming a trench in a semiconductor substrate or a hole in an insulating film, a photoresist is applied to form a sacrificial film. The desired structure can be obtained by recessing or peeling off the sacrificial film. Such a method is used, for example, in the manufacture of a semiconductor memory device having a trench capacitor to form a buried strap that electrically connects a storage node electrode and a cell transistor diffusion layer. Further, in a process of forming a Cu dual damascene structure (Cu DD structure), the present invention is also useful for a via-hole first forming (Via first DD) process.
[0003]
In any case, the thickness of the photoresist film is required to be uniform over the entire wafer. However, when a resist is buried in a trench or a hole having a high pattern density, the resist volume on the dense pattern decreases. For this reason, a variation of the order of several hundred nm occurs between the resist film thickness on the dense pattern and the resist film thickness on the sparse pattern or on the field.
[0004]
The variation in the thickness of the resist is further enlarged in a recess to be formed later, and deteriorates the device shape. Further, it causes a decrease in the depth of focus and a decrease in yield.
[0005]
In order to solve the problem caused by the variation in the resist film thickness, a method of flattening a photoresist by a chemical mechanical polishing method after applying the resist has been proposed (for example, see Patent Document 1). However, CMP for a photoresist film has the following problems.
[0006]
In the conventional resist CMP, the resist polishing time is long, and the stability of the polishing time is low. This problem is particularly apparent when CMP is performed on a thick film resist of about 3 μm. Specifically, the polishing time is as long as 200 to 270 seconds, and the stability is poor.
[0007]
Further, it is difficult to suppress dishing, and the uniformity in the wafer surface is poor. If the in-plane uniformity of the dishing is low, the subsequent recess depth varies, making it difficult to obtain a desired shape uniformly within the wafer surface. For example, when forming the buried strap, the variation in the recess depth is directly connected to the variation in the collar oxide film, resulting in the resistance variation of the buried strap.
[0008]
In polishing a resist film whose hardness has been increased by baking at a high temperature, inorganic particles such as silica and alumina are used as abrasive particles in order to secure a practical process margin and productivity. In this case, there is a problem that the inorganic particles are likely to remain in the trench, deteriorating the surface state, and the remaining particles serve as a mask in a recess process after the CMP.
[0009]
A method using resin particles as abrasive particles has been proposed (for example, see Patent Document 2). In this case, the polishing target is a film made of an alloy containing Al as a main component such as pure Al, AlSiCu alloy, AlCu alloy, a silicon oxide film, a silicon nitride film, an amorphous silicon film, a polycrystalline silicon film, and a single crystal silicon. Film. Therefore, the slurry is prepared so as to be optimal for polishing an inorganic film such as a metal film or a silicon film.
[0010]
[Patent Document 1]
JP 2001-77064 A
[0011]
[Patent Document 2]
Patent No. 3172008
[0012]
[Problems to be solved by the invention]
An object of the present invention is to provide a slurry that can stably and chemically polish an organic film such as a resist film in a short time while suppressing dishing and maintaining a good surface.
[0013]
Another object of the present invention is to provide a method for stably polishing an organic film such as a resist film in a short time while suppressing dishing and maintaining a good surface.
[0014]
Still another object of the present invention is to provide a method for manufacturing a semiconductor device, which can stably form a resist buried structure having high flatness and uniformity in a short time.
[0015]
[Means for Solving the Problems]
The chemical mechanical polishing slurry for an organic film according to one embodiment of the present invention has a functional group selected from anionic, cationic, amphoteric, and nonionic functional groups, and has a primary particle diameter of 0.05 μm. It is characterized by containing resin particles of not less than 5 μm and not more than 2 and not more than 8 in pH.
[0016]
The method for chemically and mechanically polishing an organic film according to one embodiment of the present invention is a method for chemically and mechanically polishing an organic film deposited on a semiconductor substrate,
A semiconductor substrate having the organic film is rotated at a relative speed of 0.17 to 1.06 m / sec with respect to the polishing cloth on a polishing cloth having a compression modulus of 100 to 600 MPa attached to a turntable. Abutting while contacting, and
The method further comprises a step of supplying the aforementioned chemical mechanical polishing slurry for an organic film onto the polishing cloth to polish the organic film.
[0017]
A method for manufacturing a semiconductor device according to one embodiment of the present invention includes a step of forming a recess in a semiconductor substrate as a base or an insulating film deposited over the semiconductor substrate;
Forming a resist film on the entire surface of the base on which the concave portion is formed,
Polishing the resist film chemically and mechanically by the method described above, and selectively embedding the resist film in the concave portion, and
A step of recessing the resist film buried in the recess to a predetermined depth.
[0018]
A method of manufacturing a semiconductor device according to another aspect of the present invention includes a step of forming a hole in an insulating film deposited on a semiconductor substrate,
Forming a first resist film on the entire surface of the insulating film;
A step of chemically and mechanically polishing the first resist film by the method described above to selectively bury the first resist film in the holes;
Forming a second resist film on the first resist film after the chemical mechanical polishing,
Forming an intermediate layer on the second resist film;
Forming a third resist film on the intermediate layer; and
A step of pattern-exposing the third resist film.
[0019]
BEST MODE FOR CARRYING OUT THE INVENTION
Hereinafter, embodiments of the present invention will be described with reference to the drawings.
[0020]
The resin particles contained in the chemical mechanical polishing slurry for an organic film according to the embodiment of the present invention include methacrylic resin such as PMMA (polymethyl methacrylate), PST (polystyrene) resin, urea resin, melamine resin, and polyacetal resin. And a particle selected from the group consisting of polycarbonate resin. In particular, PMMA or PST resin is preferable because it has hardness and elasticity suitable for CMP.
[0021]
When the primary particle diameter of the resin particles is less than 0.05 μm, the particles easily penetrate into the recesses in which the organic film is embedded, that is, the trenches provided in the semiconductor substrate or the holes provided in the insulating film, and the dishing occurs. Tend to expand. On the other hand, if it exceeds 5 μm, it becomes difficult to control the dispersibility of the particles, and the slurry tends to settle. Therefore, in the embodiment of the present invention, the primary particle diameter of the resin particles is limited to 0.05 μm or more and 5 μm or less. In addition, the primary particle diameter of the resin particles is preferably 0.1 μm or more and 3.0 μm or less.
[0022]
At least one functional group selected from anionic, cationic, amphoteric, and nonionic functional groups is introduced into the surface of such resin particles. Examples of the anionic functional group include a carboxylic acid type, a sulfonic acid type, a sulfate ester type and a phosphate ester type, and examples of the cationic functional group include an amine salt type and a quaternary ammonium salt type. Is mentioned. Examples of the amphoteric functional groups include alkanolamide type, carboxybetaine type, and glycine type, and examples of the nonionic functional group include ether type and ester type. A carboxyl group is particularly preferred because of easy production of the particles.
[0023]
In order to stably disperse the resin particles, the absolute value of the ζ potential is preferably equal to or more than a predetermined value. Specifically, it is desired that the absolute value of the ζ potential is about 20 mV or more. This can be achieved by setting the ratio of the functional groups to about 0.05 mol / L or more. In some cases, two or more functional groups may be present at the same time. Since the functional group is present on the surface of the resin particles, the dispersibility can be enhanced by the electric repulsion between the resin particles without adding a surfactant.
[0024]
For example, in the case of a resin particle having a carboxyl group (COOH) as a functional group on the surface, the carboxyl group becomes COOH → COO in the slurry. + H + And the surface of the resin particles is negatively charged. For this reason, it is possible to prevent the particles from aggregating due to the electric repulsion, enhance the dispersibility, and prolong the life.
[0025]
PMMA particles having a carboxyl group (COOH) on the surface can be synthesized, for example, by the following method. First, methyl methacrylate, methacrylic acid, divinylbenzene, ammonium lauryl sulfate and ammonium persulfate are placed in a flask together with a sufficient amount of ion-exchanged water. This is heated to 70 to 80 ° C. with stirring in a nitrogen gas atmosphere, and polymerized for 6 to 8 hours. Thus, PMMA particles having a carboxyl group on the surface and a primary particle diameter of about 0.15 to 0.25 μm are obtained. By changing the reaction temperature, time, and other production conditions, the primary particle size of the resin particles can be controlled within the range of 0.05 to 5 μm.
[0026]
By dispersing the resin particles having a functional group on the surface as described above in water, the chemical mechanical polishing slurry for an organic film according to the embodiment of the present invention can be obtained. As the water, ion-exchanged water, pure water, or the like can be used. The resin particles are preferably dispersed in the slurry to a concentration of about 0.01 to 30 wt%. If the content is less than 0.01 wt%, it becomes difficult to polish the organic film at a sufficiently high rate. On the other hand, if it exceeds 30 wt%, SiN, SiO 2 There is a possibility that the selection ratio with the insulating film such as the above cannot be obtained.
[0027]
An additive such as an oxidizing agent, an organic acid or a surfactant may be added to the slurry in a commonly used amount, if necessary.
[0028]
However, the slurry according to the embodiment of the present invention has a pH of 2 or more and 8 or less. When the pH is less than 2, functional groups such as COOH are not easily dissociated, and dispersibility deteriorates. On the other hand, when the pH exceeds 8, chemical damage to an organic film such as a resist film increases, and dishing increases.
[0029]
By appropriately blending a pH adjuster, the pH can be adjusted to the above range. As the pH adjuster, for example, nitric acid, phosphoric acid, hydrochloric acid, sulfuric acid, citric acid and the like can be used.
[0030]
Since the chemical mechanical polishing slurry for an organic film according to the embodiment of the present invention contains resin particles having a predetermined particle size, it can be suitably used for chemical mechanical polishing of an organic film. In particular, since a functional group is present on the surface of the resin particles, the dispersibility can be increased by the electric repulsion between the particles without separately adding a surfactant. Ensuring the dispersibility of the resin particles is a very important factor from the viewpoint of polishing characteristics and storage stability. If the particles are not well dispersed, coarse particles may form and cause scratching. Alternatively, the slurry turns into a hard cake, and storage stability deteriorates. Since the functional groups are present on the surface of the resin particles, such a disadvantage does not occur in the slurry according to the embodiment of the present invention.
[0031]
Moreover, since the pH of the slurry according to the embodiment of the present invention is defined within a predetermined range, the functional groups on the surface of the resin particles are sufficiently dissociated without causing any chemical damage to the organic film to be polished. Can be done.
[0032]
The polishing of the organic film using the slurry according to the embodiment of the present invention can be performed, for example, as follows. As shown in FIG. 1, while rotating the turntable 30 on which the polishing cloth 31 is stuck at 10 to 50 rpm, the top ring 33 holding the semiconductor substrate 32 is moved to 200 to 600 gf / cm. 2 Abrasion load. The rotation speed of the top ring 33 can be set to 5 to 60 rpm. However, it is preferable to determine the rotation speeds of the turntable 30 and the top ring 33 so that the relative speed of the semiconductor substrate 32 to the rotation speed of the polishing pad 31 is in the range of 0.17 to 1.06 m / sec. This will be described later. The slurry 37 is supplied onto the polishing cloth 31 from the slurry supply nozzle 35 at a flow rate of 100 to 300 cc / min. FIG. 1 also shows a water supply nozzle 34 and a dresser 36.
[0033]
As the polishing cloth 31, a hard polishing pad having a compression modulus in the range of 100 to 600 MPa is used. When the compression modulus is less than 100 MPa, it cannot be mechanically removed because the hardness is smaller than the resist film to be polished. On the other hand, if it exceeds 600 MPa, scratches tend to occur on the surface of the organic film after polishing. Therefore, for example, it is preferable to use IC1000 (manufactured by Rodale Nitta) having a compression modulus of about 290 MPa. The IC 1000 may be supported by Suba 400 (manufactured by Rodale Nitta) having a compression modulus of 6 MPa or less. However, when a soft pad having a compression modulus of 6 MPa or less such as Suba400 or Politex is used alone, the resist film is removed when polishing is performed for 120 seconds using the slurry according to the embodiment of the present invention. Can not.
[0034]
The slurry according to the embodiment of the present invention exerts its effect sufficiently by being used for polishing under such conditions, and does not cause any deterioration of the surface shape such as dishing, and stably in a short time, the organic film such as a resist film. Can be polished.
[0035]
The chemical mechanical polishing slurry for an organic film according to the embodiment of the present invention was prepared by the following method.
[0036]
(Slurry 1)
First, 92 parts by weight of styrene, 4 parts by weight of methacrylic acid, 4 parts by weight of hydroxyethyl acrylate, 0.1 part by weight of ammonium lauryl sulfate, 0.5 part by weight of ammonium persulfate, and 400 parts by weight of ion-exchanged water were placed in a 2 liter flask. Housed. The temperature was raised to 70 ° C. while stirring in a nitrogen gas atmosphere, and the polymerization was carried out for 6 hours. As a result, PST particles having a carboxyl group and a primary particle diameter of 0.2 μm were obtained.
[0037]
The PST particles were dispersed in pure water at a concentration of 1% by weight, and the pH was adjusted to 3 by adding nitric acid to obtain a slurry 1.
[0038]
(Slurry 2)
First, 94 parts by weight of methyl methacrylate, 1 part by weight of methacrylic acid, 5 parts by weight of hydroxymethyl methacrylate, 0.03 parts by weight of ammonium lauryl sulfate, 0.6 parts by weight of ammonium persulfate, and 400 parts by weight of ion-exchanged water Housed in a 2 liter flask. The temperature was raised to 70 ° C. while stirring in a nitrogen gas atmosphere, and the polymerization was carried out for 6 hours. As a result, PMMA particles having a carboxyl group and a primary particle diameter of 0.3 μm were obtained.
[0039]
The PMMA particles were dispersed in pure water at a concentration of 1 wt%, and the pH was adjusted to 3 by adding phosphoric acid to obtain a slurry 2.
[0040]
Each of the slurries contains resin particles having a primary particle diameter of 0.05 to 5 μm having a functional group on the surface, and the pH is adjusted to a value between 2 and 8. Therefore, an organic film such as a resist film can be polished in a short time without causing any shape deterioration on the polished surface.
[0041]
(Embodiment 1)
In the present embodiment, a process for forming a buried strap for electrically connecting a storage node electrode and a cell transistor diffusion layer will be described.
[0042]
2 to 4 show a method of forming a buried strap.
[0043]
First, as shown in FIG. 2A, a trench 14 is formed by photolithography and dry etching on a semiconductor substrate 11 on which a pad oxide film 12 and a pad nitride film 13 are sequentially deposited. A buried plate electrode 15 is formed below the trench peripheral surface by diffusing an n-type impurity.
[0044]
A capacitor dielectric film 16 is deposited on the inner periphery of the buried plate electrode 15 thus formed, and an As-doped polysilicon film (hereinafter, referred to as a storage node) 17 serving as a storage node electrode is deposited on the capacitor dielectric film. I do. The storage node 17 fills the trench 14. Next, the storage node 17 is etched back to a desired depth, 3 PO 4 The capacitor dielectric film 16 on the side wall of the trench 14 is removed by etching using a solution such as the one described above to obtain a structure shown in FIG. Thereafter, a thermal oxide film (not shown) is formed on the semiconductor substrate.
[0045]
Further, as shown in FIG. 2C, a collar oxide film 18 is deposited on the upper inner wall of the trench 14 in which the storage node 17 is not buried. The collar oxide film 18 has a function of electrically insulating the buried plate electrode 15 from a diffusion layer (not shown) of the cell transistor. Thereafter, the color oxide film 18 on the storage node is removed by dry etching in order to make contact between a polysilicon film described later and the storage node 17.
[0046]
Next, after forming a resist film 19 on the entire surface as shown in FIG. 3D, the resist film is subjected to CMP and planarized as shown in FIG. 3E to expose the surface of the pad nitride film 13. For polishing the resist film 19, the method according to the embodiment of the present invention is applied.
[0047]
Specifically, IC1000 (manufactured by Rodale Nitta) was used as a polishing cloth, and the slurry according to the embodiment of the present invention was used as follows. As shown in FIG. 1, while rotating the turntable 30 on which the polishing cloth 31 is stuck at 30 rpm, the top ring 33 holding the semiconductor substrate 32 is moved to 500 gf / cm. 2 Abrasion load. The rotation speed of the top ring 33 was set to 32 rpm, and the slurry 37 was supplied onto the polishing pad 31 from the slurry supply nozzle 35 at a flow rate of 150 cc / min. The slurry 1 described above was used as the slurry. Since the diameter of the trench provided in the substrate is 0.14 μm, in the present embodiment, the primary particle diameter of the resin particles contained in the slurry is about 140% of the trench diameter.
[0048]
Further, as shown in FIG. 3F, the resist film 19 is recessed by a CDE (Chemical Dry Etching) method to a depth necessary for making contact with a cell transistor diffusion layer (not shown).
[0049]
4G, a part of the collar oxide film 18 is removed to expose a part of the semiconductor substrate 11 in the trench 14 as shown in FIG. The surface of the color oxide film 18 exists below the surface of the resist film 19 as shown, and an opening 20 of a buried strap is formed.
[0050]
After removing the resist film 19 as shown in FIG. 4 (h), as shown in FIG. 4 (i), polysilicon for making contact between the diffusion layer (not shown) of the cell transistor and the storage node 17 is formed. A film 21 is deposited. The polysilicon film 21 fills the opening 20 of the buried strap. Thereby, a buried strap is formed.
[0051]
In the present embodiment, since the recess is performed after the resist film 19 is planarized in advance, the resist film can be recessed at a uniform depth. Therefore, the thickness of the color oxide film 18 after the etch back does not vary.
[0052]
Variations in the thickness of the color oxide film cause variations in the resistance value of the buried strap, which causes a reduction in yield. For this reason, it is necessary to suppress the variation to 30 nm or less.
[0053]
Conventionally, the color oxide film has been etched back by recessing without flattening the resist film. When the resist is buried in the trench, a change occurs in the resist coating thickness at the cell array end, and the resist coating thickness decreases in the order of the field portion, the cell array end, and the center of the cell portion. For example, when a resist is buried in a trench having an opening diameter of 0.14 μm and a depth of 1.2 μm, a difference of about 120 nm occurs between the film thicknesses of the resist in the field portion and the center of the cell portion. As a result, since the depth of the resist varies, the film thickness after the etch back of the color oxide film varies. Specifically, the thickness of the color oxide film has a variation of about 130 nm, causing a breakdown of the process.
[0054]
In the embodiment of the present invention, since the resist film 19 before the recess is flattened by CMP to have a uniform film thickness, the thickness variation of the color oxide film 18 can be reduced to 30 nm or less.
[0055]
Here, the resist CMP according to the embodiment of the present invention will be described in detail.
[0056]
Polishing of the resist CMP proceeds by a mechanism different from metal CMP such as Cu or W. Metal CMP is generally performed by forming a fragile protective film on the surface of a metal film to be polished and removing the fragile protective film with abrasive particles composed of inorganic particles. On the other hand, in the resist CMP, the removal of the resist film mainly progresses by stripping off the resist film by a mechanical polishing force of a polishing pad or abrasive particles. In CMP in which such mechanical elements are extremely strong, maintaining a high friction between the wafer and the polishing pad is extremely important for obtaining a high polishing rate.
[0057]
Normal CMP, eg SiO 2 In -CMP, both the pad surface and the polished surface are hydrophilic. For this reason, the coefficient of friction therebetween during polishing is in the boundary lubrication region of the so-called Stribeck diagram regardless of the rotation speed of the polishing pad or the relative speed of the wafer. When the rotational speed ω of the wafer is equal to the rotational speed Ω of the polishing pad, the relative speed v of the wafer is given by the product of the distance R from the center of the table to the center of the wafer and the rotational speed Ω of the polishing pad ( v = RΩ). Therefore, according to the normal Preston's formula, the polishing speed tends to increase as the rotation speed of the polishing pad increases.
[0058]
This will be described with reference to the Stribeck diagram shown in FIG. In the graph of FIG. 5, the horizontal axis represents the load characteristics, and the vertical axis represents the friction coefficient (arbitrary unit).
[0059]
For example, SiO 2 In the case of (1), the boundary lubrication region is formed when the rotation speed of the polishing pad is within a wide range from 10 rpm to 100 rpm. When the distance R between the center of the polishing pad and the center of the wafer is calculated as 170 mm, the relative speeds of the wafer are 0.17 m / s and 1.57 m / s, respectively, in the case of the rotation speed described above.
[0060]
On the other hand, in the case of resist CMP for polishing a resist film that is a hydrophobic material, the situation is greatly different, and the polishing speed increases as the relative speed of the wafer decreases. This is considered to be because the pad surface after polishing becomes hydrophobic. A large amount of flake-like resist shavings are generated on the pad surface after resist polishing. The shavings accumulate on the pad surface each time polishing is repeated, rendering the pad surface hydrophobic. As a result, since both the pad surface and the wafer surface become hydrophobic, the friction coefficient sharply decreases at a certain relative speed or more. This state is the liquid lubrication region in the Stribeck diagram shown in FIG. 5, and the contact between the wafer and the pad is almost zero. In this case, the polishing rate of the resist film becomes very low.
[0061]
In performing resist CMP, the distance R between the center of the polishing pad and the center of the wafer was set to 170 mm using a 200 mm wafer, and the rotational speed Ω of the polishing pad was changed to examine the effect of the relative speed on the state of friction. As a result, it was a boundary lubrication region at 10 rpm to 60 rpm, and a liquid lubrication region at over 70 rpm. In order to achieve resist CMP in the boundary lubrication region, the relative velocity v of the wafer needs to be in the range of 0.17 m / sec to 1.06 m / sec.
[0062]
FIG. 6 is a graph showing the relationship between the CMP time and the resist removal area ratio. The resist removal area ratio is defined as 0% when the resist film is present on the entire surface before CMP and 100% when there is no remaining resist after CMP. Here, a 3 μm-thick resist film was examined. The graph of FIG. 6 shows three types of results having different relative speeds of the wafer. Curves a, b and c are the results when the relative speed of the wafer is 0.53 m / s, 1.24 m / s and 1.57 m / s, respectively. The rotation speeds of the polishing pad at these relative speeds are 30 rpm, 70 rpm, and 100 rpm, respectively. As described above, when the resist CMP is performed at a relative speed of 70 rpm or more, that is, 1.24 m / s or more, the resist enters the liquid lubrication region of the Stribeck diagram, and the friction coefficient decreases. Therefore, as shown by the curve b, it takes a long time of 270 seconds or more to complete the CMP, and the polishing rate is extremely low.
[0063]
On the other hand, at a relative speed of 30 rpm, ie 0.53 m / s, the friction is kept high to enter the region of boundary lubrication. As shown by the curve a, polishing is completed in only about 20 seconds.
[0064]
As shown by the curve c, in the case of 1.57 m / s, which is the liquid lubrication area, the resist removal area ratio is only about 15% after polishing for 270 seconds.
[0065]
The graph in FIG. 7 shows the relationship between the relative speed and the resist removal area ratio when polishing is performed for 45 seconds. If the relative speed is in the range of 0.17 m / sec to 1.06 m / sec, a resist removal area ratio of 80% or more can be achieved by polishing for 45 seconds.
[0066]
Further, the graph of FIG. 8 shows the relationship between the CMP time and the table torque current. The curve d is the result when the relative speed is 0.53 m / s (rotation speed 30 rpm), and the curve e is the result when the relative speed is 1.24 m / s (rotation speed 70 rpm). As shown by the curve d, when the relative speed is 0.53 m / s, a table torque current exceeding 18 A can be obtained in only about 25 seconds. There is a positive correlation between the table torque current and the friction between the wafer and the polishing pad. In this case, the stability of the polishing time is high. Even if about 20 wafers are polished, the polishing time is 60 hours. It was constant for about a second.
[0067]
On the other hand, when the relative speed is 1.24 m / s, as shown by the curve e, the table torque current remains at about 15 A after polishing for 180 seconds. In this case, the polishing required a long time and the stability of the polishing time was low. When about 20 wafers were polished, the polishing time varied between 200 seconds and 270 seconds.
[0068]
In order to secure a sufficient polishing rate, the load during CMP is 200 to 600 gf / cm. 2 Is preferably within the range. 200gf / cm 2 If it is less than 3, it is easy to enter the liquid lubrication region of the Streckeck diagram, and it becomes difficult to maintain the friction. On the other hand, 600 gf / cm 2 Exceeding the range makes it difficult for the slurry to be supplied to the surface to be polished, which may lower the polishing rate and increase scratches.
[0069]
It is desirable that the particle size of the resin particles in the slurry according to the embodiment of the present invention be determined according to the opening diameter of the trench in which the resist is embedded. Hereinafter, this will be described in detail.
[0070]
FIG. 9 shows a state of the abrasive particles in the trench portion. Opening diameter d of trench t Is 2 μm.
[0071]
For example, when a slurry containing 1 wt% of silica particles having a primary particle diameter of 0.035 μm is used as the slurry, the silica particle size is significantly smaller than the trench diameter. Therefore, as shown in FIG. 9A, the silica particles 23 penetrate into the trenches and advance dishing. On the other hand, when the resin particles 24 having a certain size are used, as shown in FIG. 9B, dishing does not proceed because it is difficult to enter the trench.
[0072]
The relationship between the wafer position and the dishing amount in each case shown in FIG. 9 is shown in the graph of FIG. In FIG. 10, the curves f and g are the cases of FIGS. 9B and 9A, respectively. As shown by the curve g, when silica particles having a small particle diameter are used, the resist dishing exceeds 50 nm and the in-plane uniformity is poor. In addition, the dishing shape becomes distorted and deteriorates the shape after the recess. In addition, the silica particles that have entered the trench cannot easily be removed and tend to remain. In this case, it becomes a mask at the time of the registry recess, and causes a variation in the recess depth.
[0073]
On the other hand, in the case of resin particles having a large particle diameter, dishing is suppressed to about 25 nm as shown by the curve f. Even if the resin particles remain in the trench, the resin particles can be removed by a recess (CDE) because the resin particles are the same organic material as the resist. Therefore, the risk due to residual particles is extremely small. Further, there is an advantage that the polishing force on the SiN film is small, and the polishing speed of the SiN can be suppressed to 1/10 as compared with the silica particles.
[0074]
FIG. 11 shows the relationship between the particle size / trench size ratio and the dishing amount. Dishing on the order of 40 nm is acceptable as it has substantially no effect. Therefore, the particle size / trench size ratio is preferably set to 70% or more. When the particle size / trench size ratio exceeds 200%, the dishing amount remains at a constant level of about 15%. In addition, for the reasons described above, the primary particle diameter of the resin particles is limited to 5 μm or less. In consideration of these, it is desired to determine the upper limit of the particle size / trench size ratio.
[0075]
FIG. 12 shows the relationship between the size of the resin particles and the dishing amount. The size here is the primary particle diameter. When the size is 0.05 μm or more, the dishing amount can be suppressed to 40 nm or less.
[0076]
As described above, by the method according to the embodiment of the present invention, it is possible to form dishing and a resist-embedded structure with a small variation in a short time. Therefore, when applied to the formation of a buried strap, resistance variation can be significantly reduced.
[0077]
The slurry and the polishing method according to the embodiment of the present invention can be applied to not only a photoresist film but also an organic film such as an organic SOG.
[0078]
(Embodiment 2)
In the present embodiment, a process of forming a Cu dual damascene (DD) wiring, particularly, a formation of a wiring pattern in a via-hole forming process will be described.
[0079]
13 and 14 are process cross-sectional views illustrating a method of forming a wiring pattern using a multilayer resist method in a via hole tip formation DD process.
[0080]
First, as shown in FIG. 13A, a stopper film 41, a first insulating film 42, and a second insulating film 43 are sequentially formed on a semiconductor substrate 40 by a CVD method, a spin coating method, or the like. On the semiconductor substrate 40, an element and a lower layer wiring (not shown) are formed. A hole 44 is formed in the first insulating film 42 and the second insulating film 43 by RIE, and a first resist film 45 is deposited thereon. The first resist film 45 plays a role of an etching mask and an anti-reflection film.
[0081]
On the first resist film 45, SOG or SiO 2 An intermediate layer 46 and a second resist film 47 are sequentially formed, and the second resist film 47 is patterned by lithography as shown in FIG.
[0082]
Next, after the intermediate layer 46 is processed by dry etching as shown in FIG. 13C using a halogen gas or the like, the first resist is formed as shown in FIG. The film 45 is etched. At this time, the second resist film 47 on the intermediate layer 46 is also removed by etching at the same time.
[0083]
Subsequently, the wiring groove 48 is etched as shown in FIG. At this time, the intermediate layer 46 is also removed at the same time. After that, the first resist film 45 embedded in the hole is peeled off together with the first resist film 45 on the second insulating film 43 to form a via hole 49 as shown in FIG. .
[0084]
After removing the stopper film 41 in the via hole 49, a Cu film is formed by a plating method or a sputtering method via a barrier metal film (not shown) made of TaN or the like. Further, Cu on the field is removed by performing CMP, and a Cu dual damascene (DD) wiring having a Cu wiring 50 shown in FIG. 14G is formed.
[0085]
In such a conventional method, when the first resist film 45 is formed, the resist is absorbed into a pattern having a high via density (hereinafter, referred to as a dense via), and the thickness of the first resist film 45 varies. Had occurred. Specifically, a variation of about 100 nm occurs between the resist film thickness on the dense via and the resist film thickness on the field portion or a pattern with a low via density (hereinafter, referred to as a sparse via). Was. This difference in film thickness is not alleviated by the intermediate layer 46 and the second resist film 47 formed thereon, but rather tends to increase by about 30 nm.
[0086]
As a result, the best focus between the sparse via portion and the dense via portion during resist patterning does not match, and shifts by about 0.1 μm. FIG. 15 shows the focus dependency of the resist film thickness. FIGS. 15A and 15B show the focus dependency of the resist film thickness in the sparse via portion (0.14 μm / 15 μm) and the dense via portion (0.14 μm / 0.14 μm), respectively. These graphs show the results for three types of exposure doses, and the curves are 45 mJ / cm in order from the top. 2 , 41mJ / cm 2 , 37mJ / cm 2 Corresponds to the amount of exposure. Regardless of the exposure amount, there is a deviation of about 0.1 μm in the best focus between the sparse via portion and the dense via portion. This is an amount corresponding to the resist film thickness difference. When there is no via hole (reference process), there is no variation in the resist film thickness. Therefore, as shown in FIG. 16A (sparse via portion) and FIG. 16B (dense via portion). There is no pattern dependence of the best focus.
[0087]
FIG. 17 shows a margin curve obtained by performing ED Tree analysis. FIGS. 17A and 17B show the results for the reference process and the conventional example, respectively. Further, the result of the embodiment of the present invention described later is shown in FIG. 17 (c). Comparing the depth of focus with an exposure margin of 5%, in the case of the conventional example, it is 0.3 μm as shown in FIG. 17B, whereas in the reference process, it is shown in FIG. As shown, the depth of focus is 0.4 μm. In the conventional example, the depth of focus at the exposure margin of 5% is lost by 0.1 μm, and the process margin is reduced.
[0088]
In the embodiment of the present invention, after the first resist film 45 is formed, a resist CMP is performed to planarize the first resist film 45 so that the film thickness becomes uniform. Thereafter, a second resist film, an intermediate layer, and a third resist film are sequentially formed to reduce a difference in film thickness, thereby reducing a loss of depth of focus depending on a via density.
[0089]
FIG. 18 is a process sectional view illustrating a part of the method for manufacturing the semiconductor device according to the embodiment of the present invention.
[0090]
First, as shown in FIG. 18A, a stopper film 41 made of SiC was formed to a thickness of 50 nm on a semiconductor substrate 40 on which elements and lower wirings (not shown) were formed. Further, a 400 nm-thick first insulating film 42 (black diamond, manufactured by Applied Materials) and a 100 nm-thick second insulating film 43 (SiO 2 2 ) Were sequentially deposited, and via holes 44 having an opening diameter of 140 nm were formed in these insulating films. A first resist film 45 having a thickness of 0.3 μm was deposited on the entire surface, and the first resist film 45 on the second insulating film 43 was removed and left selectively in the hole 44.
[0091]
The removal of the first resist film 45 on the second insulating film 43 was performed by CMP using the slurry according to the embodiment of the present invention. Specifically, the semiconductor substrate 32 was held while rotating the turntable 30 to which the polishing cloth 31 was attached at 30 rpm as shown in FIG. 1 using IC1000 (manufactured by Rodale Nitta) as the polishing cloth. 500 gf / cm top ring 33 2 Abrasion load. The rotation speed of the top ring 33 was set to 33 rpm, and the slurry 37 was supplied onto the polishing pad 31 from the slurry supply nozzle 35 at a flow rate of 150 cc / min. The relative speed of the wafer is about 0.53 m / s. The slurry 1 described above was used as the slurry. In the present embodiment, the primary particle diameter of the resin particles contained in the slurry is about 140% of the opening diameter of the hole.
[0092]
As a result of polishing for 60 seconds, resist dishing in the hole 44 having an opening diameter of 0.14 μm was 10 nm or less, and loss of the second insulating film 43 could be suppressed to almost zero.
[0093]
Next, as shown in FIG. 18B, a second resist film 51, an intermediate layer 52, and a third resist film 53 are sequentially deposited by the above-described method to form a third resist film 53. Is patterned.
[0094]
Since the first resist film 45 is planarized by CMP, the difference in the resist film thickness between the dense via portion and the sparse via portion after patterning is improved to almost zero. FIGS. 19A and 19B show the dependence of the resist film on the light exposure amount for the sparse via portion and the dense via portion, respectively. These show that the best focus is not substantially at the via density. The depth of focus at the exposure margin of 5% is 0.4 μm as shown in FIG. 17C, which is the same level as that of the reference process. As described above, by performing the resist CMP to make the film thickness uniform, it is possible to expand the focus margin of lithography.
[0095]
Note that, in the normal three-layer resist process, a high-temperature bake treatment at 300 ° C. or higher is performed to increase the etching resistance of the first resist film. The high-temperature bake treatment makes the hardness of the resist film larger than the hardness of the resin particles, and also makes the resin film brittle, so that the resin particles cannot be polished well and may peel off. If alumina particles harder than the high-temperature baked resist film are used as abrasive particles, such a resist film can also be removed. I have to be prepared.
[0096]
Therefore, it is preferable that after the first resist film is baked at a low temperature of 100 ° C. to 200 ° C., for example, about 150 ° C., CMP is performed with the slurry according to the embodiment of the present invention. If the temperature is lower than 100 ° C., the adhesiveness of the resist decreases, and it becomes difficult to suppress the peeling during the CMP. On the other hand, when the temperature exceeds 200 ° C., the resist film may be hardened more than the resin particles and may not be removed. Since the baking is performed at a low temperature, the first resist film can be removed using the slurry according to the embodiment of the present invention. After that, a second resist film is formed, and a high-temperature bake of about 300 to 350 ° C. is performed, thereby improving the etching resistance. If the temperature is lower than 300 ° C., it is difficult to secure a selectivity in dry etching. On the other hand, if the temperature exceeds 350 ° C., oxygen may be released, and resist ashing may not be performed.
[0097]
Since polishing can be performed using the slurry according to the embodiment of the present invention containing resin particles, dishing on the polished surface is reduced, and there is no possibility that particles remain.
[0098]
(Embodiment 3)
In the present embodiment, a method for forming a Cu dual damascene (DD) wiring using a registry method will be described.
[0099]
The conventional method will be described with reference to FIG.
[0100]
First, as shown in FIG. 20A, an insulating film 61 is formed on a semiconductor substrate 60 on which elements (not shown) are formed, and a lower Cu wiring 62 is buried. On this, a stopper film 63 and an interlayer insulating film 64 are sequentially deposited, and a via hole 65 is formed in the interlayer insulating film by lithography and dry etching (RIE). Further, a first resist film 66 is formed on the entire surface.
[0101]
Next, as shown in FIG. 20B, the first resist film 66 is etched back by a CDE method to be recessed to a predetermined depth.
[0102]
Subsequently, as shown in FIG. 20C, an antireflection film 67 and a second resist film 68 are sequentially deposited, and a wiring groove 69 is patterned in the second resist film 68. Further, the anti-reflection film 67 and the insulating film 64 are etched by RIE to form a wiring groove 69.
[0103]
After that, the first resist film 66 embedded in the via hole 65 is removed, and a Cu DD structure is formed by metal film formation and CMP as described in the second embodiment.
[0104]
The conventional technique has the following problems. When forming the first resist film 66 on the interlayer insulating film 64 provided with the via hole 65, the resist is consumed in the hole 65. For this reason, as shown in FIG. 20A, the resist film thickness in the dense via portion 72 becomes smaller by about 100 nm than in the sparse via portion 71 or on the field.
[0105]
In the subsequent recess step, the variation in the film thickness of the resist further increases, and the resist film thickness of the dense via portion 72 becomes the thinnest as shown in FIG.
[0106]
When the wiring groove 69 is processed, the resist film 66 in the dense via portion 72 cannot withstand and damages the lower wiring, as shown in FIG. Also, the thickness of the antireflection film 67 varies due to the variation in the resist film thickness 66 embedded in the via hole 65. The thickness of the anti-reflection film 67 in the dense via portion 72 is reduced, and the dense via portion 72 is over-etched when the anti-reflection film is etched. As a result, the wiring groove 69 of the dense via portion 72 becomes deeper than the sparse via portion 71, and the wiring resistance after metal film formation / CMP becomes uneven and becomes obvious.
[0107]
In the embodiment of the present invention, after the first resist film 66 is formed, the resist CMP is performed to secure the flatness so that the film thickness of the first resist film 66 becomes uniform, and then the recess is performed.
[0108]
21 to 22 are process cross-sectional views illustrating a part of the method for manufacturing a semiconductor device according to the embodiment of the present invention.
[0109]
First, as shown in FIG. 21A, SiO 2 is formed on a semiconductor substrate 60 on which elements (not shown) are formed. 2 Was deposited to a thickness of 400 nm to form an insulating film 61, and the lower Cu wiring 62 was buried by a conventional method. Further, a stopper film 63 (film thickness: 50 nm) made of SiC and SiO 2 An interlayer insulating film 64 (thickness: 1 μm) made of was sequentially deposited. After forming a via hole 65 having an opening diameter of 140 nm in the interlayer insulating film 64, a first resist film 66 was deposited on the entire surface. After that, the first resist film 66 on the interlayer insulating film 64 was removed and left selectively in the via hole.
[0110]
The removal of the first resist film 66 on the interlayer insulating film 64 was performed by CMP using the slurry according to the embodiment of the present invention. Specifically, using IC1000 / Suba400 (manufactured by Rodale Nitta) as a polishing cloth, as shown in FIG. 1, the semiconductor substrate 32 is rotated while the turntable 30 to which the polishing cloth 31 is attached is rotated at 30 rpm. 500 gf / cm of the held top ring 33 2 Abrasion load. The rotation speed of the top ring 33 was set to 33 rpm, and the slurry 37 was supplied onto the polishing pad 31 from the slurry supply nozzle 35 at a flow rate of 150 cc / min. The relative speed of the wafer is about 0.53 m / s. As the slurry, the above-mentioned slurry 2 was used. In the present embodiment, the primary particle diameter of the resin particles contained in the slurry is about 210% of the opening diameter of the via hole.
[0111]
As a result of polishing for 60 seconds, the dishing of the first resist film 66 was 10 nm or less, and the loss of the interlayer insulating film 64 could be suppressed to almost zero. Next, the first resist film 66 in the via hole 65 was etched back to a predetermined depth. The thickness of the first resist film 66 after the recess was substantially uniform as shown in FIG. 21B, and the variation was suppressed to 20 nm or less.
[0112]
Since the thickness of the first resist film 66 after the recess is substantially uniform, the antireflection film 67 can also be formed with a uniform film thickness as shown in FIG. Further, a second resist film 68 is formed, and a wiring groove 69 is patterned in the second resist film 68, the antireflection film 67, and the interlayer insulating film 64.
[0113]
Subsequently, as shown in FIG. 22D, the first resist film 66, the antireflection film 67, and the second resist film 68 were removed. After removing the stopper film 63 in the via hole, Cu was buried in the wiring groove 69 and the via hole via a barrier metal film (not shown) made of TaN or the like. Further, by performing the CMP, the Cu DD wiring 73 is formed as shown in FIG.
[0114]
Since the first resist film is planarized by CMP prior to the recess, it is possible to form the Cu DD wiring with significantly reduced etching damage to the lower layer wiring or variation in the depth of the wiring groove.
[0115]
【The invention's effect】
As described in detail above, according to one embodiment of the present invention, an organic film such as a resist film can be stably chemically and mechanically polished in a short time while suppressing dishing and maintaining a good surface. A slurry is provided. According to another aspect of the present invention, there is provided a method for stably polishing an organic film such as a resist film in a short time while suppressing dishing and maintaining a good surface. According to still another aspect of the present invention, there is provided a method of manufacturing a semiconductor device, capable of stably forming a resist buried structure having high flatness and uniformity in a short time.
[0116]
By using the present invention, a process margin in lithography can be expanded and a semiconductor manufacturing process with a high yield can be constructed, and its industrial value is enormous.
[Brief description of the drawings]
FIG. 1 is a schematic diagram showing a state of CMP.
FIG. 2 is a process sectional view illustrating the method for manufacturing the semiconductor device according to the embodiment of the present invention.
FIG. 3 is a sectional view showing a step following FIG. 2;
FIG. 4 is a sectional view showing a step following FIG. 3;
FIG. 5 is a graph showing a Stribeck diagram.
FIG. 6 is a graph showing a relationship between a CMP time and a resist removal area ratio.
FIG. 7 is a graph showing a relationship between a relative speed and a resist removal area ratio.
FIG. 8 is a graph showing a relationship between a CMP time and a table torque current.
FIG. 9 is a schematic view showing a state of abrasive particles in a trench portion.
FIG. 10 is a graph showing a relationship between a wafer position and a dishing amount.
FIG. 11 is a graph showing a relationship between a particle size / trench size ratio and a dishing amount.
FIG. 12 is a graph showing a relationship between an abrasive particle size and a dishing amount.
FIG. 13 is a process cross-sectional view illustrating a method of forming a wiring pattern using a multilayer resist method.
FIG. 14 is a sectional view showing a step following FIG. 13;
FIG. 15 is a graph showing the focus dependency of the resist film thickness.
FIG. 16 is a graph showing the focus dependence of the resist film thickness.
FIG. 17 is a graph showing a margin curve.
FIG. 18 is a process sectional view illustrating a part of a method of manufacturing a semiconductor device according to another embodiment of the present invention.
FIG. 19 is a graph showing the focus dependency of a resist film thickness.
FIG. 20 is a process cross-sectional view showing a process of forming a Cu dual damascene by a registry.
FIG. 21 is a process sectional view illustrating a part of a method of manufacturing a semiconductor device according to still another embodiment of the present invention.
FIG. 22 is a sectional view showing a step following FIG. 21;
[Explanation of symbols]
11 semiconductor substrate, 12 Pad oxide film, 13 Pad nitride film, 14 trench, 15 plate electrode, 16 capacitor dielectric film, 17 storage node, 18 color oxide film, 19 resist film, 20 Opening of buried strap, 21 polysilicon, 23 silica particles, 24 resin particles, 30 turntable, 31 polishing cloth, 32 semiconductor substrate, 33 top ring, 34 water supply nozzle, 35 slurry Supply nozzle, 36 dresser, 37 slurry, 40 semiconductor substrate, 41 stopper film, 42 first insulating film, 43 second insulating film, 44 hole, 45 first resist film, 46 ... intermediate layer, 47 ... second resist film, 48 ... wiring groove, 49 ... via hole, 50 ... Cu wiring, 51 ... second resist film, 52 ... intermediate layer, 5 ... Third resist film, 60 semiconductor substrate, 61 insulating film, 62 Cu wiring, 63 stopper film, 64 interlayer insulating film, 65 via hole, 66 first resist film, 67 antireflection Film 68 second resist film 69 69 wiring groove 70 damage to lower layer wiring 71 sparse via portion 72 dense via portion 73 Cu wiring

Claims (11)

アニオン系、カチオン系、両性系、および非イオン系官能基から選択される官能基を有するとともに、一次粒子径が0.05μm以上5μm以下の樹脂粒子を含有し、pHが2以上8以下であることを特徴とする有機膜用化学的機械的研磨スラリー。It has a functional group selected from anionic, cationic, amphoteric, and nonionic functional groups, contains resin particles having a primary particle diameter of 0.05 μm or more and 5 μm or less, and has a pH of 2 or more and 8 or less. A chemical mechanical polishing slurry for an organic film, comprising: 前記樹脂粒子は、メタクリル樹脂、ポリスチレン樹脂、ユリア樹脂、メラミン樹脂、ポリアセタール樹脂、およびポリカーボネイト樹脂からなる群から選択される少なくとも1種であることを特徴とする請求項1に記載の有機膜用化学的機械的研磨スラリー。The chemistry for an organic film according to claim 1, wherein the resin particles are at least one selected from the group consisting of a methacrylic resin, a polystyrene resin, a urea resin, a melamine resin, a polyacetal resin, and a polycarbonate resin. Mechanical polishing slurry. 前記官能基は、カルボン酸型、スルホン酸型、硫酸エステル型、リン酸エステル型、アミン塩型、第4級アンモニウム塩型、エーテル型、エステル型、アルカノールアミド型、カルボキシベタイン型、およびグリシン型官能基からなる群から選択される少なくとも1種であることを特徴とする請求項1または2に記載の有機膜用化学的機械的研磨スラリー。The functional group includes carboxylic acid type, sulfonic acid type, sulfate ester type, phosphate ester type, amine salt type, quaternary ammonium salt type, ether type, ester type, alkanolamide type, carboxybetaine type, and glycine type. 3. The chemical mechanical polishing slurry for an organic film according to claim 1, wherein the slurry is at least one selected from the group consisting of functional groups. 半導体基板上に堆積された有機膜を化学的機械的に研磨する方法であって、
ターンテーブル上に貼付された100乃至600MPaの圧縮弾性率を有する研磨布上に、前記有機膜を有する半導体基板を前記研磨布に対して0.17乃至1.06m/secの相対速度で回転させつつ当接させる工程、および
前記研磨布上に、請求項1乃至3いずれか1項に記載の有機膜用化学的機械的研磨スラリーを供給して、前記有機膜を研磨する工程を具備することを特徴とする有機膜の化学的機械的研磨方法。
A method of chemically and mechanically polishing an organic film deposited on a semiconductor substrate,
A semiconductor substrate having the organic film is rotated at a relative speed of 0.17 to 1.06 m / sec with respect to the polishing cloth on a polishing cloth having a compression modulus of 100 to 600 MPa attached to a turntable. And a step of supplying the chemical-mechanical polishing slurry for an organic film according to any one of claims 1 to 3 onto the polishing cloth to polish the organic film. A chemical mechanical polishing method for an organic film, comprising:
前記有機膜は、凹部を有する下地上に形成され、前記有機膜用化学的機械的研磨スラリーにおける前記樹脂粒子の一次粒子径は、前記凹部の開口径の70%以上であることを特徴とする請求項4に記載の有機膜の化学的機械的研磨方法。The organic film is formed on a base having a concave portion, and a primary particle diameter of the resin particles in the chemical mechanical polishing slurry for the organic film is 70% or more of an opening diameter of the concave portion. A method for chemically and mechanically polishing an organic film according to claim 4. 前記半導体基板は、200乃至600gf/cmの圧力で前記研磨布に当接されることを特徴とする請求項4または5に記載の有機膜の化学的機械的研磨方法。The method according to claim 4, wherein the semiconductor substrate is brought into contact with the polishing pad at a pressure of 200 to 600 gf / cm 2 . 下地としての半導体基板または半導体基板上に堆積された絶縁膜に凹部を形成する工程、
前記凹部が形成された下地の全面にレジスト膜を形成する工程、
前記レジスト膜を請求項4ないし6のいずれか1項に記載の方法により化学的機械的に研磨して、前記凹部内に選択的に前記レジスト膜を埋め込む工程、および、
前記凹部内に埋め込まれたレジスト膜を所定の深さまでリセスする工程
を具備することを特徴とする半導体装置の製造方法。
Forming a recess in a semiconductor substrate as a base or an insulating film deposited on the semiconductor substrate,
Forming a resist film on the entire surface of the base on which the concave portion is formed,
A step of chemically and mechanically polishing the resist film by the method according to any one of claims 4 to 6, and selectively embedding the resist film in the concave portion, and
A method of manufacturing a semiconductor device, comprising a step of recessing a resist film buried in the recess to a predetermined depth.
前記凹部は下地としての半導体基板に設けられたトレンチであり、
前記トレンチを形成した後、前記レジスト膜を形成する前に、前記トレンチ内の下部側面にプレート電極を形成する工程と、前記プレート電極の内周にキャパシタ誘電膜を形成する工程と、前記キャパシタ誘電膜上にストレージノード電極を形成する工程と、前記ストレージノード電極および前記キャパシタ誘電膜をエッチバックする工程と、前記トレンチ内の上部内壁にカラー酸化膜を形成する工程とを具備し、
前記レジスト膜をリセスした後に、前記カラー酸化膜をエッチバックする工程を具備することを特徴とする請求項7に記載の半導体装置の製造方法。
The recess is a trench provided in a semiconductor substrate as a base,
Forming a plate electrode on a lower side surface in the trench after forming the trench and before forming the resist film; forming a capacitor dielectric film on an inner periphery of the plate electrode; Forming a storage node electrode on the film, etching back the storage node electrode and the capacitor dielectric film, and forming a collar oxide film on an upper inner wall in the trench,
8. The method according to claim 7, further comprising a step of etching back the color oxide film after recessing the resist film.
前記凹部は、下地としての層間絶縁膜に形成されたホールであり、前記レジスト膜は下層レジスト膜であり、
前記下層レジスト膜をリセス後、前記層間絶縁膜上に反射防止膜および上層レジスト膜を順次形成する工程と、前記下層レジスト膜に達するように前記層間絶縁膜、前記反射防止膜および前記上層レジスト膜に配線溝を形成する工程と、前記下層レジスト膜、前記反射防止膜および前記上層レジスト膜を除去する工程と、前記ホールおよび前記配線溝に導電性材料を埋め込む工程とを具備することを特徴とする請求項7に記載の半導体装置の製造方法。
The recess is a hole formed in an interlayer insulating film as a base, the resist film is a lower resist film,
A step of sequentially forming an antireflection film and an upper resist film on the interlayer insulating film after the lower resist film is recessed; and forming the interlayer insulating film, the antireflection film and the upper resist film so as to reach the lower resist film. Forming a wiring groove, removing the lower resist film, the antireflection film and the upper resist film, and embedding a conductive material in the hole and the wiring groove. The method of manufacturing a semiconductor device according to claim 7.
半導体基板上に堆積された絶縁膜にホールを形成する工程、
前記絶縁膜の全面に第1のレジスト膜を形成する工程、
前記第1のレジスト膜を請求項4ないし6のいずれか1項に記載の方法により化学的機械的に研磨して、前記ホール内に前記第1のレジスト膜を選択的に埋め込む工程、
前記化学的機械的研磨後の前記第1のレジスト膜上に第2のレジスト膜を形成する工程、
前記第2のレジスト膜上に中間層を形成する工程、
前記中間層の上に第3のレジスト膜を形成する工程、および
前記第3のレジスト膜をパターン露光する工程
を具備することを特徴とする半導体装置の製造方法。
Forming holes in the insulating film deposited on the semiconductor substrate,
Forming a first resist film on the entire surface of the insulating film;
7. a step of chemically and mechanically polishing the first resist film by the method according to claim 4 to selectively bury the first resist film in the hole;
Forming a second resist film on the first resist film after the chemical mechanical polishing,
Forming an intermediate layer on the second resist film;
A method of manufacturing a semiconductor device, comprising: forming a third resist film on the intermediate layer; and pattern-exposing the third resist film.
前記第1のレジスト膜を形成した後、化学的機械的に研磨する前に100℃以上200℃以下の温度でベークする工程と、
前記第2のレジスト膜を形成した後、300℃以上350℃以下の温度でベークする工程とをさらに具備することを特徴とする請求項10に記載の半導体装置の製造方法。
After forming the first resist film, baking at a temperature of 100 ° C. or more and 200 ° C. or less before chemical mechanical polishing,
The method according to claim 10, further comprising a step of baking at a temperature of 300 ° C. or more and 350 ° C. or less after forming the second resist film.
JP2003157154A 2003-06-02 2003-06-02 Chemical mechanical polishing method of organic film and manufacturing method of semiconductor device Expired - Fee Related JP4202826B2 (en)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP2003157154A JP4202826B2 (en) 2003-06-02 2003-06-02 Chemical mechanical polishing method of organic film and manufacturing method of semiconductor device
TW093114973A TWI241626B (en) 2003-06-02 2004-05-26 Chemical mechanical polishing method of organic film and method of manufacturing semiconductor device
US10/855,529 US7452819B2 (en) 2003-06-02 2004-05-28 Chemical mechanical polishing method of organic film and method of manufacturing semiconductor device
CNB2004100461803A CN100346451C (en) 2003-06-02 2004-06-02 Chemical mechanical polishing method of organic film and method of manufacturing semiconductor device
US12/289,326 US8685857B2 (en) 2003-06-02 2008-10-24 Chemical mechanical polishing method of organic film and method of manufacturing semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2003157154A JP4202826B2 (en) 2003-06-02 2003-06-02 Chemical mechanical polishing method of organic film and manufacturing method of semiconductor device

Publications (2)

Publication Number Publication Date
JP2004363191A true JP2004363191A (en) 2004-12-24
JP4202826B2 JP4202826B2 (en) 2008-12-24

Family

ID=34051015

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003157154A Expired - Fee Related JP4202826B2 (en) 2003-06-02 2003-06-02 Chemical mechanical polishing method of organic film and manufacturing method of semiconductor device

Country Status (1)

Country Link
JP (1) JP4202826B2 (en)

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007012936A (en) * 2005-06-30 2007-01-18 Toshiba Corp Chemical mechanical polishing method of organic film, manufacturing method of semiconductor device, and program
JP2007150184A (en) * 2005-11-30 2007-06-14 Jsr Corp Chemical mechanical polishing slurry for organic film polishing, chemical mechanical polishing method, and manufacturing method of semiconductor device
KR100793916B1 (en) 2006-04-05 2008-01-15 삼성전기주식회사 Manufacturing process of a capacitor embedded in PCB
KR100832993B1 (en) 2006-04-14 2008-05-27 주식회사 엘지화학 Adjuvant for chemical mechanical polishing slurry
JP2009123782A (en) * 2007-11-12 2009-06-04 Toshiba Corp Method for manufacturing semiconductor device
JP2009146998A (en) * 2007-12-12 2009-07-02 Toshiba Corp Method of manufacturing semiconductor device
JP2009283812A (en) * 2008-05-26 2009-12-03 Renesas Technology Corp Method of manufacturing semiconductor device
JP2015209523A (en) * 2014-04-30 2015-11-24 株式会社フジミインコーポレーテッド Composition for polishing organic film and polishing method
US9343326B2 (en) 2013-07-17 2016-05-17 Samsung Electronics Co., Ltd. CMP slurry composition for polishing an organic layer and method of forming a semiconductor device using the same
KR20170076248A (en) 2015-12-24 2017-07-04 솔브레인 주식회사 Slurry composition for polishing organic film and method for polishing semiconductor substrate using the same
KR20170076251A (en) 2015-12-24 2017-07-04 솔브레인 주식회사 Slurry composition for polishing organic film and method for polishing semiconductor substrate using the same
JP2018523312A (en) * 2015-08-04 2018-08-16 日本テキサス・インスツルメンツ株式会社 Method for improving CMP scratch resistance for uneven surfaces
CN110026885A (en) * 2018-12-27 2019-07-19 杭州众硅电子科技有限公司 A kind of system and method polishing pad life on-line checking
CN110303424A (en) * 2018-03-20 2019-10-08 长鑫存储技术有限公司 Improve the method and apparatus that electric pole plate scratches in chemical mechanical milling tech

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102477260B (en) * 2010-11-26 2014-12-03 安集微电子(上海)有限公司 Chemically mechanical polishing liquid

Cited By (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7402521B2 (en) 2005-06-30 2008-07-22 Kabushiki Kaisha Toshiba Method for chemically mechanically polishing organic film, method of manufacturing semiconductor device, and program therefor
JP2007012936A (en) * 2005-06-30 2007-01-18 Toshiba Corp Chemical mechanical polishing method of organic film, manufacturing method of semiconductor device, and program
JP2007150184A (en) * 2005-11-30 2007-06-14 Jsr Corp Chemical mechanical polishing slurry for organic film polishing, chemical mechanical polishing method, and manufacturing method of semiconductor device
US7736397B2 (en) 2006-04-05 2010-06-15 Samsung Electro-Mechanics Co., Ltd. Method for manufacturing capacitor embedded in PCB
KR100793916B1 (en) 2006-04-05 2008-01-15 삼성전기주식회사 Manufacturing process of a capacitor embedded in PCB
US8062395B2 (en) 2006-04-14 2011-11-22 Lg Chem, Ltd. Adjuvant for CMP slurry
KR100832993B1 (en) 2006-04-14 2008-05-27 주식회사 엘지화학 Adjuvant for chemical mechanical polishing slurry
JP2009123782A (en) * 2007-11-12 2009-06-04 Toshiba Corp Method for manufacturing semiconductor device
US7985685B2 (en) 2007-11-12 2011-07-26 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor device
JP2009146998A (en) * 2007-12-12 2009-07-02 Toshiba Corp Method of manufacturing semiconductor device
JP2009283812A (en) * 2008-05-26 2009-12-03 Renesas Technology Corp Method of manufacturing semiconductor device
US9343326B2 (en) 2013-07-17 2016-05-17 Samsung Electronics Co., Ltd. CMP slurry composition for polishing an organic layer and method of forming a semiconductor device using the same
JP2015209523A (en) * 2014-04-30 2015-11-24 株式会社フジミインコーポレーテッド Composition for polishing organic film and polishing method
JP2018523312A (en) * 2015-08-04 2018-08-16 日本テキサス・インスツルメンツ株式会社 Method for improving CMP scratch resistance for uneven surfaces
KR20170076248A (en) 2015-12-24 2017-07-04 솔브레인 주식회사 Slurry composition for polishing organic film and method for polishing semiconductor substrate using the same
KR20170076251A (en) 2015-12-24 2017-07-04 솔브레인 주식회사 Slurry composition for polishing organic film and method for polishing semiconductor substrate using the same
CN110303424A (en) * 2018-03-20 2019-10-08 长鑫存储技术有限公司 Improve the method and apparatus that electric pole plate scratches in chemical mechanical milling tech
CN110026885A (en) * 2018-12-27 2019-07-19 杭州众硅电子科技有限公司 A kind of system and method polishing pad life on-line checking

Also Published As

Publication number Publication date
JP4202826B2 (en) 2008-12-24

Similar Documents

Publication Publication Date Title
US8685857B2 (en) Chemical mechanical polishing method of organic film and method of manufacturing semiconductor device
US5618381A (en) Multiple step method of chemical-mechanical polishing which minimizes dishing
US6143662A (en) Chemical mechanical polishing composition and method of polishing a substrate
US6946397B2 (en) Chemical mechanical polishing process with reduced defects in a copper process
JP4537010B2 (en) Chemical mechanical polishing slurry and chemical mechanical polishing method using the same
US6348395B1 (en) Diamond as a polish-stop layer for chemical-mechanical planarization in a damascene process flow
JP4768335B2 (en) Chemical mechanical polishing method of organic film, semiconductor device manufacturing method, and program
JP4202826B2 (en) Chemical mechanical polishing method of organic film and manufacturing method of semiconductor device
JP2004006628A (en) Method for manufacturing semiconductor device
JP2004512681A (en) Chemical mechanical polishing slurry and polishing method
JP2003514061A (en) Use of CsOH in dielectric CMP slurry
US20120196442A1 (en) Chemical mechanical polishing method
KR20000004840A (en) Method of manufacturing semiconductor device, etching solution components therefor, and semiconductor device thereof
JP2001015460A (en) Fabrication of semiconductor device
KR100692472B1 (en) Manufacturing method of semiconductor device and semiconductor device
WO2000002235A1 (en) Method of planarizing integrated circuits
WO1999046081A1 (en) Multi-step chemical mechanical polishing process and device
WO1999059194A1 (en) A method of planarizing a semiconductor device using a high density plasma system
JP2005203394A (en) Manufacturing method of semiconductor device
JP2008021704A (en) Method of manufacturing semiconductor device
TW200915409A (en) Two step chemical mechanical polish
JP3161425B2 (en) Method of forming STI
JP2009146998A (en) Method of manufacturing semiconductor device
JP4202955B2 (en) Chemical mechanical polishing method of organic film
JP4499613B2 (en) Insulating film polishing method

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20061005

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20061010

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20061206

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20070220

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070423

A911 Transfer of reconsideration by examiner before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20070501

A912 Removal of reconsideration by examiner before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20080208

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080910

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20081009

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111017

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111017

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121017

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131017

Year of fee payment: 5

LAPS Cancellation because of no payment of annual fees