TW201135999A - Phase change structure, method of forming a phase change layer, phase change memory device and method of manufacturing a phase change memory device - Google Patents

Phase change structure, method of forming a phase change layer, phase change memory device and method of manufacturing a phase change memory device Download PDF

Info

Publication number
TW201135999A
TW201135999A TW099146683A TW99146683A TW201135999A TW 201135999 A TW201135999 A TW 201135999A TW 099146683 A TW099146683 A TW 099146683A TW 99146683 A TW99146683 A TW 99146683A TW 201135999 A TW201135999 A TW 201135999A
Authority
TW
Taiwan
Prior art keywords
phase change
change material
material layer
layer
aspect ratio
Prior art date
Application number
TW099146683A
Other languages
Chinese (zh)
Inventor
Jin-Ho Oh
Jeong-Hee Park
Man-Sug Kang
Byoung-Deog Choi
Gyu-Hwan Oh
Hye-Young Park
Doo-Hwan Park
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Publication of TW201135999A publication Critical patent/TW201135999A/en

Links

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/20Multistable switching devices, e.g. memristors
    • H10N70/231Multistable switching devices, e.g. memristors based on solid-state phase change, e.g. between amorphous and crystalline phases, Ovshinsky effect
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/011Manufacture or treatment of multistable switching devices
    • H10N70/061Patterning of the switching material
    • H10N70/066Patterning of the switching material by filling of openings, e.g. damascene method
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/821Device geometry
    • H10N70/826Device geometry adapted for essentially vertical current flow, e.g. sandwich or pillar type devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/881Switching materials
    • H10N70/882Compounds of sulfur, selenium or tellurium, e.g. chalcogenides
    • H10N70/8825Selenides, e.g. GeSe
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/881Switching materials
    • H10N70/882Compounds of sulfur, selenium or tellurium, e.g. chalcogenides
    • H10N70/8828Tellurides, e.g. GeSbTe
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/881Switching materials
    • H10N70/883Oxides or nitrides
    • H10N70/8833Binary metal oxides, e.g. TaOx

Abstract

A phase change structure includes a first phase change material layer pattern and a second phase change material layer pattern. The first phase change material layer pattern may partially fill a high aspect ratio structure, and the second phase change material layer pattern may fully fill the high aspect ratio structure. The first phase change material layer pattern may include a first phase change material, and the second phase change material layer pattern may include a second phase change material having a composition substantially different from a composition of the first phase change material.

Description

201135999 六、發明說明: 【發明所屬之技術領域】 根據本發明概念之實例實施例係關於一種相變化結構、 一種形成一相變化結構之方法、一種相變化記憶體裝置, 及一種製造一相變化記憶體裝置之方法。更特定言之,根 據本發明概念之實例實施例係關於:一種相變化結構,其 包括一相變化層,該相變化層可完全填充具有一高縱橫比 之一微細結構;一種形成該相變化層之方法;一種相變化 記憶體裝置,其包括該相變化結構;及一種製造該相變化 記憶體裝置之方法。 本申請案依據35 USC § 119之指定主張2009年12月29日 在韓國智慧財產局(κιρο)申請之韓國專利申請案第2〇〇9_ 〇1 32290號及20 10年12月9日在韓國智慧財產局(KIp〇)申請 之韓國專利申請案第2〇1〇_〇1254〇3號的優先權,該等申請 案之内容以全文引用的方式併入本文中。 【先前技術】 相變化記憶體裝置具有隨機存取特性,因此相變化記憶 體裝置可廣泛用於各種電氣設備及電子設備中。關於相變 化記憶體裝置’可使用相變化記憶體裝置之相變化材料層 中之硫私化物化合物的相轉變來將資料記錄至相變化記憶 體中或可自相變化記憶體裝置讀取資料。亦即,可使用硫 #化物化口物之非晶態與結晶狀態之間的電阻差來記錄或 負料舉例而έ,可根據相變化材料層中之硫族化物 化合物之可逆相轉變將資料以「〇」《「1」狀態之形式儲 153259.doc 201135999 存至相變化記憶體裝置中。當相變 顯而易見時,相變化記情 '4層之相轉變變得 匕u體裝置可確保改 當減少相變化記憶體裝 罪 可能不會··完全填滿具有高縱橫比 材料層 細孔、微細開口或微細渠溝 〜構(諸如,微 呆屏)而不在相變化材 接縫。當相變化記憶體裝置高度整合時,相變化 材料層應充分地填滿—微細三維結構而盔 或突出部分之任何缺陷。 ‘.、、·。工隙'接縫 【發明内容】 :瞭:,提供此[發明内容]以引入一簡化形式之一概念 =,在下文[實施方式]中進—步描述該等心。此[發明 内办]不意欲識別本發明之關鍵特徵或本質特徵,亦不音 欲限制本發明之範疇。 〜 根據依照本發明概念之實例實施例,提供—種相變化結 構’其包括-第-相變化材料層圖案及—第二相變化材料 層圖案。該第-;f目變化材料層_案可部分地填充一提供於 一物件或-基板上之高縱橫比結構。該第_相變化材料層 圖案可包括-第-相變化材料。該第二相變化材料層圖案 可完全填充該高縱橫比結構。該第二相變化材料層圖案可 包括一第二相變化材料《該第二相變化材料可具有實質上 不同於該第一相變化材料之一組合物的一組合物。 在審閱以下圖式及詳細描述後,熟習此項技術者便將顯 而易見或變得顯而易見根據本發明概念之實施例的其他方 法及裝置。意欲所有此等額外方法及/或裝置包括於此描 】53259.doc 201135999 述中,在本發明概念之範疇内’且受隨附申請專利範圍保 護。 【實施方式】 自結合隨附圖式進行之以下詳細描述,將更清楚地理解 根據本發明概念之實例實施例。如本文中所描述,圖1至 圖18表示根據本發明概念之非限制性實例實施例。 在下文中將參看隨附圖式更充分地描述根據本發明概念 之各種實例實施例,在該等圖式中展示根據本發明概念之 一些實例實施例。然而’本發明概念可以許多不同形式來 體現’且不應被解釋為限於本文中所闡述的根據本發明概 念之實例實施例。實情為’提供根據本發明概念之此等實 例實施例以使得此描述將為撤底的且完整的,且向熟習此 項技術者充分地傳達本發明概念之範疇。在該等圖式中, 可能為清晰起見而誇示層及區域之大小及相對大小。 應理解’當一元件或層被稱作「在另一元件或層上」、 「連接至另一元件或層」或「辆接至另一元件或層」時, 該元件或層可直接位於另一元件或層上、連接至或麵接至 另一元件或層’或可存在介入元件或層。相比而言,當一 元件被稱作「直接在另一元件或層上」、「直接連接至另一 元件或層」或「直接耦接至另一元件或層」時,不存在介 入元件或層。在全文中相似數字指代相似元件。如本文中 所使用’術語「及/或」包括相關聯之所列項目中之—或 多者的任何及所有組合。 應理解’雖然可能在本文中使用術語「第一」、「第 153259.doc 201135999 二」、「第三」、「第四」等等來描述各種元件、組件、區 域、層及/或區段,但此等元件、組件、區域、層及/或區 段不應受此等術語限制。此等術語僅用以區分一個元件、 組件、區域、層或區段與另一區域、層或區段。因此,在 不偏離本發明概念之教示的情況下,可將下文所論述之第 一 7G件、組件、區域、層或區段稱為第二元件、組件、區 域、層或區段。 為了便於描述,本文中可能使用諸如「在之下」、 「在…下方」、「下部」、「在…上方」、「上部」及其類似者 之空間相對術語來描述如諸圖中所說明之一個元件或特徵 與另一(另一些)元件或特徵之關係。應理解,除諸圖中所 描繪之定向之外,該等空間相對術語亦意欲涵蓋使用或操 作中之裝置之不同定向。舉例而言,若將圖中之裝置翻 轉,則描述為在其他元件或特徵「下方」或「之下」之元 件則將定向於該等其他元件或特徵「上方」。因此,例示 性術語「在…下方」可涵蓋上方與下方兩種定向。可以其 他方式來定向裝置(旋轉90度或處於其他定向)且可相應地 解譯本文中所使用之空間相對描述詞。 本文中所使用之術語僅用於達成描述根據本發明概念之 特定實例實施例的目的,且不意欲限制本發明概念。如本 文中所使用,除非上下文另外清楚指示’否則單數形式 一 J及「該J意欲亦包括複數形式。應進一步理解,術 5吾「包含」(comprises及/或comprising)在用於本說明書中 時指定所陳述之特徵、整數、步冑、操作、元件及^組 I53259.doc 201135999 件之存在,但不排除一或多個其他特徵、整數、步驟、操 作、元件、組件及/或其群組之存在或添加。 在本文中參考橫截面說明來描述根據本發明概念之實例 實施例,該等橫截面說明為根據本發明概念之理想化實例 實施例(及中間結構)的示意性說明。因而,預期由於(例 如)製造技術及/或容差而存在的該等說明之形狀的變化。 因此,根才康本發明概念之實例實施例不應被解釋為限於本 文中所說明之特定區域形狀,而應包括由於(例如)製造引 起之形狀偏差。舉例而言,說明為矩形之植入區域通常將 具有修圓或變曲之特徵及/或在其邊緣處之植入濃度的梯 度而非自植入區域至非植入區域之二元改變。同樣,藉由 植入形成之内埋區域可導致在内埋區域與藉以進行植入之 表面之間的區域中的-些植入。因此,諸圖中所說明之區 域本質上為示意性的且該等區域之形狀並不意欲說明裝置 之區域之實際形狀且並不意欲限制本發明概念之範疇。 除非另外定義’否則本文中所使用之所有術語(包括技 術及科學術語)具有與一般熟習本發明概念所屬之技術者 通常所理解之意義相同的意義。應進一步理解,應將術語 (諸如,常用辭典中所定義之彼等術語)解譯為具有與其在 相關技術之情況下之意義一致的意義,且除非在本中如 此明確地定義,否則將並不以理想化或過度正式音義來進 行解譯》 &amp; 在下文巾,將描述根據依照本發明概念之實例實施例的 相變化材料層,相變化結構及形成相變化材料層之方法。 153259.doc 201135999 根據依照本發明概念之實例實施例,可利㈣位回流機 制來獲得相變化層。關於原位回流機制,一薄膜或一層中 所包^之成份可在該薄膜或該層之表面處積極地擴散,而 且該薄膜及該層之表面能可根據增加用於在諸如基板之物 件上形成該薄膜或該層的製程溫度而減小。換言之,當利 用原位回流機制來獲得該薄模或該層時,薄膜或層甲之成 份的表面擴散可增加,且用於減小薄膜或層之表面能的驅 動力可藉由減小薄膜或層之表面積而發生。經由原位回流 機制而獲得之相變化材料層可提供所要之步階覆蓋或間隙 填充特性,以使得即使微細結構具有相對較大之深度及相 對較小之寬度(亦即’高縱橫比),相變化材料層亦可有效 地填充三維微細結構(亦即,高縱橫比結構),同時減少相 變化層中之缺心。亦即,當使用原位回流機制來形成相變 化材料層時’相變化材料層可完全填充各種微細結構(諸 如’微細接觸孔、微細介層孔、微細開口或微細渠溝)而 不在相變化材料層中產生空隙、接縫或突出部分。 在根據本發明概念之一些實施例中,術語「微細」指代 具有高縱橫比之結構,其中(例如)結構之深度比結構之開 口寬度大得多。舉例而言,高縱橫比結構可為具有約咖 A之深度、約700 A之開口寬度及約300八之結構底部寬度 的結構。因此,可相比於深度相對於結構之開口寬度或= 對於結構之底部寬度來定義此等結構之縱橫比。^例而 言,在根據本發明概念之一些實施例中,縱橫比可為約 口(針對相對於開口而定義之縱橫比)或約4()(針對相對於 153259.doc 201135999 底部而定義之縱橫比)。 在根據本發明概念之實例實施例中,相變化材料層可包 括一相變化材料,該相變化材料包括至少兩種XIV族元 素、XV族元素及XVI族元素。舉例而言,相變化材料層可 包括含有XIV族、XV族及XVI族元素之二元化合物;含有 XIV族、XV族及XVI族元素之三元化合物;含有XIV族、 XV族及XVI族元素之四元化合物;含有XIV族、XV族及 XVI族元素之五元化合物等等。XIV族元素之實例可包括 鍺(Ge)、矽(Si)、錫(Sn)、鉛(Pb)等。XV族元素之實例可 包括砷(As)、銻(Sb)、鉍(Bi)等。XVI族元素之實例可包括 硫(S)、石西(Se)、碌(Te)等。 根據依照本發明概念之實例實施例,相變化材料可包括 硫族化物化合物。舉例而言,相變化材料可包括含有以下 各者之二元化合物:含砷-硫(As-S)化合物、含銻-鍺(Sb-Ge)化合物、含銻-硒(Sb-Se)化合物、含銻-碲(Sb-Te)化合 物等。在根據本發明概念之一些實例實施例中,相變化材 料可包括含有以下各者之三元化合物:含鍺-銻-硒(Ge-Sb-Se)化合物、含鍺-録-碲(Ge-Sb-Te)化合物等。此處,含石申 面之化合物可稱作基於硫之硫族化物化合物。含鍺-録-石西 之化合物及含銻-硒之化合物可稱作基於硒之硫族化物化 合物。另外,含銻-碲之化合物及含鍺-銻-碲之化合物可稱 作基於碲之硫族化物化合物。 在根據本發明概念之一些實例實施例中,相變化材料可 包括非硫族化物化合物。舉例而言,相變化材料可包括含 153259.doc 201135999 錯-綈(Ge-Sb)之化合物。 在根據本發明概念之一些實例貫施例中,相變化材料可 包括具有摻雜物之硫族化物化合物,或具有摻雜物之非硫 族化物化合物。舉例而言,相變化材料可包括上文所描述 之含有摻雜物之硫族化物化合物’或上文所提之含有換雜 物之非硫族化物化合物。相變化材料中之摻雜物之實例可 包括銦(In)、錫(Sn)、秘(Bi)、碳(c)、氮(叫、氧(〇)、硼 (B)、矽(Si)、鍺(Ge)、鋁(八丨)等。此等摻雜物可單獨使用 或可組合使用。當相變化材料層另外包括摻雜物時,相變 化材料層可具有增加之結晶溫度。因此,在用於製造包括 相變化材料層之相變化記憶體裝置的製程中,相變化材料 層可能不容易結晶。相變化材料層可包括基於相變化材料 層之總重量的約5重量百分比至約30重量百分比之摻雜 物。此處,相變化材料層可具有高於約200t之結晶溫 度。因此,在製造具有相變化材料層之相變化記隐體裝置 的製程中,相變化材料層之劣化可得以有效地降低。 根據依照本發明概念之實例實施例,可藉由物理氣相沈 積(PVD)製程來獲得相變化材料層。舉例而言,可使用包 括相變化材料之成份的源目標藉由濺鍍製程來形成相變化 材料層。 圖1至圖3為說明根據本發明概念之實例實施例的形成— 相變化層之方法的橫截面圖。 參看圖1,在物件1〇上形成絕緣結構b。物件可包括 導體基板'具有半導體層之基板、絕緣基板、金屬氧化 J53259.doc 201135999 物基板等等。舉例而言,物件10可包括矽(Si)基板、錯 (Ge)基板、絕緣體上矽(SOI)基板、絕緣體上鍺(GOI)基 板、玻璃基板、塑膠基板、氧化鋁(AlOx)基板、氧化鈦 (TiOx)基板等等。此等基板可單獨使用或可組合使用。物 件10可另外包括提供於一基板上之各種元件,諸如導電圖 案、電極、襯墊、接點、接觸區域、絕緣圖案等。 絕緣結構15可包括氧化物、氮化物及/或氮氧化物。舉 例而言’絕緣結構15可包括氧化矽(SiOx)、氮化矽(SiNx) 及/或氮氧化砍(SiOxNy)。絕緣結構15中之氧化物的實例 可包括硼鱗梦酸鹽玻璃(BPSG)、填矽酸鹽玻璃(PSG)、未 經摻雜矽酸鹽玻璃(USG)、旋塗式玻璃(SOG)、可流動氧 化物(FOX)、正矽酸四乙酯(TE0S)、電漿增強正矽酸四乙 醋(PE_TEOS)、高密度電漿化學氣相沈積(HDP-CVD)氧化 物等。此等氧化物可單獨使用或可混合使用。 在根據本發明概念之實例實施例中,絕緣結構丨5可具有 單層結構或多層結構。舉例而言,絕緣結構丨5可包括氧化 物層、氮化物層及氮氧化物層中之至少一者。 在一些實例實施例中’絕緣結構15可具有一藉由平坦化 製程而獲得之水平面(level surface)。舉例而言,可藉由化 學機械拋光(CMP)製程、回蝕製程等來使絕緣結構丨5之上 部部分平坦化。 根據依照本發明概念之實例實施例,可在物件丨〇上提供 下部結構。該下部結構可包括導電區域、導電圖案、絕 、、象圖案、切換裝置等。舉例而言,下部結構之導電區域可 J53259.doc 12 201135999 包括雜質區域、擴散區域等。下部結構之切換裝置可包括 二極體、電晶體等。當在物件10上提供下部結構時,可在 物件10上形成絕緣結構15以足夠地覆蓋下部結構。 蚀刻絕緣結構15以形成使物件1〇部分地曝露之一微細結 構20。微細結構20可包括微細接觸孔、微細介層孔、微細 開口、微細渠溝等。微細結構20可使物件1 〇及/或下部結 構之至少一部分曝露。舉例而言,微細結構2 〇可使導電區 域、導電圖案、切換裝置等之至少一部分曝露。 可藉由部分地钱刻絕緣層15而穿過絕緣結構1 5形成微細 結構20。舉例而言,可藉由光微影製程來形成微細結構 200。在根據本發明概念之一些實例實施例令,可在絕緣 結構15上提供一諸如硬式遮罩之額外遮罩,且可使用諸如 蝕刻遮罩之額外遮罩來蝕刻絕緣結構丨5,以藉此穿過絕緣 結構15形成微細結構2 0。此處,可使用具有相對於絕緣結 構1 5之钮刻選擇性的材料來形成該額外遮罩。舉例而言, 該額外遮罩可包括氮化物、氮氧化物及/或有機材料。 在根據本發明概念之實例實施例中,微細結構2〇可具有 實質上小於微細結構20之上部寬度之下部寬度。亦即,微 細結構20可具有一相對於物件10傾斜一預定角度之側壁, 以使得微細結構20之上部寬度可實質上大於微細結構2〇之 下部寬度。或者,微細結構20可具有一實質上垂直於物件 10之側壁。亦即,微細結構20可具有一實質上相同於或實 質上類似於微細結構2〇之上部寬度的下部寬度。 參看圖2 ’在絕緣結構15上形成相變化材料層25以填滿 153259.doc •13· 201135999 微細結構20。可使用上文所描述之相變化材料來形成相變 化材料層25 ’該相變化材料包括含有XIV族、XV族及XVI 族元素之二元化合物;含有XIV族、XV族及XVI族元素之 三元化合物;含有XIV族、XV族及XVI族元素之四元化合 物;含有XIV族、XV族及XVI族元素之五元化合物等等。 在根據本發明概念之實例實施例中,可使用包括硫族化物 化合物或非硫族化物化合物之相變化材料來形成相變化材 料層2 5。在根據本發明概念之一些實例實施例中,可使用 包括含有摻雜物之硫族化物化合物或含有摻雜物之非硫族 化物化合物的相變化材料來形成相變化材料層25。 根據本發明概念之實例實施例,可藉 之原位回流機制的物理氣相沈積(PVD)製程來獲得相變化 材料層25 ^舉例而言,可藉由在高於相變化材料之熔點溫 度之約60。/。的相對較高溫度下執行的濺鍍製程來獲得相變 化材料層25。因此,相變化材料層25可充分地填滿微細結 構20而不在相變化材料層25中產生諸如空隙或接縫之缺 陷。當藉由採用原位回流機制來形成相變化材料層乃時, 相變化材料層25之表面中的成份可更加擴散,且二變化材 料層25之表面能可減小。因&amp; ’相變化材料層25可以實質 上半球形形狀、實質上圓頂形形狀、實質上橢圓形形狀等 之形式突出於絕緣結構15之上,同時減少鄰近微 2〇 之上部部分的相變化材料層25之突出部分。亦 材料層25之上部部分可具有實質上半球形形狀 : 頂形形狀、實質上橢圓形形狀等等。可藉由增加製程” 153259.doc 201135999 藉由減小在用於形成相變化材料層2 5之濺鑛製程中的所 施加功率來獲得具有上述結構的相變化材料層25。 田藉由PVD製程來形成一相變化材料層(圖案)時,該相 變化材料層(圖案)可能大體上不具有實質上優於藉由化學 氣相沈積(CVD)製程而獲得之相變化材料層(圖幻的步階 覆蓋的步階覆蓋。因此,藉由PVD製程而獲得之相變化材 料層(圖幻可能不會··完全填充諸如微細介層孔、微細接 觸孔、微細開口或微細渠溝之三維微細結構而不在相變化 材料層(圖案)中產生空隙或接縫。然而,藉由PVD製程而 形成之相變化材料層(圖案)可具有實質上優於藉由cvd製 程而形成之相變化材料層(圖案)的密度及純度的密度及純 度。在CVD製程中,相變化材料之成份可發生化學反應以 形成相變化材料層(圖案),而在pvD製程中,相變化材料 之成份可與源目標直接分離以形成相變化材料層(圖案)。 因此,經由PVD製程,相變化材料層(圖案)可具有極佳之 純度及良好之密度。因⑶,相比於藉由CVD製程而獲得之 相變化材料層(圖案),藉由PVD製程而獲得之相變化材料 層(圖案)可容易由所施加電流而引起相轉變,而且藉由 PVD製程而形成之相變化材料層(圖案)可持久地維持在其 中所產生之相轉變。根據本發明概念之實例實施例,當藉 由利用原纟回流機制之PVD製程來形成相變化材料層Μ 時,相變化材料層25可確保高密度及純度,且可完全填充 微細結構20而無諸如空隙、接縫或突出部分之任何缺陷。 在根據本發明概念之實例實施例中,可藉由經由錢鍵製 153259.doc •15- 201135999 程足夠地填充微細結構2 0來在絕緣結構丨5上形成相變化材 料層25。關於用於形成相變化材料層25之濺鍍製程,可將 至少一源目標及物件1 〇裝載至一腔室中。該至少一源目標 可包括相變化材料層25中所包括之相變化材料之成份。可 將源目標在腔室申安置為實質上面對物件〗〇。具有絕緣結 構15之物件1 〇可置於一支撐部件(例如,靜電夾盤)上。腔 室可具有實質上類似於真空壓力之極低基準壓力。舉例而 言,腔室可具有約10·8托之基準壓力。可將濺鍍氣體引入 腔室中。濺鍍氣體可包括惰性氣體,諸如氬(Ar)氣 '氦 (He)氣等。當將濺鑛氣體提供至腔室中時,腔室之壓力可 變化。當以電方式對該至少一源目標施加偏壓時,包括惰 性氣體之濺鍍氣體可具有電漿狀態。濺鍍氣體之具有正 (+)電荷之離子可到達具有負㈠電荷之經偏壓源目標。因 此’可將源目標中之成份朝向具有微細結構20之物件1〇濺 鑛,以在物件10及絕緣結構1 5上形成相變化材料層25。 在用於在絕緣結構15上形成相變化材料層25之濺鍍製程 中,藉由控制相變化材料中之晶粒的生長,相變化材料層 2 5可具有增加之結晶溫度。舉例而言,可改變包括該等成 份之源目標之組合物或可將摻雜物添加至源目標或相變化 材料層25中’以獲得具有高於約200X:之結晶溫度的相變 化材料層。 在根據本發明概念之實例實施例中,可調整硫族化物化 合物或非硫族化物化合物中之成份的含量以控制相變化材 料層25之結晶溫度。 153259.doc -16- 201135999 在根據本發明概念之一些實例實施例中,在用於形成相 變化材料層25之濺鍍製程中,可將摻雜物直接添加至源目 標中或可將包括摻雜物之氣體提供至腔室中,以藉此控制 相變化材料層25之結晶溫度。 在根據本發明概念之一些實例實施例中,在形成相變化 材料層25時,藉由使用包括相變化材料之成份與摻雜物的 源目標且藉由提供包括摻雜物之氣體,相變化材料層25可 具有提高之結晶溫度。 如上文所描述,可藉由調整相變化材料層25之結晶溫度 來控制相變化材料層25之相變化材料中之晶粒的生長。因 此,相變化材料層25可有效地填充微細結構2〇而不會在鄰 近於微細結構20之上部部分的相變化材料層25之一部分處 產生突出部分。相變化材料層25之相變化材料中的晶粒可 具有實質上小於或實質上類似於微細結構2〇之寬度的寬 度。舉例而言,相變化材料層25之相變化材料中的晶粒可 具有低於約30奈米之大小。 在根據本發明概念之實例實施例中,可藉由在高於相變 化材料之熔點溫度之60%的製程溫度下執行之pVD製程來 獲得相變化材料層25»舉例而t ’可在具有微細結構2〇之 絕緣結構15上形成相變化材料層25,同時維持製程溫度高 於硫族化物化合物或非硫族化物化合物之熔點溫度之 6〇%。在根據本發明概念之一些實例實施例中,用於形成 相變化材料層25之PVD製程的製程溫度可在相變化材料之 熔點溫度之約60%至約顧的範圍中。根據依照本發明概 I53259.doc 17 201135999 念之實例實施例的PVD製程之製程溫度可大於習知pVD製 程之製程溫度’以使得藉由增加相變化材料中之成份的表 面擴散及藉由減小相變化材料之表面能,相變化材料層25 可具有增強之步階覆蓋或間隙填充特性。 在根據本發明概念之實例實施例的濺鍍製程中,在形成 相變化材料層25時’可藉由自源目標所產生之熱量來控制 物件1 0之溫度。或者’可藉由控制物件丨〇所定位於之支撐 部件之溫度來調整物件1 〇的溫度。在根據本發明概念之一 些實例實施例中’可提供一額外加熱部件以控制腔室中之 製%溫度’以使得可在高於相變化材料之溶點溫度之約 60%的製程溫度下形成相變化材料層25。 根據本發明概念之實例實施例,當在高於相變化材料之 溶點溫度之約60%的相對較高溫度下在微細結構2〇之底部 及側壁上形成相變化材料層25時’藉由相變化材料層中之 成份的增加之表面擴散及藉由相變化材料的減小之表面 月b ’相變化材料層25可完全填充微細結構2〇而無空隙、接 縫或突出部分。此處,相變化材料層25之上部部分可以實 邊上圓頂形形狀、實質上圓半球形形狀、實質上橢圓半球 形形狀等之形式突出於絕緣結構15之上。 在根據本發明概念之實例實施例中,可藉由約1 A/秒至 約5〇 A/秒之沈積速率在物件1〇及絕緣結構15上沈積相變 化材料。舉例而言’可藉由低於約5 A/秒之沈積速率形成 相變化材料層25。當藉由相對較高之沈積速率形成相變化 材料層25時’相變化材料中之成份可能不會在相變化材料 153259.doc •18· 201135999 層25之表面處足夠地擴散,以致可能在微細結構2〇中的相 變化材料層25之一部分處產生突出部分。在藉由低於約5 A/秒之沈積速率獲得相變化材料層25之狀況下,可足夠地 產生相變化材料中之成份的表面擴散,從而使得可在微細 結構2 0之底部處順序地沈積相變化材料。因此,相變化材 料層25可完全填充微細結構20而無由鄰近於微細結構2〇之 上部部分的相變化材料之突出部分引起的空隙或接縫。 在根據本發明概念之貫例實施例中,可藉由在濺鐘製程 中向源目標施加約0.1 W/cm2至約5 W/cm2之功率來獲得相 變化材料層25。當所施加功率低於約〇· i w/cm2時,相變 化材料層25可具有不合需要之低沈積速率,或可能不會在 腔室令產生用於形成相變化材料層25之電漿。在所施加功 率高於約5 W/cm2之狀況下,可能不足以引起相變化材料 中之成份的表面擴散。根據本發明概念之實例實施例,因 為可在低於習知濺鑛製程之功率位準的功率位準下獲得相 變化材料層2 5,所以相變化材料層2 5可由於相變化材料中 之成份的足夠表面擴散而有效地填充微細結構2〇而無空 隙、接縫或突出部分。 在根據本發明概念之實例實施例十,可在約〇 〇5毫托至 約1〇毫托之相對較低製程壓力下形成相變化材料層25。當 腔至具有低於約0_05毫托之製程功率時,可能不足以產生 用於形成相變化材料層25之電漿,或所產生之電漿在腔室 中可能不穩定。當腔室具有高於約10毫托之製程功率時, 相變化材料之成份可能不會自源目標一直朝向物件1〇行 153259.doc •19- 201135999 進。因此,微細結構20中之相變化材料層25可引起缺陷。 在根據本發明概念之實例實施例中,在形成相變化材料 層25時’源目標可與物件10間隔開約50 mm至約5〇〇 mm之 距離。當物件1〇與源目標之間的距離低於約50 mm時,相 變化材料之成份可能不會一直朝向物件1 〇行進,藉此引起 在微細結構20中之相變化材料層25的缺陷》在物件1〇與源 目標之間的距離高於約500 mm之狀況下,可能不會適當地 產生用於形成相變化材料層25之電漿,或所產生之電毁在 腔室中可能不穩定。 在根據本發明概念之一些實例實施例中,可在腔室之橫 向部分處安置一磁控管’以使得相變化材料之成份可容易 自源目標一直朝向物件1 〇行進。因此,可在物件丨〇及絕緣 結構15上均勻地形成相變化材料層25。 參看圖3,部分地移除相變化材料層25直至使絕緣結構 15曝露為止。亦即,可移除在絕緣結構15上之相變化材料 層25之上部部分。因此,在微細結構2〇中提供包括相變化 材料層圖案30之一相變化結構。舉例而言,可藉由CMp製 程、回蝕製程等來獲得相變化材料層圖案3〇 ^在根據本發 明概念之一些實例實施例中,除了相變化材料層圖案川之 外,相變化結構亦可包括一額外材料層圖案(諸如,潤濕 層圖案及/或晶種層圖案)。 當一相變化記憶體裝置包括該相變化結構時,該相變化 記憶體裝置可確保在相變化記憶體裝置之設定狀態與重設 狀態之間的改良之電阻邊限。 153259.doc -20· 201135999 在下文中’將描述根據[實例]及[比較實例]之形成相變 化材料層之方法。 實例1 在一物件上形成具有直線形狀之一渠溝。該渠溝具有約 1200 A之深度。該渠溝亦具有約300 A之下部寬度及約700 A之上部寬度。使用包括氮、鍺(Ge)、銻(Sb)及碲(Te) 之一源目標形成填充該渠溝之相變化材料層。該源目標包 括約5重量百分比至約30重量百分比之氮、約15重量百分 比至約30重量百分比之鍺、約15重量百分比至約3〇重量百 分比之銻。另外’源目標包括約45重量百分比至約65重量 百分比之碲。 在約600°C (源目標之熔點溫度)之約6〇%的溫度下獲得相 變化材料層。在形成相變化材料層時,向源目標施加約 100 W至約5 KW之偏壓功率。用於形成相變化材料層之腔 至具有約0_05毫托至約1 〇毫托之製程壓力。相變化材料層 70王填充遠直線形狀之渠溝而無諸如空隙、接縫 '突出部 分等之任何缺陷。 實例2 在一物件上形成具有直線形狀之一渠溝。該渠溝具有約 1200 A之深度、約300 A之下部寬度及約700人之上部寬 度。在濺鍍製程中使用包括氧(0)、鍺、銻及碲之一源目 標形成填充該渠溝之相變化材料層。該源目標包括約5重 菫百分比至約30重量百分比之氧、約15重量百分比至約3〇 重S百分比之鍺、約1 5重量百分比至約3〇重量百分比之 153259.doc •21 · 201135999 娣。另外,源目私包括約45重量百分比至約65重量百分比 之蹄。 在約610°C (源目標之熔點溫度)之約6〇%的溫度下獲得相 變化材料層。在形成相變化材料層時,在濺鍍製程中向源 目標施加約100 W至約5 KW之偏壓功率。用於形成相變化 材料層之腔室具有約0.05毫托至約1 〇毫托之製程壓力。相 變化材料層填滿該渠溝而無諸如空隙、接縫、突出部分等 之任何缺陷。 實例3 在一物件上形成具有直線形狀之一渠溝。該渠溝具有約 1200 A之深度、約300 A之下部寬度及約700 A之上部寬 度。在濺鍍製程中使用包括硼(B)、鍺、銻及碲之一源目 標形成填充該渠溝之相變化材料層。該源目標包括約5重 量百分比至約30重量百分比之硼及約15重量百分比至約3〇 重量百分比之鍺。另外,該源目標包括約丨5重量百分比至 約30重置百分比之錄及約45重量百分比至約65重量百分比 之蹄。 在約590°C (源目標之熔點溫度)之約60%的溫度下獲得相 變化材料層。在形成相變化材料層時,向源目標施加約 1 00 W至約5 KW之偏壓功率。用於形成相變化材料層之腔 室具有約0.05毫托至約1 〇毫托之製程壓力。相變化材料層 填充該直線形狀之渠溝而無諸如空隙、接縫、突出部分等 之任何缺陷。 實例4 153259.doc •22· 201135999 在一物件上形成具有直線形狀之一渠溝。該渠溝具有約 1200 A之深度、約300 A之下部寬度及約700 A之上部寬 度。在濺鍍製程中使用包括矽(Si)、鍺.、銻及碲之一源目 標形成填充該渠溝之相變化材料層。該源目標包括約5重 量百分比至約3 0重量百分比之矽、約15重量百分比至約3 0 重量百分比之鍺、約15重量百分比至約3〇重量百分比之 銻。另外’源目標包括約45重量百分比至約65重量百分比 之蹄。 在約620°C (源目標之熔點溫度)之約60%的溫度下獲得相 變化材料層。在形成相變化材料層時,向源目標施加約 100 W至約5 KW之偏壓功率。用於形成相變化材料層之腔 室具有約0.05毫托至約1 〇毫托之製程壓力。相變化材料層 填充該直線形狀之渠溝而無諸如空隙、接縫、突出部分等 之任何缺陷。 實例5 在一物件上形成具有直線形狀之一渠溝,該渠溝具有約 1200 A之深度、約300 A之下部寬度及約700人之上部寬 度。在藏鐘製程中使用包括鐵(Fe)、鍺(Ge)、銻(sb)及碲 (Te)之一源目標形成填充該渠溝之相變化材料層。該源目 標包括約5重量百分比至約3 0重量百分比之鐵及約〖5重量 百分比至約30重量百分比之鍺,另外,該源目標包括約15 重量百分比至約30重量百分比之銻及約45重量百分比至約 65重量百分比之碲。 在約610°C (源目標之熔點溫度)之約6〇%的溫度下藉由濺 153259.doc •23· 201135999 錄製程獲得相變化材料層。在形成相變化材料層時,向源 目標施加約100 W至約5 KW之偏壓功率。用於形成相變化 材料層之腔室具有約〇_〇5毫托至約1〇毫托之製程壓力。相 變化材料層填充該直線形狀之渠溝而無諸如空隙、接縫、 突出部分等之任何缺陷。 實例6 在一物件上形成具有直線形狀之一渠溝.該渠溝具有約 1200 A之深度、約300 A之下部寬度及約700 A之上部寬 度。使用包括碳(C)、鍺、銻及碲之一源目標形成填充該 渠溝之相變化材料層。該源目標包括約5重量百分比至約 30重量百分比之碳、約15重量百分比至約3〇重量百分比之 鍺、約1 5重量百分比至約3 0重量百分比之銻《另外,源目 標包括約45重量百分比至約65重量百分比之碲。 在約605°C (源目標之熔點溫度)之約60%的溫度下獲得相 變化材料層。在形成相變化材料層時,在濺鍍製程中向源 目標施加約100 W至約5 KW之偏壓功率。用於形成相變化 材料層之腔室具有約0.05毫托至約1〇毫托之製程壓力。相 變化材料層完全填充該渠溝而無諸如空隙、接縫、突出部 分等之任何缺陷。 實例7 在一物件上形成具有直線形狀之一渠溝。該渠溝具有約 1200 A之深度、約300 A之下部寬度及約700 A之上部寬 度。使用包括铭(A1)、鍺、銻及碲之一源目標形成填充該 渠溝之相變化材料層。該源目標包括約5重量百分比至約 I53259.doc •24· 201135999 3〇重量苜分比之鋁及約15重量百分比至約3〇重量百分比之 鍺。另外,該源目標包括約15重量百分比至約3〇重量百分 比之銻及約45重量百分比至約65重量百分比之碲。 在約615°C (源目標之熔點溫度)之約65%的溫度下獲得相 變化材料層。在形成相變化材料層時,在濺鍍製程中向源 目標施加約100 W至約5 KW之偏壓功率。用於形成相變化 材料層之腔室具有約〇.〇5毫托至約1〇毫托之製程壓力。相 變化材料層充分地填充該渠溝而無諸如空隙、接縫、突出 部分等之任何缺陷。 實例8 在一物件上形成具有直線形狀之一渠溝。該渠溝具有約 1200 A之深度、約300 A之下部寬度及約7〇〇人之上部寬 度。使用包括鉍(Bi)、鍺、銻及碲之一源目標形成填充該 渠溝之相變化材料層《該源目標包括約5重量百分比至約 30重量百分比之鉍及約15重量百分比至約3〇重量百分比之 鍺。另外,該源目標包括約15重量百分比至約3〇重量百分 比之銻及約45重量百分比至約65重量百分比之碲。 在約585 C (源目標之熔點溫度)之約6〇%的溫度下獲得相 變化材料層。在形成相變化材料層時,在濺鍍製程中向源 目標施加約100 W至約5 KW之偏壓功率。用於形成相變化 材料層之腔室具有約0.05毫托至約丨〇毫托之製程壓力。相 變化材料層充分地填充該直線形狀之渠溝而無諸如空隙、 接縫、突出部分專之任何缺陷。 實例9 153259.doc •25· 201135999 在一物件上形成具有直線形狀之一渠溝。該渠溝具有約 1200 A之深度、約300 A之下部寬度及約700 A之上部寬 度。在濺鑛製程中使用包括銦(In)、鍺、録及碎之一源目 標形成填充該渠溝之相變化材料層。該源目標包括約5重 量百分比至約30重量百分比之銦、約15重量百分比至約3〇 重量百分比之鍺、約15重量百分比至約3〇重量百分比之 銻。另外,源目標包括約45重量百分比至約65重量百分比 之碑0 在約580°C (源目標之熔點溫度)之約6〇%的溫度下獲得相 變化材料層。在形成相變化材料層時,在濺鍍製程中向源 目標施加約100 W至約5 KW之偏壓功率。用於形成相變化 材料層之腔室具有約0·05毫托至約丨〇毫托之製程壓力。相 變化材料層完全填充該渠溝而無諸如空隙、接縫、突出部 分等之任何缺陷。 比較實例1 在一物件上形成具有直線形狀之一渠溝。該渠溝具有約 1200 Α之深度。該渠溝亦具有約3〇〇人之下部寬度及約7〇〇 A之上部寬度。在濺鍍製程中使用包括銦(ln)、鍺、銻及 蹄之-源目標在該渠溝上形成相變化材料層。該源目標包 括約5重罝百分比至約30重量百分比之銦、約15重量百分 比至約30重量百分比之鍺、約15重量百分比至約3〇重量百 分比之銻。另外’源'目標包括約45重量百分比至約“重量 百分比之蹄。 在約580°C (源目標之炼點溫度)之約55%的溫度下獲得相 153259.doc -26 - 201135999 變化材料層。在形成相變化材料層時,在義製程中向源 目標施加約1G0 W至約5 KW之偏壓功率。用於形成相變化 材料層之腔室具有約〇.〇5毫托至約1〇毫托之製程壓力。相 變化材料層在該渠溝之上部部分處具有一突出部分,而且 在定位於該渠溝中之相變化材料層中產生諸如空隙之缺 陷。 比較實例2 在一物件上形成具有直線形狀之一渠溝。該渠溝具有約 1200 A之深度、約300 A之下部寬度及約700 A之上部寬 度。使用包括侧、錯、録及碑之一源目標形成填充該渠溝 之相變化材料層。該源目標包括約5重量百分比至約30重 量百分比之硼、約15重量百分比至約30重量百分比之鍺、 約15重量百分比至約30重量百分比之銻。另外,源目標包 括約45重量百分比至約65重量百分比之碲。 在約590°C (源目標之熔點溫度)之約55%的溫度下獲得相 變化材料層。在藉由濺鍍製程形成相變化材料層時,向源 目標施加約100 W至約5 KW之偏壓功率。用於形成相變化 材料層之腔室具有約0·05毫托至約1 〇毫托之製程壓力。相 變化材料層具有缺陷,諸如在該渠溝之上部部分處的突出 部分及在該渠溝中之空隙。 比較實例3 在一物件上形成具有直線形狀之一渠溝。該渠溝具有約 1200 Α之深度 '約300 Α之下部寬度及約70〇 Α之上部寬 度。在濺鍍製程中使用包括氮、鍺、銻及碲之一源目標形 153259.doc •27· 201135999 成填充該渠溝之相變化材料層。該源目標包括約5重量百 分比至約30重量百分比之氮、約15重量百分比至約3〇重量 百分比之鍺、約15重量百分比至約3〇重量百分比之銻。另 外,源目標包括約45重量百分比至約65重量百分比之碲。 在約600°C (源目標之熔點溫度)之約55%的溫度下獲得相 變化材料層。在藉由濺鍍製程形成相變化材料層時,向源 目標施加約100 W至約5 KW之偏壓功率。用於形成相變化 材料層之腔室具有約0.05毫托至約1〇毫托之製程壓力。相 變化材料層具有缺陷,諸如在該渠溝之上部部分處的突出 部分及在該渠溝中之空隙。 比較實例4 在一物件上形成具有直線形狀之一渠溝。該渠溝具有約 1200 A之深度、約300 A之下部寬度及約7〇〇人之上部寬 度》在濺鐘製程中使用包括氧、鍺、銻及碲之一源目標形 成填充该渠溝之相變化材料層。該源目標包括約5重量百 分比至約30重量百分比之氧、約丨5重量百分比至約3〇重量 百分比之鍺、約15重量百分比至約3〇重量百分比之銻。另 外,源目標包括約45重量百分比至約65重量百分比之碲。 在約610°C (源目標之熔點溫度)之約55%的溫度下獲得相 變化材料層。在藉由濺鍍製程形成相變化材料層時,向源 目標施加約100 W至約5 KW之偏壓功率。用於形成相變化 材料層之腔室具有約0.05毫托至約1〇毫托之製程壓力。相 變化材料層具有缺陷’諸如在該渠溝之上部部分處的突出 部分及在該渠溝中之空隙。 153259.doc •28· 201135999 比較實例5 在一物件上形成具有直線形狀之一渠溝。該渠溝具有約 1200 A之深度、約300 A之下部寬度及約7〇〇 A之上部寬 度。在濺鍍製程中使用包括矽、鍺、銻及碲之一源目標形 成填充該渠溝之相變化材料層。該源目標包括約5重量百 分比至約3 0重量百分比之石夕及約15重量百分比至約3 〇重量 百分比之鍺。另外,該源目標包括約丨5重量百分比至約3〇 重里百分比之録及約45重量百分比至約65重量百分比之 締。 在約620°C (源目標之熔點溫度)之約55%的溫度下獲得相 變化材料層。在藉由濺鍍製程形成相變化材料層時,向源 目標施加約100 W至約5 KW之偏壓功率。用於形成相變化 材料層之腔室具有約0.05毫托至約1 〇毫托之製程塵力。相 變化材料層具有缺陷’諸如在該渠溝之上部部分處的突出 部分及在該渠溝中之空隙。 比較實例6 在一物件上形成具有直線形狀之一渠溝。該渠溝具有約 1200 A之深度、約300 A之下部寬度及約70〇 A之上部寬 度。使用包括碳、錯、録及碲之一源目標形成填充該渠溝 之相變化材料層。該源目標包括約5重量百分比至約3〇重 量百分比之碳、約15重量百分比至約30重量百分比之錯、 約1 5重量百分比至約3 0重量百分比之録。另外,源目標包 括約4 5重量百分比至約6 5重量百分比之碲·。 在約6 0 5 C (源目標之溶點溫度)之約5 5 %的溫度下獲得相 153259.doc -29- 201135999 變化材料層。在藉由濺鍍製程形成相變化材料層時,向源 目標施加約100 W至約5 KW之偏壓功率。用於形成相變= 材料層之腔室具有約0.05毫托至約1〇毫托之製程壓力。相 變化材料層具有缺陷’諸如在該渠溝之上部部分處的突出 部分及在該渠溝中之空隙。 比較實例7 在一物件上形成具有直線形狀之一渠溝。該渠溝具有約 1200 A之深度、約300 A之下部寬度及約7〇〇人之上部寬 度。在濺鍍製程中使用包括鐵、鍺、銻及碲之一源目標形 成填充該渠溝之相變化材料層。該源目標包括約5重量百 分比至約30重量百分比之鐵及約15重量百分比至約3〇重量 百分比之鍺。另外’該源目標包括約丨5重量百分比至約3 〇 重量百分比之銻及約45重量百分比至約65重量百分比之 蹄。 在約610°C (源目標之炫點溫度)之約55%的溫度下獲得相 變化材料層。在藉由濺鍍製程形成相變化材料層時,向源 目標施加約10 0 W至約5 KW之偏壓功率。用於形成相變化 材料層之腔室具有約0 · 0 5毫托至約1 〇毫托之製程壓力。相 變化材料層具有缺陷’諸如在該渠溝之上部部分處的突出 部分及在該渠溝中所產生之空隙或接縫。 比較實例8 在一物件上形成具有直線形狀之一渠溝。該渠溝具有約 1200 A之深度、約300 A之下部寬度及約700 A之上部寬 度。在濺鍍製程中使用包括鋁、鍺、銻及碲之一源目標形 I53259.doc -30- 201135999 成填充該渠溝之相變化材料層。該源目標包括約5重量百 分比至約30重量百分比之鋁、約15重量百分比至約3〇重量 百分比之鍺、約15重量百分比至約3〇重量百分比之銻。另 外,源目標包括約45重量百分比至約65重量百分比之碲。 在約610°C (源目標之熔點溫度)之約55%的溫度下獲得相 變化材料層。在藉由濺鍍製程形成相變化材料層時,向源 目標施加約100 W至約5 KW之偏壓功率。用於形成相變化 材料層之腔室具有約0.05毫托至約10毫托之製程壓力。相 .憂化材料層具有缺陷,諸如在該渠溝之上部部分處的突出 部分及在該渠溝中之空隙。 根據比較貫例1至8,當在相對較低之製程溫度下形成相 變化材料層時,可容易地在相變化材料層中產生諸如空 隙、接縫及/或突出部分之缺陷。然而,當根據實例丨至8 在相對較高之溫度下獲得相變化材料層時,相變化材料層 可完全填充渠溝而不在相變化材料層中產生空隙、接縫及/ 或突出部分。 圖4至圖6為說明根據本發明概念之實例實施例的形成一 相變化層之方法的橫截面圖。 參看圖4,在於物件50上形成一絕緣結構55之後,部分 地刻絕緣結構55以穿過絕緣結構55提供一微細結構6〇。 微細結構60可使物件50之一部分曝露。 物件50可包括各種基板,諸如半導體基板、具有半導體 層之基板、絕緣基板、玻璃基板、金屬氧化物基板等。另 外,物件50可包括提供於各種基板上之各種結構,如導電 153259.doc •31· 201135999 圖案、電極、襯墊、接點、接觸區域等。 絕緣結構55可包括氧化物、氮化物及/或氮氧化物。舉 例而言’絕緣結構55可包括氧化石夕、氮化石夕、氣氧化石夕、 氮氧化欽等。此等物質可單獨使用或可組合使帛。絕緣結 構55可具有包括氧化物薄膜、氮化物薄膜或氮氧化物薄膜 之單層結構。或者’絕緣結構55可具有包括氧化物薄膜、 氮化物薄膜及/或氮氧化物薄膜之多層結構。 在根據本發明概念之實例實施财,微細結構6〇可包括 微細接觸孔、微細介層孔、微細開口、微細渠溝等。此 處’微細結構60可具有相對較高之縱橫比。微細結構6〇可 使物件50之至少一部分曝露。另外,微細結構⑼可使提供 於物件50上之接觸區域、導電圖案或切換裝置曝露。可使 用諸如硬式遮罩之額外遮罩藉由光微影製程或㈣製程來 獲得微細結構60。 現參看圖4,在絕緣結構55上形成第—相變化材料層^ 以部分地填滿微細結構6〇。第一相變化材料層“可定位於 物件50之曝露部分、微細結構6()之側壁及絕緣層μ上。可 使用第-相變化材料來形成第一相變化材料層…第一相 變化材料可包括硫族化物化合物 '非硫族化物化合物、包 ###&amp;之Μ化物化合物、包括摻雜物之非硫族化物化 合物等。 在根據本發明概念之實例 文所描述之原位回流機制的 化材料層65。在此狀況下, 實施例中’可藉由並不利用上 第一 PVD製裎來獲得第一相變 可在低於第一相變化材料之熔 153259.doc -32· 201135999 點溫度之約60%的相對較伋 他之第一溫度下執行用於形成第 -相變化材料層65之第一 PVD製程。舉例而言,可藉由在 相對較低之第-溫度下執行的第一騎製程在物件%及絕 緣結構55上形成第-相變化材料層65。第一相變化材料層 65可具有實質上相同於或實質上類似於微細結構⑽之深度 之約1/3的厚度。亦即,第—相變化材料層可部分地填 充微細結構60達基於曝露之物件5〇的微細結構⑼之深度之 約 1/3。 當藉由第-PVD製程形成第—相變化材料層㈣,可能 不會在第-相變化材料層65之表面處有力地出現第一相變 化材料層65令之成份。因此,絕緣結構55上之第-相變化 材料層65可具有修圓形狀,該修圓形狀之曲率半徑實質上 大於圓頂形形狀或半球形形狀之曲率半徑。當一相變化記 憶體裝置包括第一相變化材料層65時,相變化材料層“可 對應於-可程式化容積(PV)區域,此係因為第一相變化材 料層65可由於經由下部結構施加之f流而引起第一相變化 材料層65中之相轉變。亦即,當經由下部接點' 下部襯 墊、下部電極等向第-相變化材料層65施加電流時,第一 相變化材料層65中之第—相變化材料可容易由於該電流而 引起相轉變。 根據本發明概念之實例實施例,可藉由在相對較低之第 2度下在物件50及絕緣結構55上沈積第一相變化材料來 獲侍第-相變化材料層65,在該相對較低之第一溫度下, 第-相變化材料可能不會引起第一相變化材料令之:份的 153259.doc •33· 201135999 原位回流。在此狀況下’第一相變化材料層65可部分地填 滿微細結構60,以使得在微細結構60中之第一相變化材料 65中可能不會實質上出現諸如空隙或接縫之缺陷。 參看圖5,在第一相變化材料層65上形成一第二相變化 材料層70。第二相變化材料層70可完全填滿微細結構6〇。 可在相對較高之第二溫度下藉由利用上文所描述之原位回 流機制的第二P V D製程來獲得第二相變化材料層7 〇 ^在根 據本發明概念之實例實施例中,第二相變化材料層7〇可與 第一相變化材料層65整體地形成。可使用第二相變化材料 來形成第二相變化材料層70。第二相變化材料可包括硫族 化物化合物、非硫族化物化合物、具有摻雜物之硫族化物 化合物、具有摻雜物之非硫族化物化合物等。 在根據本發明概念之實例實施例中,第二相變化材料層 7〇中之第二相變化材料可具有實質上類似於第一相變化^ 料層65中所包括之第-相變化材料之組合物的組合物。在 此狀況下,^位於微細結構6G中的第二相變化材料層川之 -部分可具有略微不同於微細結構6〇中的第一相變化材料 層65之組合物的組合物。亦即,在微細結構中可能出現 第-相變化材料與第二相變化材料之間的組合物差異,此 係因為第-相變化材料層65及第二相變化材料層7〇分別係 在不同溫度下形成。因此,第二相變化材料層7〇中之至少 -成份可具有不同於第—相變化材#層65中之至少一成份 之含量的含量。在根據本發明概念之一些實例實二例中: 第二相變化材料層70中之銻(Sb)及/或碲㈣的含量可實質 153259.doc -34- 201135999 上大於第一相變化材料層65中之銻及/或碲的含量。因 此’微細結構60中之第二相變化材料可具有相對富足之含 量的銻及/或碲。 根據本發明概念之實例實施例,可在實質上大於第一溫 度之相對較高之第二溫度下執行第二PVD製程。舉例而 5 ’可藉由在高於第二相變化材料之熔點溫度之約6〇%的 第二溫度下執行之第二濺鍍製程來形成第二相變化材料層 7〇。第二相變化材料層70可具有高於微細結構60之深度之 約2/3的厚度。因此,第一相變化材料層65與第二相變化 材料70之間的厚度比率可在約13與約3〇之間的範圍中。 舉例而5,當第一相變化材料層65具有約4〇〇 A至約6〇〇人 之厚度時,第二相變化材料層7〇可具有約8〇() A至約 A之厚度。 π根據本發明概念之實例實施例使用原位回流機制來獲 =第二相變化材料層7叫,第二相變化材料中之成份可在 ::相變化材料層70之表面處有力地擴散,且第二相變化 料之表面此可減小。因此,在位於微細結 相變化材料層7〇中的 再Τ之第- 二隙或接縫可減少。另外,在鄰近於 从細結構60之上部側辟 办 土而形成的第二相變化材料層70中的 犬出口P分亦可減少。此外 卜,在絕緣結構55之上的第二相變 化材枓層7〇可具有眚 狀、質上+球形形狀、實質上圓頂形形 狀貫質上橢圓球形形壯榮 之上Μ八因此,第二相變化材料層7〇 上。P 口 P刀的曲率半徑可 之上部部分的曲率半徑。 小於第一相變化材料層65 153259.doc -35- 201135999 當一相變化記憶體裝置包括第二相變化材料層7〇及第— 相變化材料層65時,第二相變化材料層70可對應於一不可 程式化容積(NPV)區域,在該NPV區域中不會發生相轉 變。亦即,當自諸如下部接點、下部襯墊、下部電極等之 下部結構經由第一相變化材料層65施加電流時,第二相變 化材料層70可能不經受相轉變。然而,第一相變化材料層 65可能更容易回應於如上文所描述之所施加電流而經受相 轉變。因為相變化記憶體裝置包括對應MPV區域之第一相 變化材料層65及對應於NPV區域之第二相變化材料層7〇, 所以相變化記憶體裝置在相變化記憶體裝置之設定條件與 重設條件之間可具有增加之電阻邊限,而且相變化記憶體 裝置可由於第一相變化材料層65及第二相變化材料層7〇而 確保改良之資料保持特性。 在使用原位回流機制形成一相變化材料層以填滿一微細 結構之狀況下,該相變化材料層之組合物在微細結構令可 變化。舉例而言,當相變化材料包括銻及碲時,在微細結 構中的相變化材料層之一部分中的銻及碲之含量可實質上 大於位於微細結構外部的相變化材料層之其他部分中的銻 及碲之含量。若定位於微細結構中的相變化材料層之部分 包括相對較多之銻及碲,則相變化材料可能不確保適當之 資料保持特性,此係因為在微細結構中的相變化材料層之 部分處可能發生相變化材料之相轉變。根據本發明概念之 實例實鉍例’在相對較低之第一溫度下形成第一相變化材 料層65,在該相對較低之第—溫度下,第一相變化材料層 153259.doc •36· 201135999 中之成份可能不發生原位回流,從而使得第一相變化材料 層65可改良資料保持特性。另外,在相對較高之第二溫度 下使用原位回流機制獲得第二相變化材料層7〇,使得 相變化材料層可減少在微細結構中產生缺陷。因此,包括 . 第一相變化材料層65及第二相變化材料層7〇之相記憶體裝 . 置可提供增強之資料保持特性、改良之電阻邊限等。 在根據本發明概念之-些實例實施财,可原位形成第 一相變化材料層65及第二相變化材料層7〇〇亦即在於物 件50及絕緣結構55上形成第一相變化材料層65之後,可藉 由以下操作而接連地在第一相變化材料層65上形成第二相 變化材料層70 :將製程溫度自第一溫度增加至第二溫度, 同時維持腔室之製程壓力無真空中斷。舉例而言,可藉由 增加⑽製程之製程溫度而不改變源目標之組合物來^位 獲得第一相變化材料層65及第二相變化材料層7〇。 參看圖6,部分地移除第一相變化材料層“及第二相變 化材料層70直至使絕緣結構55曝露為止。因此,形成一相 變化結構以完全填滿微細結構6〇。該相變化結構包括接連 形成於物件50上之第一相變化材料層圖案75及第二相變化 材料層圖案80。可藉由CMP製程及/或回蝕製程來形成第 一相變化材料層圖案75及第二相變化材料層圖案8〇。 圖7及圖8為說明根據本發明概念之實例實施例的形成一 相變化結構之方法的橫截面圖。 參看圖7,在包括一基板之物件1〇〇上形成絕緣結構 105。絕緣結構1〇5可包括氧化物薄膜、氮化物薄膜及氮氧 153259.doc -37· 201135999 化物薄膜令之至少一者。舉例而言,絕緣結構ι〇5可包括 氧化矽薄膜、氮化矽薄膜及氮氧化矽薄膜中之至少一者。 部分地蝕刻絕緣結構105以提供使物件1〇〇之一預定部分 曝露的-微細結構110。舉例而言’可使用一額外遮罩藉 由光微影製程或蝕刻製程來形成微細結構11〇。 在物件100之曝露部》、微細結mi〇之側壁及絕緣結構 105上形成一潤濕層115。可沿著微細結構ιι〇之輪廓均句 地形成潤濕層115。可藉由ALD製程、cvd製程、賤鍵製 程、PLD製程等來形成潤濕層115。間濕層115可具有相對 較薄之厚度。舉例而言,潤濕層115可具有低於約2〇〇人之 厚度。 在根據本發明概念之實例實施例中,可使用以下的一材 料來形成潤濕層11 5 :該材料改良接連形成於材料上之相 變化材料層125的潤濕性。相變化材料層【25之潤濕性可指 示相變化材料層125相對於潤濕層115之分散度。在根據本 發明概念之實例實施例中,可使用金屬及/或金屬氮化物 來形成潤濕層11 5 »舉例而言,潤濕層i丨5可包括鈦(Ti)、 氮化鈦(TiNx)、钽(Ta)、氮化鈕(TaNx)、鎢、氮化鎢 (WNx)等。此等物質可單獨使用或可組合使用。在根據本 發明概念之一些實例實施例中’可使用諸如金屬氧化物之 絕緣材料來形成潤濕層115。舉例而言,潤濕層1丨5可包括 氧化鈮(NbOx)、氧化錯(ZrOx)、氧化姶(Hf〇x)等。此等物 質可單獨使用或可組合使用。當潤濕層1丨5包括金屬氧化 物時’潤濕層115可具有極薄之厚度以用於確保自物件1〇〇 153259.doc -38- 201135999 之接觸區域朝向相變化材料層125的電荷(諸如,電子)之穿 隧。 在潤濕層115上形成晶種層120。可在潤濕層115上均勻 地形成晶種層120。亦即,可在潤濕層115上沿著微細結構 110之輪廓保形地形成晶種層120。可使用金屬、金屬氮化 物、金屬矽化物、金屬氧化物等來形成晶種層120。舉例 而言’晶種層120可包括鍺(Ge)、銻(Sb)、鍺-銻-碲(Ge-Sb-Te)、録-碲(Sb-Te)、鍺-碲(Ge-Te)、鈦(Ti)、錄(Zr)、給 (Hf)、釩(V)、銳(Nb)、鈕(Ta)、鎢(W)、氮化鈦(TiNx)、氮 化锆(ZrNx)、氮化姶(HfNx)、氮化釩(VNx)、氮化銳 (NbNx)、氮化钽(TaNx)、氮化鎢(WNx)、矽化鈷(CoSix) ' 矽化鈦(TiSix)、矽化鈕(TaSix)、矽化鎳(NiSix)、矽化錯 (GeSix)、氮化鋁鈦(TiAlxNy)、氣化碳鈦(TiCxNy)、氮化 碳鈕(TaCxNy)、氮化矽鈦(TiSixNy)、氮化石夕组 (TaSixNy)、氧化鈦(Ti〇x)、氧化锆(Zr〇x)、氧化姶 (HfOx)、氧化鈮(NbOx)、氧化钽(Ta0x)、氧化鎢(w〇x)、 氧化釩(VOx)等。此等物質可單獨使用或可組合使用。 在根據本發明概念之實例實施例中,可藉由CVD製程、 ALD製程、濺鍍製程、PLD製程等來獲得晶種層12()。晶 種層120亦可具有相對較薄之厚度。舉例而言,晶種層HQ 可具有低於約400 A之厚度。 在根據本發明概念之一些實例實施例中,可在物件100 及絕緣層105上形成潤濕層115及晶種層12〇中之一者。舉 例而言,可在物件100及絕緣層1〇5上僅形成潤濕層丨^, J53259.doc -39- 201135999 或可在物件100及絕緣層105上僅提供晶種層i 20。 現參看圖7’在晶種層12〇上形成相變化材料層125。可 利用原位回流機制來獲得相變化材料層丨25。舉例而言, 可藉由實質上相同於或實質上類似於參看圖2所描述之製 程的製程來在晶種層120上形成相變化材料125。可使用包 括硫族化物化合物、非硫族化物化合物、具有摻雜物之硫 族化物化合物、具有摻雜物之非硫族化物化合物等的相變 化材料來形成相變化材料層125。 參看圖8,移除相變化材料層丨25、晶種層120及潤濕層 115之部分直至使絕緣結構夏〇5曝露為止。因此,在微細結 構110中提供一相變化結構。可藉由CMP製程及/或回蝕製 程來獲得該相變化結構。 在根據本發明概念之實例實施例中,相變化結構包括潤 濕層圖案130、晶種層圖案135及相變化材料層圖案140。 潤濕層圖案130可定位於曝露之物件11〇及微細結構110之 側壁上。晶種層圖案135形成於潤濕層圖案130上。潤濕層 圖案13 0及晶種層圖案13 5可部分地填充微細結構11 〇。相 變化材料層圖案140位於晶種層圖案13 5上以完全填滿微細 結構11 0 » 在根據本發明概念之一些實例實施例中,相變化結構可 包括相變化材料層圖案140,以及潤濕層圖案130及晶種層 圖案135中之一者。舉例而言’相變化結構可具有潤濕層 圖案130及相變化材料層圖案140。或者,相變化結構可包 括晶種層圖案135及相變化材料層圖案140。此處,晶種層 153259.doc •40· 201135999 圖案135可位於物件100及微細結構u〇之側壁上。 在根據本發明概念之一些實例實施例令,相變化結構可 包括一第一相變化材料層圖案及—第二相變化材料層圖 案。在此狀況下,可藉由實質上相同於或實質上類似於參 看圖4至圖6所描述之彼等製程的製程來獲得第一相變化材 料層圖案及第二相變化材料層圖案。 在根據本發明概念之一些實例實施例中,相變化結構可 包括第-相變化材料層圖案、第二相變化材料層圖案,以 及潤濕層圖案130及晶種層圖案135令之至少一者。舉例而 言’相變化結構可具有第—相變化材料層圖案、第二相變 化材料層圖案及潤濕層圖案13〇。或者,才目變化結構可包 括第-相變化㈣㈣帛、第二相變化材料層圖案及晶種 層圖案135。 圖9至圖13為說明根據本發明概念之實例實施例的製造 -相記憶體裝置之方法的橫截面圖。#由參看圖9至圖13 所描述之方法而獲得之相變化記憶體裝置可包括實質上相 同於或實質上類似於參看圖3所描述之相變化材料層圖案 的相變化材料層㈣。或者,藉由參看圖9至圖13所描述 之方法而製造之相變化記憶體裝置可具有一相變化結構, 該相變化結構具有實質上相同於或實f上類似於參看_ 所描述之相變化結構之構造的構造。 參看圖9,在基板150之一預定部分處形成接觸區域 55基板150可包括半導體基板、具有半導體層之基板、 金屬氧化物基板等。接觸區域155可包括雜質區域、擴散 153259.doc 201135999 區域、導電區域等。舉例而言,可藉由經由離子植入製程 將雜質摻雜至基板15〇之預定部分中而在基板15〇上形成接 觸區域155。 在根據本發明概念之實例實施例中,可在基板150上提 供一下部結構。該下部結構可包括導電圖案、襯墊、接 點、絕緣圖案、切換裝置等。因此,可將接觸區域丨55電 連接至下部結構之切換裝置。 在具有接觸區域155之基板150上形成第一絕緣層〗60。 了使用氧化物來形成第一絕緣層16 〇。舉例而言,可使用 氧化矽來形成第一絕緣層丨6〇,諸如USG、SOG、BPSG、 TOSZ®、FOX、TEOS、PE-TEOS、HDP-CVD氧化物等。 此專物質可單獨使用或可混合使用。另外,可藉由Cvd製 程、LPCVD製程、旋塗製程、pecvD製程、HDP-CVD製 程等來形成第一絕緣層丨60。當在基板i 5〇上安置下部結構 時,第一絕緣層160可具有足夠之厚度以完全覆蓋下部結 構。 藉由部分地飯刻第一絕緣層i 6〇而穿過第一絕緣層16〇形 成一第一開口 165。第一開口 165使接觸區域155曝露。舉 例而言,可藉由光微影製程來形成第一開口 165。第一開 口 165可使接觸區域155之至少一部分曝露。在根據本發明 概念之實例實施例中,第一開口 165可具有一實質上垂直 於基板150之側壁。或者,第一開口 165之側壁可相對於基 板15 0傾斜一預定角度。 現參看圖9,在由第一開口 165曝露之接觸區域155上形 153259.doc •42· 201135999 成二極體180。二極體180包括順序形成於接觸區域155上 之第一導電層170及第二導電層175。二極體18〇可部分地 填充第一開口 165。可使用分別包括不同雜質之多晶石夕層 來形成二極體180。舉例而言,當第二導電層ι75包括 雜質時,第一導電層170可包括P型雜質。或者,當第二導 電層175包括P型雜質時’第一導電層170可包括n型雜質。 然而,第一導電層170及第二導電層175中之雜質的導電類 型可根據接觸區域155之導電類型而變化。 在用於根據本發明概念之實例實施例形成二極體1 8〇之 製程中’可使用接觸區域1 5 0作為晶種在接觸區域丨5 5上形 成一下部導電層(圖中未展示),且接著可將不同雜質摻雜 至該下部導電層之上部部分及下部部分中,藉此形成第一 導電層170及第二導電層175 ^此處,下部導電層可部分地 填滿第一開口 165。舉例而言,可藉由選擇性磊晶生長 (SEG)製程來獲得下部導電f。冑由接觸區域155形成下部 導電層時,下部導電層可包㈣。在根據本發明概念之- 些實例實施例中,可在第—開σ 165中的接觸區域155上形 成具有不同雜質之多晶發層(圖中未展示),且接著可部分 地移除該多晶石夕層以形成第-導電層i 7 0及第二導電層 175 〇 參看圖10,在二極體180、第一開口 165之側壁及第一絕 緣層160上形成—下部電極層(圖中未展示)。可沿著第一開 口 165之輪廓均勻地形成該下部電極層。因此,第一開口 165可能不會完全充滿下部電極層。在根據本發明概念之 153259.doc -43* 201135999 實例實施例中,可使用石夕、金屬及/或金屬化合物來形成 下部電極層。舉例而言’下部電極層可包括含有雜質之多 晶矽、含有雜質之非晶矽、含有雜質之單晶矽、鈦、鎢、 鈕、鋁、氮化鈦、氮化鎢、氮化鈕、氮化鋁、氮化鋁鈦 等。此等物質可單獨使用或可組合使用。可藉由CVD製 程PECVD製程、ALD製程、pLD製程、減鑛製程等來獲 得下部電極層。 在下部電極層上形成一填充層(圖中未展示)。該填充層 可足夠地填滿第一開口 165。可使用氧化物氣化物氮 氧化物等來形成填充層。舉例而言,填充層可包括氧化 石夕、氮化石夕、氮氧化石夕、氮氧化鈦等。可藉由cvd製程、 CVD製程、旋塗製程、ALD製程肋p cvD製程等來 獲得填充層。 在根據本發明概念之-些實例實施例中,下部電極層可 完全填充第—開口 165。在此狀況下,可不在下部電極層 上形成填充層。 2刀地移除填充層及下部電極層直至使第一絕緣層_ 曝路為止’從而使得在第一開σ 165中提供下部電極⑻及 真充ΙΜ牛190。可藉由CMp製程、回蝕製程等來形成下部 電極185及填充部件19〇。下部電極185可接觸第一開口⑹ 之側壁及二極體⑽之頂面。下部電極185可填滿第一開口 165之周邊部分。填充部件削可完全填充第—開口 I 此處,填充部件190可封閉下部電極185。 在根據本發明概念之實例實施例中,填充部件19〇及下 153259.doc 201135999 部電極185可具有實質 構。舉例而言,當第_門:開口 165之形狀限制之結 —併 開165具有實質上圓形橫截面、 橢圓形橫戴面或實質上多邊形橫截面時,下部電極 式-V、有之沒、實質上圓形橫截面、實質上橢圓形橫截面 形橫截面之圓柱結構。在此狀況下,填充部 Γ0可具有圓形支柱結構、實質上《形支柱結構或實 質上多邊形支柱結構。 在根據本發明概令夕_ # A , 〜 二貫例實施例中,當填充部件 190不提供於第—開口 165中時下部電極185可具有實質 上相同於或實質上類似於第_開〇165之形狀的結構。舉 例而言,當第一開σ】6 開口 165具有實質上圓形橫截面、實質上 橢圓形橫截面或實質上客邊^ 負上夕邊形橫截面時,下部電極185可 '、 °構諸如實質上圓形支柱形狀、實質上橢圓形 支柱形狀或實質上多邊形支柱形狀。 參看圖11,在第_ ¢3 Δ4. a , 仕弟,·‘邑緣層WO、下部電極185及填充部件 m上形成一絕緣結構195。可使用氧化物、氮化物及,或 士氧化物來形成絕緣結構195。在根據本發明概念之實例 實施例中’絕緣結構195可具有包括氧化物薄冑、氮化物 薄膜或氮氧化㈣膜之單層結構。或者,絕緣結構195可 具有包括氧化物薄膜、氮化物薄膜及氮氧化物薄膜中之至 少一者的多層結構。可藉由實質上相同於或實質上類似於 參看圖1所描述之製程的製程來獲得絕緣結構195。 4刀地蝕刻絕緣結構195以穿過絕緣結構195形成微細結 構2〇〇。微細結構2〇〇使下部電極185及填充部件19〇曝露。 153259.doc -45· 201135999 微細結構200可具有各種形狀’諸如具有實質上圓形橫截 面之孔、具有實質上橢圓形橫截面之孔、具有實質上多邊 形橫截面之孔等。可使用一額外遮罩藉由光微影製程或蝕 刻製程而穿過絕緣結構195獲得微細結構2〇〇。可藉由實質 上相同於或實質上類似於參看圖丨所描述之製程的製程來 形成微細結構200 〇另外,微細結構2〇〇可具有實質上相同 於或實質上類似於參看圖1所描述之微細結構之構造的構 造。 如圖11中所說明,在絕緣結構195上形成相變化材料層 2 〇 5以填充微細結構2 〇 〇。可藉由利用原位回流機制之p v d 製程來獲得相變化材料層2〇5。可藉由實質上相同於或實 質上類似於參看圖2所描述之製程的製程來形成相變化材 料層205。另夕卜可使用實質上相同於或實f上類似於參 看圖2所描述之相變化材料的相變化材料來形成相變化材 料層2〇5。因A,相變化材料層2G5可完全填充微細結構 2〇〇,同時允許相變化材料層205中之諸如空隙、接縫或突 出部分之缺陷減少。另夕卜相變化材料層2〇5之一部分可 突出於絕緣結構195之上以具有實f上圓頂形形狀、實質 上半球形形狀、實質上橢圓球形形狀等。 在根據本發明概念之-些實例實施例中,可在形成相 化材料層2G5之前,在微細結構2⑽之底部及側壁上形成 濕層及晶種層中之至少-者。可藉由實質上相同於或實 ^類似於參看圖7所描述之製程的製程來形成潤濕層及 種層。 153259.doc -46 - 201135999 參看圖12 ’部分地移除相變化材料層2 ㈣曝露為止。因此,在微細結構中形成一=材 料層圖案210 °相變化材料層圖案21G可與下部電極185及 填充部件190接觸。舉例而言,相變化材料層圖案㈣之下 部周邊部分可接觸下部電極185,且相變化材料層圖案21〇 T下部中央部分可與填充部件19〇接觸。可藉由CMp製 程回钮製程荨來獲得相變化材料層圖案21〇。 在相變化材料層圖案210及絕緣結構195上形成一上部電 極層215。可使用多晶石夕、金屬、金屬氮化物、金屬矽化 物等來形成上部電極層215。舉例而言,上部電極層215可 包括摻雜有雜質之多晶石夕、鈦、叙、铭、鎢、氮化鈦、氛 化紹鈦、氮化铭、氮化鶴、石夕化鈦、石夕化敍、石夕化组、矽 化鎳等。此等物質可單獨使用或可混合使用。可藉由cvd 製程、ALD製程、PLD製程、蒸鍍製程、滅鍍製程等來獲 付上部電極層215。 參看圖13,藉由將上部電極層215圖案化來在相變化材 料層圖案210上形成一上部電極22〇 ^上部電極22〇可具有 實質上大於相變化材料層圖案21〇之寬度的寬度。因此, 上部電極220可位於相變化材料層圖案21〇及鄰近於相變化 材料層圖案210的絕緣結構195之一部分上。 在絕緣結構195上形成一第二絕緣層225以覆蓋上部電極 220。可使用氧化物、氮化物及/或氮氧化物來形成第二絕 緣層225。可藉由CVD製程、旋塗製程、pECVD製程、 HDP-CVD製程等來獲得第二絕緣層225。在根據本發明概 153259.doc -47· 201135999 念之實例實施例中,第二絕緣層225可包括實質上相同於 或實質上類似於第一絕緣層160之材料的材料。或者,可 分別使用不同材料來形成第一絕緣層160及第二絕緣層 225 ° 部分地钮刻第二絕緣層225以形成使上部電極220曝露之 一第二開口 230 〇第二開口 230可穿過第二絕緣層225而使 上部電極220之一部分曝露。可藉由光微影製程來獲得第 二開口 230。 在第二絕緣層225上形成一導電層(圖中未展示)以填滿 第二開口 230。部分地移除該導電層直至使第二絕緣層225 曝露為止’從而使得在第二開口 230中形成一襯墊或接點 235。接點235可接觸上部電極220。可使用金屬、金屬化 合物、多晶矽等來形成該導電層。舉例而言,導電層可包 括具有雜質之多晶矽、鈦、鋁、鈕、鎢、氮化鎢、氮化鋁 鈦、氮化鋁、氮化鎢等。此等物質可單獨使用或可組合使 用。另外,可藉由CVD製程、ALD製程、1&gt;1^1)製程蒸鍍 製程、濺鍍製程等來形成導電層。可藉由CMp製程及/或 回钮製程來獲得接點235。 根據本發明概念之實例實施例,相變化記憶體裝置可具 有一相變化材料層圖案,該相變化材料層圖案完全填充一 微細結構而無諸如空隙、接縫或突出部分之任何缺陷。因 此,該相變化記憶體裝置可確保在設定狀態與重設狀態之 間的足夠之電阻邊限。 圓14至圖16為說明根據本發明概念之實例實施例的製造 153259.doc -48- 201135999 一相記憶體裝置之方法的橫截面圖。在圖14至圖16中所說 明之方法中,相變化記憶體裝置可包括實質上相同於或實 質上類似於參看圖6所描述之彼等相變化材料層圖案的相 變化材料層圖案。另外,藉由圖14至圖16中所說明之方法 而獲得之相變化記憶體裝置可包括實質上相同於或實質上 類似於參看圖8所描述之潤濕層圖案及晶種層圖案的潤濕 層圖案及晶種層圖案中的至少一者。 參看圖14 ’在具有接觸區域255之一基板25〇上形成—第 一絕緣層260。接觸區域255可包括雜質區域、擴散區域、 導電區域等。可在基板25〇上提供一下部結構。該下部結 構可包括導電圖案、襯墊、接點、絕緣圖案、切換裝置 等。 ’ 可使用氧化物藉由C VD製程、LPC VD製程、pec VD製 程、旋塗製程、HDP-CVD製程等來形成第一絕緣層26〇。 在根據本發明概念之實例實施例中,第一絕緣層26〇可具 有一藉由平坦化製程而形成之水平面。舉例而言,第一絕 緣層260可具有一藉由CMP製程及/或回蝕製程而形成之平 坦頂面。 触刻第一絕緣層260之一部分以穿過第一絕緣層26〇形成 一第一開口 265。第一開口 265可使接觸區域255之至少一 部分曝露。在根據本發明概念之實例實施例中,第一開口 265可具有一實質上垂直於基板250或實質上相對於基板 2 50傾斜一預定角度的側壁。 在經由第一開口 265而曝露之接觸區域250上形成二極體 153259.doc •49- 201135999 280。二極體280包括第一導電層27〇及第二導電層27卜二 極體280可部分地填充第—開口犯。可藉由實質上相同於 或實質上類似於參看圖9所描述之製程的製程來獲得包括 第一導電層270及第二導電層275之二極體28〇。 在二極體280、第一開口 265之側壁及第一絕緣層26〇上 形成-下部電極層(圖中未展示)。該下部電極層可部分地 填滿第-開口 265。可使用摻雜有雜質之多晶矽、金屬及/ 或金屬化合物藉由CVD製程、pECVD製程、ald製程、 PLD製程、濺鍍製程等來形成該下部電極層。 在下部電極層上形成一填充層(圖中未展示)以充分地填 滿第-開口 265。可使用氧化物、氮化物及/或氮氧化物藉 由CVD製程、LPCVD製程、pECVD製程、旋塗製程从d 製程、HDP.CVD製程等來形成該填充層。在根據本發明 概念之實例實施例中,當下部電極層完全填充第一開口 265時,可能不在下部電極層上提供填充層。 部分地移除填充層及下部電極層直至使第—絕緣層26〇 曝露為止,以在第一開口 265中形成下部電極285及填充部 件290。下部電極285可接觸二極體28〇及第一開口之側 壁。填充部件290可完全填充第一開口 265。填充部件29〇 可藉由下部電極285封閉。下部電極285及填充部件290中 之每者可具有由第一開口 265之形狀界定之結構。 現參看圖14 ,在第一絕緣層26〇、下部電極285及填充部 件290上形成一絕緣結構295。絕緣結構295可具有包括氧 化物薄膜、氮化物薄膜或氮氧化物薄膜之單層結構。或 153259.doc 201135999 者,絕緣結構295可具有包括氧化物薄膜、氮化物薄膜及 氮氧化物薄膜中之至少兩者的多層結構。 藉由部分地蝕刻絕緣結構295而穿過絕緣結構295形成一 微細結構300。微細結構3〇〇可使填充部件29〇及下部電極 285曝露。彳政細結構3〇〇可具有具實質上圓形橫截面、實質 上橢圓形橫截面、實質上多邊形橫截面等之各種形狀。 參看圖15,在絕緣結構295上形成一第一相變化材料層 3〇5。第一相變化材料層3〇5可部分地填充微細結構。 可在相對較低之第—溫度下藉由第—製程(不使用原 位回々’L機制)來獲得第一相變化材料層305。可藉由實質上 相同於或實質上類似於參看圖5所描述之製程的製程來形 成第:相變化材料層305。另外,第一相變化材料層3〇5可 包括實f上相同於或實質上類似於參看圖5所描述之第一 相變化材料層之第一相變化材料的第一相變化材料。第一 相文化材料層305可具有低於微細結構300之深度之約1/3 的厚度。 ' 根據本發明概念之―些實例實施财,可在形成第 相變化材料層305之前,在微細結構300之底部及側壁上 外形成一潤濕層及/或晶種層。此處,可藉由實質上相 於或實質上類似於參看圖7所描述之製程的製程來形成 濕層及晶種層。 由利用原位回流機制之第二pvD製程 以變化材料層305上形成一第二相變化材料層3 真充微細結構300。可在相對較高之第二溫度下 得第二相變| J53259.doc -51 - 201135999 料層310。 ,可藉由實質上相同於或實質上類似於參看圖5所描述之 製程的製程來在第一相變化材料層3〇5上形成第二相變化 材料層310»另外,第二相變化材料層31〇可包括實質上相 同於或貫質上類似於圖5中所說明之第二相變化材料層中 之第二材料的第二相變化材料。第二相變化材料層3 10可 具有高於微細結構300之深度之約2/3的厚度。因此,第一 相變化材料層305與第二相變化材料層31〇之間的厚度比率 可為約1.0:約1.3至約3.0。 在根據本發明概念之一些實例實施例中,可藉由pVD製 程同時增加PVD製程之製程溫度來原位獲得第一相變化材 料層305及第二相變化材料層31〇。因此,第一相變化材料 層350可與第二相變化材料層31〇整體地形成。 參看圖16,部分地移除第二相變化材料層31〇及第一相 變化材料層305直至使絕緣結構295曝露為止。因此,在微 細結構300中提供一相變化結構。該相變化結構包括第一 相變化材料層圖案3 15及第二相變化材料層圖案32〇。可藉 由CMP製程、回钱製程等來形成第一相變化材料層圖案 315及第一相變化材料層圖案320。第一相變化材料層圖案 315可部分地填充微細結構300,且第二相變化材料層圖案 3 10可元全填滿微細結構3 0 0。在此狀況下,第一相變化材 料層圖案315可與下部電極285及填充部件290接觸》 當一相變化記憶體裝置包括第一相變化材料層圖案3 15 及第二相變化材料層圖案320時,第一相變化材料層圖案 153259.doc •52· 201135999 315及第二相變化材料層圖案320可分別對應於PV區域及 NPV區域°亦即’在第一相變化材料層圖案3 1 5中可發生 第一相變化材料之相轉變,而在第二相變化材料層圖案 320中可能不發生第二相變化材料之相轉變。因此,第一 相變化材料層315可容易由自下部電極285施加之電流而引 起相轉變。然而’當經由下部電極285向第二相變化材料 層圖案320施加電流時’第二相變化材料層32〇可能實質上 不引起相轉變。因為相記憶體裝置包括第一相變化材料層 圖案315及f二相變化材料層圖案32〇,所以相變化記憶體 裝置之叹疋狀態與重設狀態之間的電阻邊限可增加。另 外’ S相變化記憶體裝置包括對應於PV區域及npv區域之 第一相變化材料層圖案315及第二相變化材料層圖案32〇 時相變化5己憶體裝置可具有增強之資料保持特性。 如圖16中所說明,在相變化結構及絕緣結構295上形成 上。卩電極層(圖中未展示),且接著將該上部電極層圖案 化以在第二相變化材料層圖案320及絕緣結構295上形成一 上部電極325。上部電極325可具有實質上大於第二相變化 材料層圖案320之大小的大小.上部電極325可包括多晶 夕金屬、金屬氮化物及/或金屬石夕化物。可藉由CVD製 程、ALD製程、PLD製程、真空蒸鑛製程、減鑛製程等來 形成上部電極層。 在絕緣結構295上形成一第二絕緣層33〇以覆蓋上部電極 325。可使用氧化物、氮化物及/或氮氧化物藉由匚乂^製 程、旋塗製程、PECVD製程、HDP-CVD製程等來形成第 I53259.doc •53- 201135999 二絕緣層330。 餘刻第一絕緣層3 3 0之一部分以形成使上部電極3 2 5曝露 之—第二開口 335。第二開口 335可使上部電極325部分地 曝露。在上部電極325上形成一襯墊或接點34〇以填滿第二 開口 335。可使用金屬、金屬化合物及/或多晶矽來形成襯 塾或接點340。因此’可在基板250上提供具有改良之資料 保持特性及電阻邊限之相變化記憶體裝置。 根據本發明概念之實例實施例,藉由利用原位回流機制 之物理氣相沈積製程,相變化材料層圖案或相變化結構可 填滿一諸如微細孔、微細開口或微細渠溝之微細結構,而 不在相變化材料層圖案或相變化結構中產生缺陷。當一相 變化記憶體裝置包括相變化材料層圖案或相變化結構時, 藉由增加該相變化記憶體裝置之資料保持特性及設定狀態 與重設狀態之間的電阻邊限,該相變化記憶體裝置可具有 改良之回應速度及可靠性。 圖17為說明根據本發明概念之實例實施例之記憶體系統 的方塊圖。 夕看圖17 ’ 5己憶體系統350可包括一攜帶型電子裝置。 舉例而s ,C憶體系統35〇可包括攜帶型媒體播放機 (PMP)、無線通信裝置 、MP3播放機、電子辭典等。記憶 體系統35G可具有半導體記憶體裝置355、記憶體控制器 360、編碼器/解碼器(EDC)365、顯示部件370及介面375。201135999 VI. Description of the Invention: [Technical Field] The present invention relates to a phase change structure, a method of forming a phase change structure, a phase change memory device, and a manufacturing phase change according to an embodiment of the present invention. The method of the memory device. More specifically, an example embodiment in accordance with the inventive concept relates to a phase change structure including a phase change layer that can be completely filled with a microstructure having a high aspect ratio; one forming the phase change A method of layering; a phase change memory device comprising the phase change structure; and a method of fabricating the phase change memory device. This application is based on the 35 USC § 119 designation. Korean Patent Application No. 2〇〇9_ 〇1 32290 and December 9, 20, 2009, filed on December 29, 2009 in Korea Intellectual Property Office (κιρο) The priority of the Korean Patent Application No. 2 〇 〇 〇 〇 〇 〇 〇 〇 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 [Prior Art] The phase change memory device has random access characteristics, so that the phase change memory device can be widely used in various electric devices and electronic devices. With respect to the phase change memory device, the phase transition of the sulphur compound in the phase change material layer of the phase change memory device can be used to record data into the phase change memory or to read data from the phase change memory device. That is, the difference in electrical resistance between the amorphous state and the crystalline state of the sulfur compound can be used to record or negatively exemplify, and the data can be converted according to the reversible phase transition of the chalcogenide compound in the phase change material layer. Stored in the form of "〇" and "1" status 153259. Doc 201135999 Saved to the phase change memory device. When the phase change is obvious, the phase change of the phase change becomes a phase transition of the 4 layers. The device can ensure that the phase change memory is reduced. The guilty may not completely fill the pores of the material layer with high aspect ratio. A fine opening or a fine groove ~ structure (such as a slight screen) without a seam of phase change material. When the phase change memory device is highly integrated, the phase change material layer should be sufficiently filled—a microscopic three-dimensional structure with any defects in the helmet or protruding portion. ‘. , , ·. Work gap 'seamage】 [Summary of the Invention]: This content is provided to introduce a concept of a simplified form =, which is described in the following [Embodiment]. The invention is not intended to identify key features or essential features of the invention, and is not intended to limit the scope of the invention. ~ According to an exemplary embodiment in accordance with the inventive concept, a phase change structure is provided which includes a -phase-change material layer pattern and a second phase change material layer pattern. The -f-change material layer may partially fill a high aspect ratio structure provided on an object or substrate. The first phase change material layer pattern may include a -first phase change material. The second phase change material layer pattern can completely fill the high aspect ratio structure. The second phase change material layer pattern can include a second phase change material "the second phase change material can have a composition that is substantially different from one of the first phase change materials. Other methods and apparatus in accordance with embodiments of the inventive concepts will be apparent to those skilled in the <RTIgt; All such additional methods and/or devices are intended to be included herein. 53259. Doc 201135999 is described within the scope of the inventive concept and is protected by the scope of the attached patent application. [Embodiment] Example embodiments in accordance with the inventive concepts will be more clearly understood from the following detailed description of the invention. As described herein, Figures 1 through 18 illustrate non-limiting example embodiments in accordance with the teachings of the present invention. In the following, various example embodiments in accordance with the inventive concepts will be described more fully with the accompanying drawings, in which FIG. However, the present inventive concept may be embodied in many different forms and should not be construed as being limited to the example embodiments set forth herein. The present invention is to be construed as being limited to the embodiments of the invention. In these figures, the size and relative size of the layers and regions may be exaggerated for clarity. It will be understood that when an element or layer is referred to as "on another element or layer", "connected to another element or layer" or "connected to another element or layer", the element or layer may be directly Another element or layer may be attached to or connected to another element or layer ' or an intervening element or layer may be present. In contrast, when an element is referred to as "directly on another element or layer" or "directly connected to another element or layer" or "directly coupled to another element or layer," Or layer. Like numbers refer to like elements throughout the text. The term &quot;and/or&quot; as used herein includes any and all combinations of one or more of the associated listed items. It should be understood that although the terms "first" and "153259" may be used herein. Doc 201135999 2, 3, 4, etc. to describe various components, components, regions, layers and/or sections, but such components, components, regions, layers and/or sections are not subject to These terms are limited. The terms are only used to distinguish one element, component, region, layer, or section from another region, layer or section. Thus, a first 7G component, component, region, layer or section discussed hereinafter may be referred to as a second component, component, region, layer or section, without departing from the teachings of the present invention. For the convenience of description, space-relative terms such as "below", "below", "lower", "above", "upper" and the like may be used herein to describe as illustrated in the figures. One element or feature is related to another (other) element or feature. It will be understood that the spatially relative terms are intended to encompass different orientations of the device in use or operation, in addition to the orientation depicted in the figures. For example, elements that are described as "below" or "beneath" other elements or features will be "above" the other elements or features. Thus, the illustrative term "below" can encompass both the top and the bottom. The device can be oriented in other ways (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein can be interpreted accordingly. The terminology used herein is for the purpose of the description of the embodiments and embodiments As used herein, unless the context clearly dictates otherwise, the singular singular singular singular singular singular singular singular singular singular singular singular singular and singular Specify the characteristics, integers, steps, operations, components, and groups I53259 stated. Doc 201135999 exists, but does not exclude the existence or addition of one or more other features, integers, steps, operations, components, components and/or groups thereof. Example embodiments in accordance with the concepts of the present invention are described herein with reference to the cross-section illustrations, which are illustrated as illustrative illustrations of the preferred embodiments (and intermediate structures) in accordance with the inventive concepts. Thus, variations in the shapes of the descriptions that are present due to, for example, manufacturing techniques and/or tolerances are contemplated. Therefore, the example embodiments of the present invention should not be construed as being limited to the specific shapes of the regions described herein, but should include variations in the shape due to, for example, manufacturing. For example, an implanted region illustrated as a rectangle will typically have a rounded or bucked feature and/or a gradient of implant concentration at its edges rather than a binary change from the implanted region to the non-implanted region. Likewise, the implanted region by implantation can result in some implantation in the region between the buried region and the surface from which the implantation takes place. Therefore, the regions illustrated in the figures are illustrative in nature and are not intended to limit the scope of the invention. All terms (including technical and scientific terms) used herein have the same meaning as commonly understood by those of ordinary skill in the art. It should be further understood that terms (such as those defined in commonly used dictionaries) should be interpreted as having a meaning consistent with their meaning in the context of the related art, and unless so explicitly defined herein, Interpretation is not performed in an idealized or overly formal tone. &amp; In the following, a phase change material layer, a phase change structure, and a method of forming a phase change material layer according to example embodiments in accordance with the inventive concepts will be described. 153259. Doc 201135999 According to an example embodiment in accordance with the teachings of the present invention, a phase change layer can be obtained by a four-bit reflow mechanism. With regard to the in-situ reflow mechanism, a component of a film or layer may be actively diffused at the surface of the film or layer, and the surface energy of the film and the layer may be increased for use on an object such as a substrate. The process temperature at which the film or layer is formed is reduced. In other words, when the in-situ reflow mechanism is used to obtain the thin mold or the layer, the surface diffusion of the composition of the film or layer can be increased, and the driving force for reducing the surface energy of the film or layer can be reduced by reducing the film. Or the surface area of the layer occurs. The phase change material layer obtained via the in-situ reflow mechanism can provide the desired step coverage or gap fill characteristics such that even if the microstructure has a relatively large depth and a relatively small width (ie, a 'high aspect ratio'), The phase change material layer can also effectively fill the three-dimensional microstructure (i.e., the high aspect ratio structure) while reducing the lack of center in the phase change layer. That is, when an in-situ reflow mechanism is used to form a phase change material layer, the phase change material layer can completely fill various fine structures (such as 'fine contact holes, fine via holes, fine openings, or fine trenches) without phase change. Voids, seams or protrusions are created in the material layer. In some embodiments in accordance with the teachings of the present invention, the term "fine" refers to a structure having a high aspect ratio wherein, for example, the depth of the structure is much greater than the opening width of the structure. For example, the high aspect ratio structure can be a structure having a depth of about A, a width of about 700 A, and a bottom width of about 300. Thus, the aspect ratio of such structures can be defined as compared to the depth of the opening relative to the structure or = the width of the bottom of the structure. In some embodiments, in accordance with the teachings of the present invention, the aspect ratio can be about (for an aspect ratio defined relative to the opening) or about 4 () (for a relative to 153259. Doc 201135999 The aspect ratio defined at the bottom). In an example embodiment in accordance with the teachings of the present invention, the phase change material layer may comprise a phase change material comprising at least two XIV family elements, XV family elements, and XVI family elements. For example, the phase change material layer may include a binary compound containing a group XIV, an XV group, and an XVI group; a ternary compound containing a group XIV, XV, and XVI; and a group of XIV, XV, and XVI elements. A quaternary compound; a quinary compound containing a group XIV, a group XV, and an element of the group XVI, and the like. Examples of the group XIV element may include germanium (Ge), germanium (Si), tin (Sn), lead (Pb), and the like. Examples of the XV group element may include arsenic (As), antimony (Sb), bismuth (Bi), and the like. Examples of the XVI group element may include sulfur (S), sillicite (Se), ruthenium (Te), and the like. According to an example embodiment in accordance with the inventive concept, the phase change material may comprise a chalcogenide compound. For example, the phase change material may include a binary compound containing an arsenic-sulfur (As-S) compound, a bismuth-tellurium-containing (Sb-Ge) compound, and a bismuth-selenium-containing (Sb-Se) compound. , containing bismuth-tellurium (Sb-Te) compounds and the like. In some example embodiments in accordance with the inventive concepts, the phase change material may comprise a ternary compound comprising: a bismuth-tellurium-selenium (Ge-Sb-Se) compound, containing strontium-record-tellium (Ge- Sb-Te) compound and the like. Here, the stone-containing compound may be referred to as a sulfur-based chalcogenide compound. The compound containing ruthenium-recorded-lithus and the compound containing ruthenium-selenium may be referred to as a selenium-based chalcogenide compound. Further, the ruthenium-iridium-containing compound and the ruthenium-iridium-ruthenium-containing compound may be referred to as a ruthenium-based chalcogenide compound. In some example embodiments in accordance with the inventive concepts, the phase change material may comprise a non-chalcogenide compound. For example, the phase change material can include 153259. Doc 201135999 Compound of the wrong-germanium (Ge-Sb). In some example embodiments in accordance with the teachings of the present invention, the phase change material may comprise a chalcogenide compound having a dopant, or a non-sulfide compound having a dopant. For example, the phase change material may comprise a dopant-containing chalcogenide compound&apos; described above or a non-chalcogenide compound containing a dopant as set forth above. Examples of the dopant in the phase change material may include indium (In), tin (Sn), secret (Bi), carbon (c), nitrogen (called, oxygen (〇), boron (B), 矽 (Si). , germanium (Ge), aluminum (barium), etc. These dopants may be used alone or in combination. When the phase change material layer additionally includes a dopant, the phase change material layer may have an increased crystallization temperature. The phase change material layer may not readily crystallize during the process for fabricating a phase change memory device comprising a phase change material layer. The phase change material layer may comprise from about 5 weight percent to about the total weight of the phase change material layer. 30% by weight of dopant. Here, the phase change material layer may have a crystallization temperature higher than about 200 t. Therefore, in the process of manufacturing a phase change recording device having a phase change material layer, the phase change material layer Degradation can be effectively reduced. According to an example embodiment in accordance with the inventive concepts, a phase change material layer can be obtained by a physical vapor deposition (PVD) process. For example, a source target including a composition of a phase change material can be used. Sputtering process A phase change material layer is formed. Fig. 1 to Fig. 3 are cross-sectional views illustrating a method of forming a phase change layer according to an example embodiment of the inventive concept. Referring to Fig. 1, an insulating structure b is formed on an object 1〇. Including conductor substrate 'substrate with semiconductor layer, insulating substrate, metal oxide J53259. Doc 201135999 object substrate and so on. For example, the object 10 may include a bismuth (Si) substrate, a (Ge) substrate, a SOS substrate, a GOI substrate, a glass substrate, a plastic substrate, an alumina (AlOx) substrate, and oxidation. Titanium (TiOx) substrates and the like. These substrates may be used singly or in combination. The article 10 can additionally include various components such as conductive patterns, electrodes, pads, contacts, contact areas, insulating patterns, and the like, provided on a substrate. The insulating structure 15 may include an oxide, a nitride, and/or an oxynitride. For example, the insulating structure 15 may include yttrium oxide (SiOx), tantalum nitride (SiNx), and/or oxynitride (SiOxNy). Examples of the oxide in the insulating structure 15 may include boron silicate powder (BPSG), silicate glass (PSG), undoped silicate glass (USG), spin-on glass (SOG), Flowable oxide (FOX), tetraethyl orthosilicate (TE0S), plasma enhanced tetraethyl ruthenate (PE_TEOS), high density plasma chemical vapor deposition (HDP-CVD) oxide. These oxides may be used singly or in combination. In an exemplary embodiment in accordance with the inventive concept, the insulating structure 5 may have a single layer structure or a multilayer structure. For example, the insulating structure 丨5 may include at least one of an oxide layer, a nitride layer, and an oxynitride layer. In some example embodiments, the insulating structure 15 may have a level surface obtained by a planarization process. For example, the upper portion of the insulating structure 丨5 can be planarized by a chemical mechanical polishing (CMP) process, an etch back process, or the like. According to an example embodiment in accordance with the teachings of the present invention, a substructure can be provided on an object. The substructure may include a conductive region, a conductive pattern, an image, a pattern, a switching device, and the like. For example, the conductive area of the lower structure can be J53259. Doc 12 201135999 Includes impurity areas, diffusion areas, etc. The switching device of the lower structure may include a diode, a transistor, or the like. When the lower structure is provided on the article 10, an insulating structure 15 may be formed on the article 10 to adequately cover the lower structure. The insulating structure 15 is etched to form a fine structure 20 in which the object 1 is partially exposed. The microstructure 20 may include fine contact holes, fine via holes, fine openings, microchannels, and the like. The microstructure 20 exposes at least a portion of the article 1 and/or the lower structure. For example, the microstructure 2 can expose at least a portion of the conductive regions, conductive patterns, switching devices, and the like. The microstructure 20 can be formed through the insulating structure 15 by partially engraving the insulating layer 15. For example, the microstructures 200 can be formed by a photolithography process. In an exemplary embodiment of the inventive concept, an additional mask such as a hard mask may be provided on the insulating structure 15, and an insulating mask 丨5 may be etched using an additional mask such as an etch mask. The microstructure 20 is formed through the insulating structure 15. Here, the additional mask can be formed using a material having a button selectivity with respect to the insulating structure 15. For example, the additional mask can include nitrides, oxynitrides, and/or organic materials. In an exemplary embodiment in accordance with the inventive concept, the microstructure 2〇 may have a width substantially less than a portion below the width of the upper portion of the microstructure 20. That is, the microstructure 20 may have a side wall inclined at a predetermined angle with respect to the object 10 such that the width of the upper portion of the microstructure 20 may be substantially larger than the width of the lower portion of the microstructure 2〇. Alternatively, the microstructure 20 can have a sidewall that is substantially perpendicular to the article 10. That is, the microstructure 20 may have a lower width substantially the same as or substantially similar to the width of the upper portion of the microstructure 2〇. Referring to Figure 2, a phase change material layer 25 is formed on the insulating structure 15 to fill 153259. Doc •13· 201135999 Fine structure 20. The phase change material layer 25' can be formed using the phase change material described above. The phase change material includes a binary compound containing a group XIV, XV, and XVI elements; and a third group containing XIV, XV, and XVI elements. a compound; a quaternary compound containing a group XIV, a group XV, and an element of the group XVI; a quinary compound containing a group XIV, a group XV, and an element of the group XVI, and the like. In an example embodiment in accordance with the teachings of the present invention, a phase change material layer 25 comprising a chalcogenide compound or a non-chalcogenide compound may be used to form the phase change material layer 25. In some example embodiments in accordance with the inventive concepts, the phase change material layer 25 may be formed using a phase change material comprising a chalcogenide compound containing a dopant or a non-sulfur compound compound containing a dopant. According to an example embodiment of the inventive concept, the phase change material layer 25 can be obtained by a physical vapor deposition (PVD) process of an in-situ reflow mechanism. For example, by using a melting point temperature higher than the phase change material About 60. /. The sputtering process performed at a relatively high temperature to obtain the phase change material layer 25 is obtained. Therefore, the phase change material layer 25 can sufficiently fill the fine structure 20 without causing defects such as voids or seams in the phase change material layer 25. When the phase change material layer is formed by using the in-situ reflow mechanism, the components in the surface of the phase change material layer 25 can be more diffused, and the surface energy of the two change material layers 25 can be reduced. The &amp; 'phase change material layer 25 may protrude above the insulating structure 15 in a substantially hemispherical shape, a substantially dome shape, a substantially elliptical shape, or the like, while reducing the phase adjacent to the upper portion of the micro 2 〇 The protruding portion of the material layer 25 is varied. Also, the upper portion of the material layer 25 may have a substantially hemispherical shape: a top shape, a substantially elliptical shape, and the like. Can be increased by the process" 153259. Doc 201135999 The phase change material layer 25 having the above structure is obtained by reducing the applied power in the sputtering process for forming the phase change material layer 25. When a phase change material layer (pattern) is formed by a PVD process, the phase change material layer (pattern) may not substantially have a phase change material substantially superior to that obtained by a chemical vapor deposition (CVD) process. Layer (step coverage covered by the steps of the illusion. Therefore, the phase change material layer obtained by the PVD process (the illusion may not be completely filled with such fine pores, fine contact holes, fine openings or fine The three-dimensional microstructure of the trench does not create voids or seams in the phase change material layer (pattern). However, the phase change material layer (pattern) formed by the PVD process can be substantially better than formed by the cvd process. The density and purity of the phase change material layer (pattern). In the CVD process, the composition of the phase change material can be chemically reacted to form a phase change material layer (pattern), while in the pvD process, the phase change material The composition can be directly separated from the source target to form a phase change material layer (pattern). Therefore, the phase change material layer (pattern) can have excellent purity and good density through the PVD process. (3), the phase change material layer (pattern) obtained by the PVD process can easily cause a phase transition by the applied current, and borrowed from the phase change material layer (pattern) obtained by the CVD process. The phase change material layer (pattern) formed by the PVD process can sustainably maintain the phase transition generated therein. According to an example embodiment of the inventive concept, the phase change material is formed by a PVD process using an original helium reflow mechanism. When layered, the phase change material layer 25 ensures high density and purity, and can completely fill the microstructure 20 without any defects such as voids, seams or protrusions. In an example embodiment in accordance with the inventive concept, By the money key system 153259. Doc •15- 201135999 The filling of the fine structure 20 is sufficient to form the phase change material layer 25 on the insulating structure 丨5. With respect to the sputtering process for forming the phase change material layer 25, at least one source target and object 1 可 can be loaded into a chamber. The at least one source target can include components of the phase change material included in the phase change material layer 25. The source target can be placed in the chamber to substantially face the object. The article 1 having the insulating structure 15 can be placed on a support member (for example, an electrostatic chuck). The chamber can have an extremely low reference pressure that is substantially similar to vacuum pressure. For example, the chamber can have a reference pressure of about 10·8 Torr. Sputter gas can be introduced into the chamber. The sputtering gas may include an inert gas such as argon (Ar) gas 'Helium (He) gas or the like. When the splashing gas is supplied into the chamber, the pressure of the chamber can vary. When the at least one source target is electrically biased, the sputtering gas including the inert gas may have a plasma state. The ions with a positive (+) charge of the sputtered gas can reach the biased source target with a negative (a) charge. Therefore, the components in the source target can be splashed toward the object 1 having the fine structure 20 to form the phase change material layer 25 on the object 10 and the insulating structure 15. In the sputtering process for forming the phase change material layer 25 on the insulating structure 15, the phase change material layer 25 may have an increased crystallization temperature by controlling the growth of crystal grains in the phase change material. For example, a composition comprising a source target of the components can be altered or a dopant can be added to the source target or phase change material layer 25 to obtain a phase change material layer having a crystallization temperature greater than about 200X: . In an exemplary embodiment in accordance with the teachings of the present invention, the content of components in the chalcogenide compound or non-chalcogenide compound can be adjusted to control the crystallization temperature of the phase change material layer 25. 153259. Doc -16- 201135999 In some example embodiments in accordance with the inventive concepts, dopants may be added directly to the source target or may include dopants in a sputtering process for forming phase change material layer 25. Gas is supplied to the chamber to thereby control the crystallization temperature of the phase change material layer 25. In some example embodiments in accordance with the teachings of the present invention, in forming the phase change material layer 25, by using a source target comprising a composition of a phase change material and a dopant and by providing a gas comprising a dopant, the phase change Material layer 25 can have an elevated crystallization temperature. As described above, the growth of crystal grains in the phase change material of the phase change material layer 25 can be controlled by adjusting the crystallization temperature of the phase change material layer 25. Therefore, the phase change material layer 25 can effectively fill the fine structure 2〇 without generating a protruding portion at a portion of the phase change material layer 25 adjacent to the upper portion of the fine structure 20. The grains in the phase change material of the phase change material layer 25 may have a width substantially smaller than or substantially similar to the width of the microstructure 2〇. For example, the grains in the phase change material of phase change material layer 25 can have a size of less than about 30 nanometers. In an example embodiment according to the inventive concept, the phase change material layer 25» can be obtained by performing a pVD process at a process temperature higher than 60% of the melting temperature of the phase change material, and t' can be fine The phase change material layer 25 is formed on the insulating structure 15 of the structure 2 while maintaining the process temperature higher than 6〇% of the melting point temperature of the chalcogenide compound or the non-chalcogenide compound. In some example embodiments in accordance with the concepts of the present invention, the process temperature of the PVD process for forming the phase change material layer 25 may be in the range of about 60% to about the melting point temperature of the phase change material. According to the invention in accordance with I53259. Doc 17 201135999 The process temperature of the PVD process of the example embodiment can be greater than the process temperature of the conventional pVD process's such that by increasing the surface diffusion of the components in the phase change material and by reducing the surface energy of the phase change material, The phase change material layer 25 can have enhanced step coverage or gap fill characteristics. In the sputtering process according to an exemplary embodiment of the inventive concept, the temperature of the object 10 can be controlled by the heat generated from the source target when the phase change material layer 25 is formed. Alternatively, the temperature of the object 1 调整 can be adjusted by controlling the temperature of the support member to which the object 定位 is positioned. In some example embodiments in accordance with the inventive concepts, 'an additional heating component can be provided to control the % temperature in the chamber' such that it can be formed at a process temperature that is greater than about 60% of the melting point temperature of the phase change material. Phase change material layer 25. According to an example embodiment of the inventive concept, when the phase change material layer 25 is formed on the bottom and sidewalls of the microstructure 2 相对 at a relatively higher temperature than about 60% of the melting point temperature of the phase change material The increased surface diffusion of the components in the phase change material layer and the reduced surface phase b' phase change material layer 25 by the phase change material can completely fill the microstructure 2 without voids, seams or protrusions. Here, the upper portion of the phase change material layer 25 may protrude above the insulating structure 15 in the form of a dome-shaped shape, a substantially circular hemispherical shape, a substantially elliptical hemispherical shape or the like on the solid side. In an exemplary embodiment in accordance with the teachings of the present invention, a phase change material can be deposited on the article 1 and the insulating structure 15 by a deposition rate of from about 1 A/sec to about 5 A/sec. For example, the phase change material layer 25 can be formed by a deposition rate of less than about 5 A/second. When the phase change material layer 25 is formed by a relatively high deposition rate, the composition of the phase change material may not be in the phase change material 153259. Doc • 18· 201135999 The surface of the layer 25 is sufficiently diffused so that a protruding portion may be generated at a portion of the phase change material layer 25 in the microstructure 2〇. In the case where the phase change material layer 25 is obtained by a deposition rate of less than about 5 A/sec, the surface diffusion of the components in the phase change material can be sufficiently generated so that the bottom portion of the fine structure 20 can be sequentially Deposition phase change material. Therefore, the phase change material layer 25 can completely fill the fine structure 20 without voids or seams caused by protruding portions of the phase change material adjacent to the upper portion of the fine structure 2〇. In an embodiment according to the inventive concept, about 0 is applied to the source target in the splash clock process. A phase change material layer 25 is obtained from a power of from 1 W/cm2 to about 5 W/cm2. When the applied power is less than about 〇·i w/cm 2 , the phase change material layer 25 may have an undesirable low deposition rate, or may not cause a plasma for forming the phase change material layer 25 in the chamber. In the case where the applied power is higher than about 5 W/cm2, it may not be sufficient to cause surface diffusion of the components in the phase change material. According to an example embodiment of the inventive concept, since the phase change material layer 25 can be obtained at a power level lower than the power level of the conventional sputtering process, the phase change material layer 25 can be due to the phase change material The surface of the component is sufficiently diffused to effectively fill the microstructure 2 without voids, seams or protrusions. In an embodiment 10 according to the inventive concept, the phase change material layer 25 can be formed at a relatively low process pressure of from about 5 mTorr to about 1 mTorr. When the cavity has a process power of less than about 0_05 mTorr, it may not be sufficient to produce a plasma for forming the phase change material layer 25, or the resulting plasma may be unstable in the chamber. When the chamber has a process power of more than about 10 mTorr, the composition of the phase change material may not be directed from the source target toward the object 1 153259. Doc •19- 201135999 Advance. Therefore, the phase change material layer 25 in the microstructure 20 can cause defects. In an example embodiment in accordance with the teachings of the present invention, the source target may be spaced apart from the article 10 by a distance of from about 50 mm to about 5 mm when forming the phase change material layer 25. When the distance between the object 1 〇 and the source target is less than about 50 mm, the composition of the phase change material may not always travel toward the object 1 ,, thereby causing defects in the phase change material layer 25 in the microstructure 20 . In the case where the distance between the object 1〇 and the source target is higher than about 500 mm, the plasma for forming the phase change material layer 25 may not be properly generated, or the generated electric damage may not be in the chamber. stable. In some example embodiments in accordance with the teachings of the present invention, a magnetron can be placed at a lateral portion of the chamber such that the composition of the phase change material can readily travel from the source target all the way toward the object 1 。. Therefore, the phase change material layer 25 can be uniformly formed on the object 丨〇 and the insulating structure 15. Referring to Figure 3, the phase change material layer 25 is partially removed until the insulating structure 15 is exposed. That is, the upper portion of the phase change material layer 25 on the insulating structure 15 can be removed. Therefore, a phase change structure including one phase change material layer pattern 30 is provided in the microstructure 2〇. For example, the phase change material layer pattern can be obtained by a CMp process, an etch back process, or the like. In some example embodiments according to the inventive concept, the phase change structure is in addition to the phase change material layer pattern. An additional material layer pattern (such as a wetting layer pattern and/or a seed layer pattern) may be included. When the phase change memory device includes the phase change structure, the phase change memory device ensures an improved resistance margin between the set state and the reset state of the phase change memory device. 153259. Doc -20 - 201135999 Hereinafter, a method of forming a phase change material layer according to [Example] and [Comparative Example] will be described. Example 1 A trench having a linear shape was formed on an object. The trench has a depth of about 1200 Å. The trench also has a width below about 300 A and a width above about 700 A. A phase change material layer filling the trench is formed using a source target including one of nitrogen, germanium (Ge), antimony (Sb), and tellurium (Te). The source target comprises from about 5 weight percent to about 30 weight percent nitrogen, from about 15 weight percent to about 30 weight percent rhodium, from about 15 weight percent to about 3 weight percent. Further &apos; source targets include from about 45 weight percent to about 65 weight percent rhodium. The phase change material layer is obtained at a temperature of about 600 ° C (the melting point temperature of the source target) of about 6 %. A bias power of about 100 W to about 5 KW is applied to the source target when forming the phase change material layer. The chamber for forming the phase change material layer has a process pressure of from about 0 mm to about 1 mTorr. The phase change material layer 70 is filled with a groove of a far linear shape without any defects such as voids, seams, and protrusions. Example 2 A trench having a linear shape was formed on an object. The trench has a depth of about 1200 A, a width of about 300 A below, and a width of about 700 people. A phase change material layer filling the trench is formed using a source of oxygen (0), krypton, xenon, and krypton in the sputtering process. The source target comprises from about 5 weight percent to about 30 weight percent oxygen, from about 15 weight percent to about 3 weight percent S percent, from about 15 weight percent to about 3 weight percent of 153259. Doc •21 · 201135999 娣. In addition, the source comprises from about 45 weight percent to about 65 weight percent hoof. The phase change material layer is obtained at a temperature of about 690 ° C (the melting point temperature of the source target) of about 6 %. When the phase change material layer is formed, a bias power of about 100 W to about 5 KW is applied to the source target in the sputtering process. The chamber for forming the phase change material layer has about 0. Process pressure from 05 millitorr to about 1 Torr. The phase change material layer fills the trench without any defects such as voids, seams, protrusions, and the like. Example 3 A trench having a linear shape was formed on an object. The trench has a depth of about 1200 A, a width of about 300 A below, and a width of about 700 A. A phase change material layer filling the trench is formed using a source target including boron (B), ruthenium, osmium, and iridium in a sputtering process. The source target comprises from about 5 weight percent to about 30 weight percent boron and from about 15 weight percent to about 3 weight percent rhodium. Additionally, the source target includes from about 5 weight percent to about 30 percent replacement and from about 45 weight percent to about 65 weight percent. The phase change material layer is obtained at a temperature of about 60% of about 590 ° C (the melting point temperature of the source target). When the phase change material layer is formed, a bias power of about 1 00 W to about 5 KW is applied to the source target. The chamber for forming the phase change material layer has about 0. Process pressure from 05 millitorr to about 1 Torr. The phase change material layer fills the trench of the linear shape without any defects such as voids, seams, protruding portions, and the like. Example 4 153259. Doc •22· 201135999 A groove with a straight shape is formed on an object. The trench has a depth of about 1200 A, a width of about 300 A below, and a width of about 700 A. Use in the sputtering process including bismuth (Si), 锗. One of the source targets of 锑, 锑 and 形成 forms a phase change material layer filling the trench. The source target comprises from about 5 weight percent to about 30 weight percent bismuth, from about 15 weight percent to about 30 weight percent bismuth, from about 15 weight percent to about 3 weight percent bismuth. Further &apos; source targets include from about 45 weight percent to about 65 weight percent hoof. The phase change material layer was obtained at a temperature of about 60% of about 620 ° C (the melting point temperature of the source target). A bias power of about 100 W to about 5 KW is applied to the source target when forming the phase change material layer. The chamber for forming the phase change material layer has about 0. Process pressure from 05 millitorr to about 1 Torr. The phase change material layer fills the trench of the linear shape without any defects such as voids, seams, protruding portions, and the like. Example 5 A trench having a linear shape was formed on an object having a depth of about 1200 Å, a width of about 300 A below, and a width of about 700 people. A source of a phase change material filling the trench is formed using a source target including iron (Fe), germanium (Ge), antimony (sb), and germanium (Te) in a trap process. The source target comprises from about 5 weight percent to about 30 weight percent iron and from about 5 weight percent to about 30 weight percent rhodium, and further, the source target comprises from about 15 weight percent to about 30 weight percent rhodium and about 45 percent. Weight percentage to about 65 weight percent. By sputtering at a temperature of about 610 ° C (the melting point temperature of the source target) of about 〇 153 153259. Doc •23· 201135999 The recording process obtains a layer of phase change material. When a phase change material layer is formed, a bias power of about 100 W to about 5 KW is applied to the source target. The chamber for forming the phase change material layer has a process pressure of from about 毫 5 Torr to about 1 Torr. The phase change material layer fills the trench of the linear shape without any defects such as voids, seams, protruding portions, and the like. Example 6 forms a groove with a straight shape on an object. The trench has a depth of about 1200 A, a width of about 300 A below, and a width of about 700 A. A phase change material layer filling the trench is formed using a source target including carbon (C), ruthenium, osmium, and iridium. The source target comprises from about 5 weight percent to about 30 weight percent carbon, from about 15 weight percent to about 3 weight percent bismuth, from about 15 weight percent to about 30 weight percent 另外 "Additionally, the source target comprises about 45 Weight percentage to about 65 weight percent. The phase change material layer is obtained at a temperature of about 60% of about 605 ° C (the melting point temperature of the source target). When the phase change material layer is formed, a bias power of about 100 W to about 5 KW is applied to the source target in the sputtering process. The chamber for forming the phase change material layer has about 0. Process pressure from 05 mTorr to about 1 mTorr. The phase change material layer completely fills the trench without any defects such as voids, seams, protruding portions, and the like. Example 7 A trench having a linear shape was formed on an object. The trench has a depth of about 1200 A, a width of about 300 A below, and a width of about 700 A. A phase change material layer filling the trench is formed using a source target including one of the inscriptions (A1), 锗, 锑, and 碲. The source target comprises from about 5 weight percent to about I53259. Doc •24· 201135999 3〇 Weight ratio of aluminum and about 15% by weight to about 3% by weight. Additionally, the source target comprises from about 15 weight percent to about 3 weight percent bismuth and from about 45 weight percent to about 65 weight percent bismuth. The phase change material layer is obtained at a temperature of about 65% of about 615 ° C (the melting point of the source target). When the phase change material layer is formed, a bias power of about 100 W to about 5 KW is applied to the source target in the sputtering process. The chamber for forming the phase change material layer has about 〇. 制 5 mTorr to about 1 Torr of process pressure. The phase change material layer sufficiently fills the trench without any defects such as voids, seams, protrusions, and the like. Example 8 A trench having a linear shape was formed on an object. The trench has a depth of about 1200 A, a width of about 300 A below, and an upper width of about 7 〇〇. Forming a phase change material layer that fills the trench using a source target comprising bismuth (Bi), ruthenium, osmium, and iridium. The source target includes from about 5 weight percent to about 30 weight percent ruthenium and from about 15 weight percent to about 3 The total weight of the total weight. Additionally, the source target comprises from about 15 weight percent to about 3 weight percent bismuth and from about 45 weight percent to about 65 weight percent bismuth. A phase change material layer is obtained at a temperature of about 6 〇% of about 585 C (the melting point of the source target). When the phase change material layer is formed, a bias power of about 100 W to about 5 KW is applied to the source target in the sputtering process. The chamber for forming the phase change material layer has about 0. 05 milliTorr to about 丨〇 millitorn of process pressure. The phase change material layer sufficiently fills the straight-shaped trench without any defects such as voids, seams, and protrusions. Example 9 153259. Doc •25· 201135999 Forms a trench with a straight shape on an object. The trench has a depth of about 1200 A, a width of about 300 A below, and a width of about 700 A. A layer of phase change material filling the trench is formed using a source of indium (In), germanium, germanium, and sinter in a sputtering process. The source target comprises from about 5 weight percent to about 30 weight percent indium, from about 15 weight percent to about 3 weight percent rhodium, from about 15 weight percent to about 3 weight percent rhodium. Additionally, the source target comprises from about 45 weight percent to about 65 weight percent of the monument 0 to obtain a phase change material layer at a temperature of about 690 ° C (the melting point temperature of the source target) of about 6 %. When the phase change material layer is formed, a bias power of about 100 W to about 5 KW is applied to the source target in the sputtering process. The chamber for forming the phase change material layer has a process pressure of from about 0.05 mTorr to about 丨〇 mTorr. The phase change material layer completely fills the trench without any defects such as voids, seams, protruding portions, and the like. Comparative Example 1 A trench having a linear shape was formed on an object. The trench has a depth of about 1200 。. The trench also has a width of about 3 之下 below and a width of about 7 〇〇 A. A source target comprising indium (ln), tantalum, niobium and hoof is used in the sputtering process to form a phase change material layer on the trench. The source target comprises from about 5 weight percent to about 30 weight percent indium, from about 15 weight percent to about 30 weight percent rhodium, from about 15 weight percent to about 3 weight percent. Further &apos;source&apos; targets include from about 45 weight percent to about &quot;weight percent hooves. Phase 153259 is obtained at a temperature of about 55% of the 580 °C (source target melting point temperature). Doc -26 - 201135999 Change material layer. When a phase change material layer is formed, a bias power of about 1 G0 W to about 5 KW is applied to the source target in a process. The chamber for forming the phase change material layer has about 〇. 制 5 mTorr to about 1 Torr of process pressure. The phase change material layer has a protruding portion at an upper portion of the trench, and a defect such as a void is generated in the phase change material layer positioned in the trench. Comparative Example 2 A trench having a linear shape was formed on an object. The trench has a depth of about 1200 A, a width of about 300 A below, and a width of about 700 A. A phase change material layer filling the trench is formed using a source target including one of the side, the wrong, the recorded, and the monument. The source target comprises from about 5 weight percent to about 30 weight percent boron, from about 15 weight percent to about 30 weight percent rhodium, from about 15 weight percent to about 30 weight percent rhodium. Additionally, the source target comprises from about 45 weight percent to about 65 weight percent rhodium. The phase change material layer is obtained at a temperature of about 55% of about 590 ° C (the melting point of the source target). When a phase change material layer is formed by a sputtering process, a bias power of about 100 W to about 5 KW is applied to the source target. The chamber for forming the phase change material layer has a process pressure of from about 0.05 mTorr to about 1 Torr. The phase change material layer has defects such as a protruding portion at an upper portion of the trench and a void in the trench. Comparative Example 3 A trench having a linear shape was formed on an object. The trench has a depth of about 1200 ' 'about 300 Α lower width and about 70 〇 upper width. In the sputtering process, one of the source targets including nitrogen, helium, neon and xenon is used. Doc •27· 201135999 A layer of phase change material that fills the trench. The source target comprises from about 5 weight percent to about 30 weight percent nitrogen, from about 15 weight percent to about 3 weight percent rhodium, from about 15 weight percent to about 3 weight percent rhodium. In addition, the source target comprises from about 45 weight percent to about 65 weight percent rhodium. The phase change material layer is obtained at a temperature of about 55% of about 600 ° C (the melting point of the source target). When a phase change material layer is formed by a sputtering process, a bias power of about 100 W to about 5 KW is applied to the source target. The chamber for forming the phase change material layer has about 0. Process pressure from 05 mTorr to about 1 mTorr. The phase change material layer has defects such as a protruding portion at an upper portion of the trench and a void in the trench. Comparative Example 4 A trench having a linear shape was formed on an object. The trench has a depth of about 1200 A, a width of about 300 A below, and an upper width of about 7 》. In the splash clock process, a source target including oxygen, helium, neon, and xenon is used to form the trench. Phase change material layer. The source target comprises from about 5 weight percent to about 30 weight percent oxygen, from about 5 weight percent to about 3 weight percent rhodium, from about 15 weight percent to about 3 weight percent rhodium. In addition, the source target comprises from about 45 weight percent to about 65 weight percent rhodium. A phase change material layer is obtained at a temperature of about 55% of about 610 ° C (the melting point of the source target). When a phase change material layer is formed by a sputtering process, a bias power of about 100 W to about 5 KW is applied to the source target. The chamber for forming the phase change material layer has about 0. Process pressure from 05 mTorr to about 1 mTorr. The phase change material layer has defects such as protrusions at the upper portion of the trench and voids in the trench. 153259. Doc •28· 201135999 Comparative Example 5 A groove having a straight shape is formed on an object. The trench has a depth of about 1200 Å, a width of about 300 A below, and a width of about 7 〇〇 A. A source of phase change material filling the trench is formed in a sputtering process using a source target comprising ruthenium, osmium, iridium and ruthenium. The source target comprises from about 5 weight percent to about 30 weight percent of the stone and about 15 weight percent to about 3 weight percent. Additionally, the source target comprises from about 5 weight percent to about 3 weight percent and from about 45 weight percent to about 65 weight percent. A phase change material layer is obtained at a temperature of about 55% of about 620 ° C (the melting point temperature of the source target). When a phase change material layer is formed by a sputtering process, a bias power of about 100 W to about 5 KW is applied to the source target. The chamber for forming the phase change material layer has about 0. 05 mTorr to about 1 〇 millitorn of the process dust. The phase change material layer has defects such as protrusions at the upper portion of the trench and voids in the trench. Comparative Example 6 A trench having a linear shape was formed on an object. The trench has a depth of about 1200 Å, a width below about 300 A, and a width above about 70 〇 A. A layer of phase change material filling the trench is formed using a source target including carbon, erroneous, recorded, and erbium. The source target comprises from about 5 weight percent to about 3 weight percent carbon, from about 15 weight percent to about 30 weight percent error, from about 15 weight percent to about 30 weight percent. In addition, the source target comprises from about 45 weight percent to about 65 weight percent. The phase 153259 is obtained at a temperature of about 550 C (the melting point of the source target) of about 55 %. Doc -29- 201135999 Change material layer. When a phase change material layer is formed by a sputtering process, a bias power of about 100 W to about 5 KW is applied to the source target. The chamber for forming the phase change = material layer has about 0. Process pressure from 05 mTorr to about 1 mTorr. The phase change material layer has defects such as protrusions at the upper portion of the trench and voids in the trench. Comparative Example 7 A trench having a linear shape was formed on an object. The trench has a depth of about 1200 A, a width of about 300 A below, and an upper width of about 7 〇〇. A source of a phase change material filling the trench is formed in a sputtering process using one of the source targets including iron, ruthenium, iridium and ruthenium. The source target comprises from about 5 weight percent to about 30 weight percent iron and from about 15 weight percent to about 3 weight percent. Further, the source target comprises from about 5 weight percent to about 3 weight percent bismuth and from about 45 weight percent to about 65 weight percent hoof. A phase change material layer is obtained at a temperature of about 55% of about 610 ° C (the glaze temperature of the source target). When a phase change material layer is formed by a sputtering process, a bias power of about 10 W to about 5 KW is applied to the source target. The chamber for forming the phase change material layer has a process pressure of from about 0. 5 mTorr to about 1 Torr. The phase change material layer has defects such as protrusions at the upper portion of the trench and voids or seams created in the trench. Comparative Example 8 A trench having a linear shape was formed on an object. The trench has a depth of about 1200 A, a width of about 300 A below, and a width of about 700 A. In the sputtering process, one of the target shapes including aluminum, tantalum, niobium and tantalum is used. Doc -30- 201135999 A layer of phase change material that fills the trench. The source target comprises from about 5 weight percent to about 30 weight percent aluminum, from about 15 weight percent to about 3 weight percent bismuth, from about 15 weight percent to about 3 weight percent bismuth. In addition, the source target comprises from about 45 weight percent to about 65 weight percent rhodium. A phase change material layer is obtained at a temperature of about 55% of about 610 ° C (the melting point of the source target). When a phase change material layer is formed by a sputtering process, a bias power of about 100 W to about 5 KW is applied to the source target. The chamber for forming the phase change material layer has about 0. Process pressure from 05 mTorr to about 10 mTorr. Phase The layer of worrying material has defects such as a protruding portion at the upper portion of the trench and a void in the trench. According to Comparative Examples 1 to 8, when a phase change material layer is formed at a relatively low process temperature, defects such as voids, seams, and/or protruding portions can be easily generated in the phase change material layer. However, when a phase change material layer is obtained at a relatively high temperature according to the examples 丨 to 8, the phase change material layer can completely fill the trench without creating voids, seams and/or protrusions in the phase change material layer. 4 through 6 are cross-sectional views illustrating a method of forming a phase change layer in accordance with an example embodiment of the inventive concept. Referring to Fig. 4, after an insulating structure 55 is formed on the article 50, the insulating structure 55 is partially engraved to provide a fine structure 6 through the insulating structure 55. The microstructure 60 can expose a portion of the article 50. The article 50 may include various substrates such as a semiconductor substrate, a substrate having a semiconductor layer, an insulating substrate, a glass substrate, a metal oxide substrate, and the like. In addition, the article 50 may include various structures provided on various substrates, such as conductive 153259. Doc •31· 201135999 Patterns, electrodes, pads, contacts, contact areas, etc. The insulating structure 55 may include an oxide, a nitride, and/or an oxynitride. For example, the insulating structure 55 may include oxidized stone, cerium nitride, gas oxidized stone, nitrous oxide, and the like. These materials may be used singly or in combination. The insulating structure 55 may have a single layer structure including an oxide film, a nitride film, or an oxynitride film. Alternatively, the insulating structure 55 may have a multilayer structure including an oxide film, a nitride film, and/or an oxynitride film. In the practice according to the examples of the present invention, the fine structure 6〇 may include a fine contact hole, a fine via hole, a fine opening, a microchannel, or the like. Here, the microstructures 60 can have a relatively high aspect ratio. The microstructure 6〇 exposes at least a portion of the article 50. In addition, the microstructure (9) can expose the contact areas, conductive patterns or switching devices provided on the article 50. The microstructure 60 can be obtained by an optical lithography process or a (four) process using an additional mask such as a hard mask. Referring now to Figure 4, a first phase change material layer is formed on the insulating structure 55 to partially fill the fine structure 6A. The first phase change material layer can be positioned on the exposed portion of the article 50, the sidewall of the microstructure 6 (), and the insulating layer μ. The first phase change material can be used to form the first phase change material layer... the first phase change material A chalcogenide compound 'non-chalcogenide compound, a chelate compound of ####, a non-chalcogenide compound including a dopant, etc. may be included. In situ reflow as described in the examples of the inventive concept Mechanism of material layer 65. In this case, in the embodiment, 'the first phase change can be obtained by using the upper first PVD to obtain a melting 153259 lower than the first phase change material. Doc -32· 201135999 The relative temperature of about 60% of the point temperature is relatively high. The first PVD process for forming the first phase change material layer 65 is performed at the first temperature. For example, the first phase change material layer 65 can be formed on the article % and the insulating structure 55 by a first riding process performed at a relatively low first temperature. The first phase change material layer 65 can have a thickness that is substantially the same as or substantially similar to about 1/3 of the depth of the microstructure (10). That is, the first phase change material layer may partially fill the fine structure 60 to about 1/3 of the depth of the fine structure (9) based on the exposed object 5?. When the first phase change material layer (4) is formed by the first-PVD process, the first phase change material layer 65 may not be strongly present at the surface of the first phase change material layer 65. Therefore, the first phase change material layer 65 on the insulating structure 55 may have a rounded shape whose radius of curvature is substantially larger than the radius of curvature of the dome shape or the hemispherical shape. When the one-phase change memory device includes the first phase change material layer 65, the phase change material layer "may correspond to a -programmable volume (PV) region, since the first phase change material layer 65 may be due to the lower structure The applied f flow causes a phase transition in the first phase change material layer 65. That is, when a current is applied to the first phase change material layer 65 via the lower contact 'lower pad, lower electrode, or the like, the first phase changes. The first phase change material in material layer 65 can readily cause a phase transition due to the current. According to an example embodiment of the inventive concept, deposition can be performed on object 50 and insulating structure 55 at a relatively low second degree. The first phase change material is used to obtain the first phase change material layer 65. At the relatively lower first temperature, the first phase change material may not cause the first phase change material to be: 153259. Doc •33· 201135999 In-situ reflow. In this case, the first phase change material layer 65 may partially fill the fine structure 60 such that defects such as voids or seams may not substantially occur in the first phase change material 65 in the microstructure 60. Referring to Figure 5, a second phase change material layer 70 is formed on the first phase change material layer 65. The second phase change material layer 70 can completely fill the fine structure 6〇. The second phase change material layer 7 can be obtained at a relatively high second temperature by a second PVD process utilizing the in situ reflow mechanism described above. In an example embodiment in accordance with the inventive concept, The two-phase change material layer 7〇 may be integrally formed with the first phase change material layer 65. The second phase change material layer 70 can be formed using the second phase change material. The second phase change material may include a chalcogenide compound, a non-chalcogenide compound, a chalcogenide compound having a dopant, a non-chalcogenide compound having a dopant, and the like. In an example embodiment in accordance with the inventive concepts, the second phase change material of the second phase change material layer 7 may have a substantially similar phase-change material included in the first phase change layer 65. A composition of the composition. In this case, the portion of the second phase change material layer located in the fine structure 6G may have a composition slightly different from the composition of the first phase change material layer 65 of the fine structure 6?. That is, a difference in composition between the first phase change material and the second phase change material may occur in the microstructure, because the first phase change material layer 65 and the second phase change material layer 7 are respectively different. Formed at temperature. Therefore, at least the component of the second phase change material layer 7A may have a content different from the content of at least one of the first phase change material # layer 65. In some examples according to some examples of the inventive concept: the content of bismuth (Sb) and/or bismuth (4) in the second phase change material layer 70 may be substantially 153259. Doc -34- 201135999 is greater than the content of ruthenium and/or ruthenium in the first phase change material layer 65. Thus, the second phase change material in the microstructures 60 can have a relatively rich content of niobium and/or niobium. According to an example embodiment of the inventive concept, the second PVD process can be performed at a second temperature that is substantially greater than the first temperature. For example, the second phase change material layer 7' can be formed by a second sputtering process performed at a second temperature higher than about 6% of the melting temperature of the second phase change material. The second phase change material layer 70 may have a thickness that is higher than about 2/3 of the depth of the microstructures 60. Therefore, the thickness ratio between the first phase change material layer 65 and the second phase change material 70 may be in a range between about 13 and about 3 Torr. For example, when the first phase change material layer 65 has a thickness of about 4 Å to about 6 Å, the second phase change material layer 7 〇 may have a thickness of about 8 Å () A to about A. π According to an example embodiment of the inventive concept, an in-situ reflow mechanism is used to obtain a second phase change material layer 7, and a component in the second phase change material can be strongly diffused at the surface of the :: phase change material layer 70, And the surface of the second phase change material can be reduced. Therefore, the second or second gap or seam of the re-twist in the fine phase change material layer 7A can be reduced. Further, the dog exit P score in the second phase change material layer 70 formed adjacent to the soil from the upper side of the fine structure 60 can also be reduced. In addition, the second phase change material layer 7 之上 above the insulating structure 55 may have a meandering shape, a texture + a spherical shape, and a substantially dome-shaped shape. The second phase change material layer 7 is on top. P The radius of curvature of the P-knife can be the radius of curvature of the upper part. Less than the first phase change material layer 65 153259. Doc -35- 201135999 When the one-phase change memory device includes the second phase change material layer 7〇 and the first phase change material layer 65, the second phase change material layer 70 may correspond to a non-programmable volume (NPV) region There is no phase transition in this NPV region. That is, when a current is applied from the lower structure such as the lower contact, the lower liner, the lower electrode or the like via the first phase change material layer 65, the second phase change material layer 70 may not undergo phase transformation. However, the first phase change material layer 65 may be more susceptible to undergoing a phase transition in response to the applied current as described above. Since the phase change memory device includes the first phase change material layer 65 corresponding to the MPV region and the second phase change material layer 7〇 corresponding to the NPV region, the set condition and weight of the phase change memory device in the phase change memory device It is assumed that there may be an increased resistance margin between the conditions, and the phase change memory device may ensure improved data retention characteristics due to the first phase change material layer 65 and the second phase change material layer 7〇. In the case where an in-situ reflow mechanism is used to form a phase change material layer to fill a fine structure, the composition of the phase change material layer can be varied in the fine structure. For example, when the phase change material includes tantalum and niobium, the content of niobium and tantalum in one of the phase change material layers in the microstructure may be substantially greater than in other portions of the phase change material layer outside the microstructure. The content of strontium and barium. If a portion of the phase change material layer positioned in the microstructure comprises relatively more germanium and germanium, the phase change material may not ensure proper data retention characteristics because of the phase change material layer in the microstructure. A phase transition of the phase change material may occur. According to an exemplary embodiment of the inventive concept, a first phase change material layer 65 is formed at a relatively low first temperature, at the relatively lower first temperature, the first phase change material layer 153259. Doc •36· 201135999 The composition may not reflow in situ, so that the first phase change material layer 65 can improve the data retention characteristics. Further, the second phase change material layer 7 is obtained using an in-situ reflow mechanism at a relatively high second temperature, so that the phase change material layer can reduce defects in the microstructure. Therefore, including.  Phase memory material of the first phase change material layer 65 and the second phase change material layer 7〇.  The device provides enhanced data retention characteristics, improved resistance margins, and more. In the example implementation according to the inventive concept, the first phase change material layer 65 and the second phase change material layer 7 may be formed in situ, that is, the first phase change material layer is formed on the object 50 and the insulating structure 55. After 65, the second phase change material layer 70 may be successively formed on the first phase change material layer 65 by: increasing the process temperature from the first temperature to the second temperature while maintaining the process pressure of the chamber. The vacuum is interrupted. For example, the first phase change material layer 65 and the second phase change material layer 7 can be obtained by increasing the process temperature of the process (10) without changing the composition of the source target. Referring to Figure 6, the first phase change material layer "and the second phase change material layer 70 are partially removed until the insulating structure 55 is exposed. Thus, a phase change structure is formed to completely fill the fine structure 6". The structure includes a first phase change material layer pattern 75 and a second phase change material layer pattern 80 successively formed on the object 50. The first phase change material layer pattern 75 and the first phase change can be formed by a CMP process and/or an etch back process. Two-phase varying material layer pattern 8A. Figures 7 and 8 are cross-sectional views illustrating a method of forming a phase change structure in accordance with an example embodiment of the inventive concept. Referring to Figure 7, an object comprising a substrate 1 Forming an insulating structure 105. The insulating structure 1〇5 may include an oxide film, a nitride film, and a nitrogen oxide 153259. Doc -37· 201135999 The compound film makes at least one of them. For example, the insulating structure ι 5 may include at least one of a hafnium oxide film, a tantalum nitride film, and a hafnium oxynitride film. The insulating structure 105 is partially etched to provide a microstructure 11 that exposes a predetermined portion of the object 1〇〇. For example, an additional mask can be used to form the microstructure 11 by a photolithography process or an etching process. A wetting layer 115 is formed on the exposed portion of the article 100, the sidewall of the fine junction mi and the insulating structure 105. The wetting layer 115 can be formed uniformly along the outline of the fine structure ιι. The wetting layer 115 can be formed by an ALD process, a cvd process, a 贱 bond process, a PLD process, or the like. The interwetting layer 115 can have a relatively thin thickness. For example, the wetting layer 115 can have a thickness of less than about 2 〇〇. In an exemplary embodiment in accordance with the teachings of the present invention, a wetting layer 11 5 can be formed using a material that improves the wettability of the phase change material layer 125 formed successively on the material. The wettability of the phase change material layer [25] may indicate the dispersion of the phase change material layer 125 with respect to the wetting layer 115. In an exemplary embodiment in accordance with the inventive concept, a metal and/or metal nitride may be used to form the wetting layer 11 5 » For example, the wetting layer i丨5 may include titanium (Ti), titanium nitride (TiNx ), tantalum (Ta), nitride button (TaNx), tungsten, tungsten nitride (WNx), and the like. These materials may be used singly or in combination. The wetting layer 115 may be formed using an insulating material such as a metal oxide in some example embodiments in accordance with the inventive concepts. For example, the wetting layer 1丨5 may include niobium oxide (NbOx), oxidized (ZrOx), hafnium oxide (Hf〇x), and the like. These materials may be used singly or in combination. When the wetting layer 1丨5 comprises a metal oxide, the wetting layer 115 can have a very thin thickness for securing the self-object 1〇〇 153259. The contact region of doc-38-201135999 is tunneled toward the charge (such as electrons) of the phase change material layer 125. A seed layer 120 is formed on the wetting layer 115. The seed layer 120 can be uniformly formed on the wetting layer 115. That is, the seed layer 120 can be conformally formed along the contour of the microstructure 110 on the wetting layer 115. The seed layer 120 can be formed using a metal, a metal nitride, a metal halide, a metal oxide, or the like. For example, the seed layer 120 may include germanium (Ge), germanium (Sb), germanium-tellurium-tellurium (Ge-Sb-Te), germanium-tellurium (Sb-Te), germanium-tellurium (Ge-Te). , titanium (Ti), recorded (Zr), given (Hf), vanadium (V), sharp (Nb), button (Ta), tungsten (W), titanium nitride (TiNx), zirconium nitride (ZrNx), Tantalum nitride (HfNx), vanadium nitride (VNx), nitrided (NbNx), tantalum nitride (TaNx), tungsten nitride (WNx), cobalt (CoSix) titanium dioxide (TiSix), bismuth ( TaSix), NiSix, GeSix, TiAlxNy, TiCxNy, TaCxNy, TiSixNy, Nitride Group (TaSixNy), titanium oxide (Ti〇x), zirconium oxide (Zr〇x), hafnium oxide (HfOx), niobium oxide (NbOx), tantalum oxide (Ta0x), tungsten oxide (w〇x), vanadium oxide ( VOx) and so on. These materials may be used singly or in combination. In an example embodiment in accordance with the teachings of the present invention, the seed layer 12() may be obtained by a CVD process, an ALD process, a sputtering process, a PLD process, or the like. The seed layer 120 can also have a relatively thin thickness. For example, the seed layer HQ can have a thickness of less than about 400 Å. In some example embodiments in accordance with the inventive concepts, one of the wetting layer 115 and the seed layer 12A may be formed on the article 100 and the insulating layer 105. For example, only the wetting layer 丨^, J53259 can be formed on the object 100 and the insulating layer 1〇5. Doc -39- 201135999 Or only the seed layer i 20 can be provided on the object 100 and the insulating layer 105. Referring now to Figure 7', a phase change material layer 125 is formed on the seed layer 12A. The phase change material layer 丨25 can be obtained using an in-situ reflow mechanism. For example, phase change material 125 can be formed on seed layer 120 by a process substantially the same as or substantially similar to the process described with reference to FIG. The phase change material layer 125 may be formed using a phase change material including a chalcogenide compound, a non-chalcogenide compound, a chalcogenide compound having a dopant, a non-chalcogenide compound having a dopant, or the like. Referring to Fig. 8, portions of the phase change material layer 25, the seed layer 120, and the wetting layer 115 are removed until the insulating structure is exposed. Therefore, a phase change structure is provided in the microstructures 110. The phase change structure can be obtained by a CMP process and/or an etch back process. In an exemplary embodiment in accordance with the inventive concept, the phase change structure includes a wetting layer pattern 130, a seed layer pattern 135, and a phase change material layer pattern 140. The wetting layer pattern 130 can be positioned on the exposed side of the article 11 and the microstructure 110. A seed layer pattern 135 is formed on the wetting layer pattern 130. The wetting layer pattern 13 0 and the seed layer pattern 13 5 may partially fill the fine structure 11 〇. The phase change material layer pattern 140 is located on the seed layer pattern 135 to completely fill the microstructure 11 0 » In some example embodiments in accordance with the inventive concepts, the phase change structure may include a phase change material layer pattern 140, and wetting One of the layer pattern 130 and the seed layer pattern 135. For example, the phase change structure can have a wetting layer pattern 130 and a phase change material layer pattern 140. Alternatively, the phase change structure may include a seed layer pattern 135 and a phase change material layer pattern 140. Here, the seed layer 153259. Doc •40· 201135999 The pattern 135 can be located on the side wall of the object 100 and the microstructure u〇. In some example embodiments in accordance with the teachings of the present invention, the phase change structure can include a first phase change material layer pattern and a second phase change material layer pattern. In this case, the first phase change material layer pattern and the second phase change material layer pattern can be obtained by a process substantially the same as or substantially similar to the processes described in reference to Figures 4-6. In some example embodiments according to the inventive concept, the phase change structure may include a first phase change material layer pattern, a second phase change material layer pattern, and at least one of the wetting layer pattern 130 and the seed layer pattern 135 . For example, the phase change structure may have a first phase change material layer pattern, a second phase change material layer pattern, and a wetting layer pattern 13A. Alternatively, the change structure may include a first-phase change (four) (four) 帛, a second phase change material layer pattern, and a seed layer pattern 135. 9 through 13 are cross-sectional views illustrating a method of fabricating a phase memory device in accordance with an example embodiment of the inventive concept. The phase change memory device obtained by the method described with reference to Figures 9 through 13 may comprise a phase change material layer (4) substantially identical or substantially similar to the phase change material layer pattern described with reference to Figure 3. Alternatively, the phase change memory device fabricated by the method described with reference to Figures 9 through 13 can have a phase change structure having substantially the same phase as or similar to that described in reference _ The construction of the structure of the varying structure. Referring to FIG. 9, a contact region is formed at a predetermined portion of the substrate 150. The substrate 150 may include a semiconductor substrate, a substrate having a semiconductor layer, a metal oxide substrate, or the like. The contact region 155 may include an impurity region, diffusion 153259. Doc 201135999 Area, conductive area, etc. For example, the contact region 155 can be formed on the substrate 15A by doping impurities into a predetermined portion of the substrate 15A via an ion implantation process. In an example embodiment in accordance with the concepts of the present invention, a lower structure may be provided on the substrate 150. The substructure may include conductive patterns, pads, contacts, insulation patterns, switching devices, and the like. Therefore, the contact region 丨55 can be electrically connected to the switching device of the lower structure. A first insulating layer 60 is formed on the substrate 150 having the contact regions 155. The oxide is used to form the first insulating layer 16 〇. For example, yttria may be used to form a first insulating layer 〇6〇 such as USG, SOG, BPSG, TOSZ®, FOX, TEOS, PE-TEOS, HDP-CVD oxide, and the like. This material can be used alone or in combination. Alternatively, the first insulating layer 丨 60 may be formed by a Cvd process, an LPCVD process, a spin coating process, a pecvD process, an HDP-CVD process, or the like. When the lower structure is disposed on the substrate i 5 , the first insulating layer 160 may have a sufficient thickness to completely cover the lower structure. A first opening 165 is formed through the first insulating layer 16 by partially engraving the first insulating layer i 6 . The first opening 165 exposes the contact area 155. For example, the first opening 165 can be formed by a photolithography process. The first opening 165 exposes at least a portion of the contact area 155. In an example embodiment in accordance with the concepts of the present invention, the first opening 165 can have a sidewall that is substantially perpendicular to the substrate 150. Alternatively, the side walls of the first opening 165 may be inclined by a predetermined angle with respect to the substrate 150. Referring now to Figure 9, the contact area 155 exposed by the first opening 165 is shaped 153259. Doc •42· 201135999 into a diode 180. The diode 180 includes a first conductive layer 170 and a second conductive layer 175 which are sequentially formed on the contact region 155. The diode 18 〇 can partially fill the first opening 165. The diode 180 can be formed using a polycrystalline layer comprising different impurities, respectively. For example, when the second conductive layer ι75 includes impurities, the first conductive layer 170 may include a P-type impurity. Alternatively, when the second conductive layer 175 includes a P-type impurity, the first conductive layer 170 may include an n-type impurity. However, the conductivity type of the impurities in the first conductive layer 170 and the second conductive layer 175 may vary depending on the conductivity type of the contact region 155. In a process for forming a diode 18 根据 according to an example embodiment of the inventive concept, a contact conductive region 150 can be used as a seed crystal to form a lower conductive layer on the contact region 丨 5 5 (not shown) And then different impurities may be doped into the upper portion and the lower portion of the lower conductive layer, thereby forming the first conductive layer 170 and the second conductive layer 175. Here, the lower conductive layer may partially fill the first Opening 165. For example, the lower conductivity f can be obtained by a selective epitaxial growth (SEG) process. When the lower conductive layer is formed by the contact region 155, the lower conductive layer may be packaged (four). In some example embodiments in accordance with the teachings of the present invention, a polycrystalline layer (not shown) having different impurities may be formed on the contact region 155 in the first-on σ 165, and then the portion may be partially removed. The polycrystalline layer is formed to form the first conductive layer i 70 and the second conductive layer 175. Referring to FIG. 10, a lower electrode layer is formed on the diode 180, the sidewall of the first opening 165, and the first insulating layer 160 ( Not shown in the figure). The lower electrode layer can be uniformly formed along the outline of the first opening 165. Therefore, the first opening 165 may not completely fill the lower electrode layer. In accordance with the inventive concept of 153259. Doc -43* 201135999 In an example embodiment, a lower electrode layer may be formed using a stone, a metal, and/or a metal compound. For example, 'the lower electrode layer may include polycrystalline germanium containing impurities, amorphous germanium containing impurities, single crystal germanium containing impurities, titanium, tungsten, button, aluminum, titanium nitride, tungsten nitride, nitride button, nitride Aluminum, aluminum nitride, etc. These materials may be used singly or in combination. The lower electrode layer can be obtained by a CVD process PECVD process, an ALD process, a pLD process, a demining process, and the like. A filling layer (not shown) is formed on the lower electrode layer. The fill layer can fill the first opening 165 sufficiently. An oxide vapor oxynitride or the like can be used to form the filling layer. For example, the filling layer may include oxidized stone, cerium nitride, oxynitride, titanium oxynitride, or the like. The filling layer can be obtained by a cvd process, a CVD process, a spin coating process, an ALD process rib p cvD process, or the like. In some example embodiments in accordance with the teachings of the present invention, the lower electrode layer may completely fill the first opening 165. In this case, a filling layer may not be formed on the lower electrode layer. The filling layer and the lower electrode layer are removed 2 knives until the first insulating layer _ is exposed so that the lower electrode (8) and the true yak 190 are provided in the first opening σ 165. The lower electrode 185 and the filling member 19A can be formed by a CMp process, an etch back process, or the like. The lower electrode 185 can contact the sidewall of the first opening (6) and the top surface of the diode (10). The lower electrode 185 can fill the peripheral portion of the first opening 165. The filling member can be completely filled with the first opening I. Here, the filling member 190 can close the lower electrode 185. In an exemplary embodiment in accordance with the teachings of the present invention, the filling member 19 and the lower portion 153259. The doc 201135999 portion electrode 185 can have a substantial structure. For example, when the shape of the first door: opening 165 is limited - the opening 165 has a substantially circular cross section, an elliptical cross section or a substantially polygonal cross section, the lower electrode type -V, there is no a cylindrical structure having a substantially circular cross section and a substantially elliptical cross section. In this case, the filling portion Γ0 may have a circular pillar structure, a substantially "shaped pillar structure or a substantially polygonal pillar structure. In the embodiment according to the present invention, the lower electrode 185 may have substantially the same or substantially similar to the first opening when the filling member 190 is not provided in the first opening 165. The structure of the shape of 165. For example, when the first opening σ 6 opening 165 has a substantially circular cross section, a substantially elliptical cross section or a substantially guest edge negative negative cross section, the lower electrode 185 can be configured Such as a substantially circular pillar shape, a substantially elliptical pillar shape, or a substantially polygonal pillar shape. Referring to Figure 11, at the _ ¢ 3 Δ4.  a , Shidi, ‘An insulating structure 195 is formed on the rim layer WO, the lower electrode 185, and the filling member m. The insulating structure 195 can be formed using oxides, nitrides, and or oxides. In an exemplary embodiment according to the inventive concept, the insulating structure 195 may have a single layer structure including an oxide thin film, a nitride thin film, or a nitrogen oxide (tetra) film. Alternatively, the insulating structure 195 may have a multilayer structure including at least one of an oxide film, a nitride film, and an oxynitride film. The insulating structure 195 can be obtained by a process substantially the same as or substantially similar to the process described with reference to FIG. The insulating structure 195 is etched 4 to form a fine structure 2 through the insulating structure 195. The fine structure 2 〇 exposes the lower electrode 185 and the filling member 19A. 153259. Doc -45· 201135999 The microstructure 200 may have various shapes such as a hole having a substantially circular cross section, a hole having a substantially elliptical cross section, a hole having a substantially polygonal cross section, and the like. The microstructure can be obtained through the insulating structure 195 by an optical lithography process or an etching process using an additional mask. The microstructures 200 can be formed by processes substantially the same as or substantially similar to those described with reference to FIG. 〇 In addition, the microstructures 2 can have substantially the same or substantially similar to that described with reference to FIG. The construction of the structure of the microstructure. As illustrated in Fig. 11, a phase change material layer 2 〇 5 is formed on the insulating structure 195 to fill the fine structure 2 〇 〇. The phase change material layer 2〇5 can be obtained by a p v d process using an in-situ reflow mechanism. The phase change material layer 205 can be formed by a process substantially the same as or substantially similar to the process described with reference to FIG. Alternatively, the phase change material layer 2〇5 may be formed using a phase change material substantially the same as or similar to the phase change material described in reference to Fig. 2. Due to A, the phase change material layer 2G5 can completely fill the fine structure 2〇〇 while allowing defects such as voids, seams or protruding portions in the phase change material layer 205 to be reduced. Further, a portion of the phase change material layer 2〇5 may protrude above the insulating structure 195 to have a dome shape, a substantially hemispherical shape, a substantially elliptical spherical shape, or the like. In some example embodiments in accordance with the teachings of the present invention, at least the wet and seed layers may be formed on the bottom and sidewalls of the microstructure 2 (10) prior to forming the layer of phased material 2G5. The wetting layer and the seed layer can be formed by a process substantially the same as or similar to the process described with reference to FIG. 153259. Doc -46 - 201135999 Referring to Figure 12', the phase change material layer 2 (4) is partially removed. Therefore, a = material layer pattern 210 ° phase change material layer pattern 21G can be formed in the fine structure to be in contact with the lower electrode 185 and the filling member 190. For example, the lower peripheral portion of the phase change material layer pattern (4) may contact the lower electrode 185, and the lower central portion of the phase change material layer pattern 21 〇 T may be in contact with the filling member 19A. The phase change material layer pattern 21 can be obtained by the CMp process button process. An upper electrode layer 215 is formed on the phase change material layer pattern 210 and the insulating structure 195. The upper electrode layer 215 can be formed using polycrystalline stone, metal, metal nitride, metal halide or the like. For example, the upper electrode layer 215 may include polycrystalline spine doped with impurities, titanium, sulphur, tungsten, titanium nitride, titanium oxide, nitriding, nitriding, and titanium. Shi Xihua, Shi Xihua, Suihua Nickel, etc. These materials may be used singly or in combination. The upper electrode layer 215 can be obtained by a cvd process, an ALD process, a PLD process, an evaporation process, a blanking process, and the like. Referring to Fig. 13, an upper electrode 22 is formed on the phase change material layer pattern 210 by patterning the upper electrode layer 215. The upper electrode 22'' has a width substantially larger than the width of the phase change material layer pattern 21''. Therefore, the upper electrode 220 may be located on a portion of the phase change material layer pattern 21 and the insulating structure 195 adjacent to the phase change material layer pattern 210. A second insulating layer 225 is formed on the insulating structure 195 to cover the upper electrode 220. The second insulating layer 225 can be formed using oxides, nitrides, and/or oxynitrides. The second insulating layer 225 can be obtained by a CVD process, a spin coating process, a pECVD process, an HDP-CVD process, or the like. In accordance with the present invention, 153259. Doc-47·201135999 In an example embodiment, the second insulating layer 225 may comprise a material that is substantially the same as or substantially similar to the material of the first insulating layer 160. Alternatively, different materials may be used to form the first insulating layer 160 and the second insulating layer 225 ° to partially engrave the second insulating layer 225 to form the upper electrode 220 exposed to the second opening 230. The second opening 230 may be worn. A portion of the upper electrode 220 is partially exposed through the second insulating layer 225. The second opening 230 can be obtained by a photolithography process. A conductive layer (not shown) is formed on the second insulating layer 225 to fill the second opening 230. The conductive layer is partially removed until the second insulating layer 225 is exposed so that a pad or contact 235 is formed in the second opening 230. Contact 235 can contact upper electrode 220. The conductive layer can be formed using a metal, a metal compound, a polysilicon or the like. For example, the conductive layer may include polysilicon having impurities, titanium, aluminum, a button, tungsten, tungsten nitride, aluminum nitride, aluminum nitride, tungsten nitride, or the like. These materials may be used singly or in combination. Further, the conductive layer can be formed by a CVD process, an ALD process, a 1&gt;1) process evaporation process, a sputtering process, or the like. Contact 235 can be obtained by a CMp process and/or a button process. In accordance with an example embodiment of the inventive concept, the phase change memory device can have a phase change material layer pattern that completely fills a microstructure without any defects such as voids, seams or protrusions. Therefore, the phase change memory device ensures a sufficient resistance margin between the set state and the reset state. Circles 14 through 16 are diagrams illustrating the fabrication of 153259 in accordance with an example embodiment of the inventive concept. Doc -48- 201135999 Cross-sectional view of the method of a phase memory device. In the method illustrated in Figures 14 through 16, the phase change memory device can include a phase change material layer pattern that is substantially identical or substantially similar to the phase change material layer pattern described with reference to Figure 6. Additionally, the phase change memory device obtained by the method illustrated in Figures 14 through 16 can include a wetted layer pattern and a seed layer pattern substantially identical or substantially similar to that described with reference to Figure 8. At least one of a wet layer pattern and a seed layer pattern. Referring to Fig. 14', a first insulating layer 260 is formed on a substrate 25 having one contact region 255. The contact region 255 may include an impurity region, a diffusion region, a conductive region, and the like. A lower structure can be provided on the substrate 25A. The lower structure may include conductive patterns, pads, contacts, insulation patterns, switching devices, and the like. The first insulating layer 26 can be formed using an oxide by a C VD process, an LPC VD process, a pec VD process, a spin coating process, an HDP-CVD process, or the like. In an exemplary embodiment in accordance with the teachings of the present invention, the first insulating layer 26 may have a horizontal plane formed by a planarization process. For example, the first insulating layer 260 can have a flat top surface formed by a CMP process and/or an etch back process. A portion of the first insulating layer 260 is etched to form a first opening 265 through the first insulating layer 26. The first opening 265 exposes at least a portion of the contact area 255. In an example embodiment in accordance with the teachings of the present invention, the first opening 265 can have a sidewall that is substantially perpendicular to the substrate 250 or substantially inclined at a predetermined angle relative to the substrate 250. A diode 153259 is formed on the contact region 250 exposed through the first opening 265. Doc •49- 201135999 280. The diode 280 includes a first conductive layer 27 and a second conductive layer 27. The diode 280 can partially fill the first opening. The diodes 28 including the first conductive layer 270 and the second conductive layer 275 can be obtained by a process substantially the same as or substantially similar to the process described with reference to FIG. A lower electrode layer (not shown) is formed on the diode 280, the sidewall of the first opening 265, and the first insulating layer 26A. The lower electrode layer partially fills the first opening 265. The lower electrode layer can be formed by a CVD process, a pECVD process, an ald process, a PLD process, a sputtering process, or the like using a polysilicon doped with an impurity, a metal, and/or a metal compound. A filling layer (not shown) is formed on the lower electrode layer to sufficiently fill the first opening 265. Oxide, nitride and/or oxynitride can be used by CVD process, LPCVD process, pECVD process, spin coating process from d process, HDP. The filling layer is formed by a CVD process or the like. In an example embodiment in accordance with the concepts of the present invention, when the lower electrode layer completely fills the first opening 265, a fill layer may not be provided on the lower electrode layer. The filling layer and the lower electrode layer are partially removed until the first insulating layer 26 is exposed to form the lower electrode 285 and the filling member 290 in the first opening 265. The lower electrode 285 can contact the diode 28 and the side wall of the first opening. The filling member 290 can completely fill the first opening 265. The filling member 29A can be closed by the lower electrode 285. Each of the lower electrode 285 and the filling member 290 may have a structure defined by the shape of the first opening 265. Referring now to Figure 14, an insulating structure 295 is formed over the first insulating layer 26, the lower electrode 285, and the fill member 290. The insulating structure 295 may have a single layer structure including an oxide film, a nitride film, or an oxynitride film. Or 153259. Doc 201135999, the insulating structure 295 may have a multilayer structure including at least two of an oxide film, a nitride film, and an oxynitride film. A microstructure 300 is formed through the insulating structure 295 by partially etching the insulating structure 295. The fine structure 3 turns the filling member 29 and the lower electrode 285 to be exposed. The fine structure 3 can have various shapes having a substantially circular cross section, a substantially elliptical cross section, a substantially polygonal cross section, and the like. Referring to Figure 15, a first phase change material layer 3?5 is formed over the insulating structure 295. The first phase change material layer 3〇5 may partially fill the fine structure. The first phase change material layer 305 can be obtained by a first process (without using the home position return 'L mechanism) at a relatively low first temperature. The first: phase change material layer 305 can be formed by a process substantially the same as or substantially similar to the process described with reference to FIG. Additionally, the first phase change material layer 3〇5 may comprise a first phase change material that is the same as or substantially similar to the first phase change material of the first phase change material layer described with reference to FIG. The first phase cultural material layer 305 can have a thickness that is less than about 1/3 of the depth of the microstructure 300. According to some example implementations of the inventive concept, a wetting layer and/or a seed layer may be formed on the bottom and sidewalls of the microstructure 300 prior to forming the phase change material layer 305. Here, the wet layer and the seed layer can be formed by a process substantially or substantially similar to that described with reference to FIG. A second phase change material layer 3 positively charged microstructure 300 is formed on the variable material layer 305 by a second pvD process utilizing an in-situ reflow mechanism. The second phase change can be obtained at a relatively high second temperature | J53259. Doc -51 - 201135999 Material layer 310. The second phase change material layer 310 can be formed on the first phase change material layer 3〇5 by a process substantially the same as or substantially similar to the process described with reference to FIG. 5. In addition, the second phase change material Layer 31A can include a second phase change material that is substantially identical or substantially similar to the second material of the second phase change material layer illustrated in FIG. The second phase change material layer 3 10 may have a thickness higher than about 2/3 of the depth of the microstructure 300. Therefore, the thickness ratio between the first phase change material layer 305 and the second phase change material layer 31〇 may be about 1. 0: about 1. 3 to about 3. 0. In some example embodiments in accordance with the inventive concepts, the first phase change material layer 305 and the second phase change material layer 31 may be obtained in situ by simultaneously increasing the process temperature of the PVD process by the pVD process. Therefore, the first phase change material layer 350 can be integrally formed with the second phase change material layer 31. Referring to Figure 16, the second phase change material layer 31 and the first phase change material layer 305 are partially removed until the insulating structure 295 is exposed. Therefore, a phase change structure is provided in the microstructures 300. The phase change structure includes a first phase change material layer pattern 3 15 and a second phase change material layer pattern 32 〇. The first phase change material layer pattern 315 and the first phase change material layer pattern 320 may be formed by a CMP process, a money return process, or the like. The first phase change material layer pattern 315 may partially fill the microstructures 300, and the second phase change material layer pattern 3 10 may completely fill the microstructures 300. In this case, the first phase change material layer pattern 315 can be in contact with the lower electrode 285 and the filling member 290. The one phase change memory device includes the first phase change material layer pattern 3 15 and the second phase change material layer pattern 320. When the first phase change material layer pattern 153259. Doc • 52· 201135999 315 and the second phase change material layer pattern 320 may correspond to the PV region and the NPV region respectively, that is, 'the phase transition of the first phase change material may occur in the first phase change material layer pattern 3 1 5 However, phase transition of the second phase change material may not occur in the second phase change material layer pattern 320. Therefore, the first phase change material layer 315 can easily cause a phase transition by the current applied from the lower electrode 285. However, the 'second phase change material layer 32' may not substantially cause a phase transition when a current is applied to the second phase change material layer pattern 320 via the lower electrode 285. Since the phase memory device includes the first phase change material layer pattern 315 and the f two-phase change material layer pattern 32, the resistance margin between the sigh state and the reset state of the phase change memory device can be increased. In addition, the 'S phase change memory device includes a first phase change material layer pattern 315 corresponding to the PV region and the npv region, and a second phase change material layer pattern 32. The phase change 5 memory device can have enhanced data retention characteristics. . As illustrated in Fig. 16, the phase change structure and the insulating structure 295 are formed. A ruthenium electrode layer (not shown) is then patterned, and then the upper electrode layer is patterned to form an upper electrode 325 on the second phase change material layer pattern 320 and the insulating structure 295. The upper electrode 325 may have a size substantially larger than the size of the second phase change material layer pattern 320. The upper electrode 325 may include a polycrystalline metal, a metal nitride, and/or a metal cerium compound. The upper electrode layer can be formed by a CVD process, an ALD process, a PLD process, a vacuum distillation process, a demineralization process, or the like. A second insulating layer 33 is formed on the insulating structure 295 to cover the upper electrode 325. I53259 can be formed by using an oxide, a nitride, and/or an oxynitride by a process, a spin coating process, a PECVD process, an HDP-CVD process, or the like. Doc •53- 201135999 Two insulation layers 330. A portion of the first insulating layer 310 is left to form a second opening 335 that exposes the upper electrode 3 2 5 . The second opening 335 allows the upper electrode 325 to be partially exposed. A pad or contact 34 is formed on the upper electrode 325 to fill the second opening 335. Metals, metal compounds, and/or polysilicon can be used to form the liner or joint 340. Therefore, a phase change memory device having improved data retention characteristics and resistance margins can be provided on the substrate 250. According to an example embodiment of the inventive concept, the phase change material layer pattern or the phase change structure may fill a fine structure such as a micropore, a micro opening, or a microchannel by a physical vapor deposition process using an in-situ reflow mechanism. No defects are created in the phase change material layer pattern or the phase change structure. When the one-phase change memory device includes a phase change material layer pattern or a phase change structure, the phase change memory is increased by increasing a data retention characteristic of the phase change memory device and a resistance margin between the set state and the reset state. The body device can have improved response speed and reliability. Figure 17 is a block diagram illustrating a memory system in accordance with an example embodiment of the inventive concept. Referring to Figure 17, the 5th memory system 350 can include a portable electronic device. For example, the C memory system 35 can include a portable media player (PMP), a wireless communication device, an MP3 player, an electronic dictionary, and the like. The memory system 35G may have a semiconductor memory device 355, a memory controller 360, an encoder/decoder (EDC) 365, a display unit 370, and an interface 375.

153259.doc 具有如上文所描述之各種相變化結 案)的相變化記憶體裝置。因此,記 -54- 201135999 憶體裝置355可確保增強之資料保持特性及改良之可靠 性。 EDC 365可經由記憶體控制器36〇將諸如音訊資料及/或 視訊資料之資料儲存至記憶體裝置355中。另外,可經由 記憶體控制II36G藉由ECD 365將資料自記憶體裝置355輸 出。或者,可將資料自ECD 365直接儲存至記憶體裝置355 中,且可將資料自記憶體裝置355直接輸出至ECD 365中。 EDC 365可編碼待儲存於記憶體裝置355中之資料。舉例 而言,EDC 365可執行編碼以用於將音訊資料及/或視訊資 料儲存至PMP或MP3播放機之記憶體裝置355中。另外, EDC 365可執行MPEG編碼以用於將視訊資料儲存至記憶 體裝置355中。EDC 365可包括多個編碼器以視不同類型之 資料的格式而編碼不同類型之資料。舉例而言,EDC 365 可包括一用於編碼音訊資料之MP3編碼器,及一用於編碼 視訊資料之MPEG編碼器。 EDC 365亦可解碼自記憶體裝置355輸出之資料。舉例而 a,EDC 365可解碼來自記憶體裝置355之河卩3音訊資料。 另外,EDC 365可解媽來自記憶體裝置355之MpEG視訊資 料。EDC 365可包括多個解碼器以視不同類型之資料的格 式而解碼不同類型之資料。舉例而言,Edc 365可包括一 用於音sfl資料之MP3解碼器’及一用於視訊資料之mpeg 解碼器。 EDC 365可包括一用於音訊資料之mp3解碼器,及一用 於視訊資料之MPEG解碼器。或者,EDc 365可包括一僅 I53259.doc •55- 201135999 用於音訊資料及/或視訊 A 貝τ叶之解碼态。舉例而言,可將 、坐編碼之曰訊/視訊資料 可解碼所輸入之資料,365,且接著EDC 365 解碼之資料傳送至記憶體控 制窃360及/或記憶體裝置355。 EDC 365可經由介面375接收經編碼之音訊,視訊資料或 正在編碼之音訊/視訊資料。介面π可符合標準輸入裝 置,例如火線或刪。亦即,介面375可包括火線介面、 USB介面或其類似去。 者 了借助於介面375將資料自記憶體 裝置355輸出。 ..、·員不4件370.可向終端使用者顯示自記憶體裝置355輸出 並由EDC 365解碼之資料。舉例而言,顯示部件37〇可為音 訊揚聲器或顯示螢幕。 根據依照本發明概念之實例實施例的記憶體裝置355可 有咼回應速度及增強之可靠性,此係因為記憶體裝置 355包括上文所描述之相變化記憶體裝置。因此,包括記 憶體裝置355之記憶體系統350亦可確保改良之效能及增強 之可靠性。 圖1 8為說明根據本發明概念之實例實施例之寬頻通信系 統的方塊圖。 參看圖1 8,寬頻通信系統400包括感測器模組4〇5、全球 疋位系統(G P S ) 410及行動通信裝置415。寬頻通信系統4 〇 〇 可與資料伺服器420及基地台425通信。行動通信裝置415 可發送及接收諸多資料,使得行動通信裝置415可具有針 對資料之快速處理速度及高可靠性《在根據本發明概念之 153259.doc -56· 201135999 實例實施例中,行動通信裝置415可包括一記憶體裝置, 該°己隐體裝置包括上文所描述之各種相變化材料層圖案及/ 或相變,結構H,行動通信裝置415可在相對較低之 驅動電壓下具有快速處理速度’且可確保用於發送及接收 資;斗鬲可罪性。另外,上文所描述之相變化記憶體裝置 可廣泛用於各種電設備及電子設備中。舉例而言,相變化 記憶體裝置可用於㈣記憶體、聰播放機、數位相機、 記憶卡等中。 則述内各為根據本發明概念之實例實施例的說明,且不 應被解釋為該等實例實施例之限制。雖然已描述了根據本 發明概念之幾個實例實施例’但熟習此項技術者將容易瞭 解,在實質上不偏離本發明概念之新賴教示及優點的情況 下,在根據本發明概念之實例f施例中的許多修改係可能 的。因此,所有此等修改意欲包括於如申請專利範圍中所 定義的本發明概念之範疇内。在申請專利範圍巾,構件加 功能子句(means_pius_functi〇n山咖)意欲涵蓋本文中描述 為執:亍所敍述功能之結構,不僅涵蓋結構均等物而且涵 蓋均等結構。因此’應理解,前料容為根據本發明概念 之各種實例實施例之說明’且不應被解釋為限於所揭示的 根據本發明概念之特定實例實施例,且對根據本發明概念 之所揭示實例實施例以及根據本發明概念之其他實例實施 例的修改意欲包括於附加申請專利範圍之範疇内。 【圖式簡單說明】 圖1至圖3為說明根據本發明概念之實例實施例的形成一 153259.doc •57· 201135999 相變化層之方法的橫截面圓。 之實例實施例的形成一 圖4至圖6為說明根據本發明概余 相變化層之方法的橫截面圖。 圖7及圖8為說明根據本發明概令 «乃概必之實例實施例的形成一 相變化結構之方法的橫截面圖。 圖9至圖13為說明根據本發明概念之實例實施例的製造 一相記憶體裝置之方法的橫截面圖。 圖14至圖16為說明根據本發明概念之實例實施例的製造 一相記憶體裝置之方法的橫截面圖。 圖1 7為說明根據本發明概念之實例實施例之記憶體系統 的方塊圖。 圖18為說明根據本發明概念之實例實施例之通信系統的 方塊圖。 【主要元件符號說明】 10 物件 15 絕緣結構 20 微細結構 25 相變化材料層 30 相變化材料層圖案 50 物件 55 絕緣結構 60 微細結構 65 第一相變化材料層 70 第二相變化材料層 153259.doc -58 - 201135999 75 第一相變化材料層 80 第二相變化材料層 100 物件 105 絕緣結構 110 微細結構 115 潤濕層 120 晶種層 125 相變化材料層 130 潤濕層圖案 135 晶種層圖案 140 相變化材料層圖案 150 基板 155 接觸區域 160 第一絕緣層 165 第一開口 170 第一導電層 175 第二導電層 180 二極體 185 下部電極 190 填充部件 195 絕緣結構 200 微細結構 205 相變化材料層 210 相變化材料層圖案 153259.doc -59- 201135999 215 上部電極層 220 上部電極 225 第二絕緣層 230 第二開口 235 襯塾或接點 250 基板 255 接觸區域 260 第一絕緣層 265 第一開口 270 第一導電層 275 第二導電層 280 二極體 285 下部電極 290 填充部件 295 絕緣結構 300 微細結構 305 第一相變化材料層 310 第二相變化材料層 315 第一相變化材料層圖案 320 第二相變化材料層圖案 325 上部電極 330 第二絕緣層 335 第二開口 340 襯塾或接點 153259.doc •60- 201135999 350 記憶體系統 355 半導體記憶體裝置 360 記憶體控制器 365 編碼器/解碼器(EDC) 370 顯示部件 375 介面 400 寬頻通信系統 405 感測器模組 410 全球定位系統(GPS) 415 行動通信裝置 420 資料伺服器 425 基地台 153259.doc -61 -153259.doc A phase change memory device having various phase change recipes as described above. Therefore, the -54-201135999 memory device 355 ensures enhanced data retention characteristics and improved reliability. The EDC 365 can store data such as audio data and/or video data into the memory device 355 via the memory controller 36. Alternatively, data can be output from the memory device 355 via the ECD 365 via the memory control II36G. Alternatively, the data can be directly stored from the ECD 365 into the memory device 355, and the data can be directly output from the memory device 355 to the ECD 365. The EDC 365 can encode the data to be stored in the memory device 355. For example, EDC 365 can execute encoding for storing audio material and/or video data into a memory device 355 of a PMP or MP3 player. Additionally, EDC 365 can perform MPEG encoding for storing video material into memory device 355. The EDC 365 can include multiple encoders to encode different types of data depending on the format of the different types of data. For example, EDC 365 can include an MP3 encoder for encoding audio material and an MPEG encoder for encoding video data. The EDC 365 can also decode the data output from the memory device 355. For example, a, EDC 365 can decode the HeLa 3 audio data from the memory device 355. In addition, EDC 365 can solve the MpEG video data from the memory device 355. The EDC 365 can include multiple decoders to decode different types of data depending on the format of the different types of material. For example, the Edc 365 can include an MP3 decoder for audio sfl data and an mpeg decoder for video data. The EDC 365 can include an mp3 decoder for audio data and an MPEG decoder for video data. Alternatively, ECC 365 may include a decoding mode for audio data and/or video A τ τ 仅 、 、 、 、 、 、 、 、 、 、 、 、 、 、 、 、 、 For example, the encoded/information data can be decoded to input the data, 365, and then the EDC 365 decoded data is transferred to the memory control 360 and/or the memory device 355. The EDC 365 can receive encoded audio, video data or audio/video data being encoded via interface 375. The interface π can conform to standard input devices, such as FireWire or Delete. That is, the interface 375 can include a Firewire interface, a USB interface, or the like. The data is output from the memory device 355 by means of the interface 375. .., · 4 members 370. The output from the memory device 355 and decoded by the EDC 365 can be displayed to the end user. For example, display component 37 can be an audio speaker or a display screen. The memory device 355 according to an exemplary embodiment of the inventive concept can have a response speed and enhanced reliability because the memory device 355 includes the phase change memory device described above. Therefore, the memory system 350 including the memory device 355 can also ensure improved performance and enhanced reliability. Figure 18 is a block diagram illustrating a broadband communication system in accordance with an example embodiment of the inventive concept. Referring to Figure 1, the broadband communication system 400 includes a sensor module 〇5, a global clamp system (G P S ) 410, and a mobile communication device 415. The broadband communication system 4 〇 通信 can communicate with the data server 420 and the base station 425. The mobile communication device 415 can transmit and receive a plurality of materials, so that the mobile communication device 415 can have a fast processing speed and high reliability for the data. In the example embodiment of the present invention, 153259.doc-56·201135999, the mobile communication device 415 can include a memory device that includes various phase change material layer patterns and/or phase changes as described above, structure H, and mobile communication device 415 can be fast at relatively low drive voltages Processing speed 'and can be used to send and receive funds; fighting guilty. In addition, the phase change memory device described above can be widely used in various electric devices and electronic devices. For example, a phase change memory device can be used in (4) memory, Cong player, digital camera, memory card, and the like. The descriptions of the example embodiments of the present invention are not to be construed as limiting the example embodiments. Although a few example embodiments of the present invention have been described, it will be readily understood by those skilled in the art that, in the <Desc/Clms Page number>> Many modifications in the f example are possible. Accordingly, all such modifications are intended to be included within the scope of the inventive concepts as defined in the appended claims. In the patent application scope, the component plus function clause (means_pius_functi〇n mountain coffee) is intended to cover the structure described in this document as a function of the function described, not only covering the structural equals but also covering the equal structure. Therefore, it should be understood that the foregoing description of the various embodiments of the present invention are not to be construed as limited Modifications of the example embodiments and other example embodiments in accordance with the inventive concepts are intended to be included within the scope of the appended claims. BRIEF DESCRIPTION OF THE DRAWINGS FIGS. 1 through 3 are cross-sectional circles illustrating a method of forming a phase change layer of 153259.doc • 57·201135999 according to an exemplary embodiment of the inventive concept. Formation of Example Embodiments Figs. 4 through 6 are cross-sectional views illustrating a method of residing a phase change layer in accordance with the present invention. 7 and 8 are cross-sectional views illustrating a method of forming a phase change structure in accordance with an exemplary embodiment of the present invention. 9 through 13 are cross-sectional views illustrating a method of fabricating a phase memory device in accordance with an example embodiment of the inventive concept. 14 through 16 are cross-sectional views illustrating a method of fabricating a phase memory device in accordance with an example embodiment of the inventive concept. Figure 17 is a block diagram illustrating a memory system in accordance with an example embodiment of the inventive concept. Figure 18 is a block diagram illustrating a communication system in accordance with an example embodiment of the inventive concept. [Main component symbol description] 10 Object 15 Insulation structure 20 Fine structure 25 Phase change material layer 30 Phase change material layer pattern 50 Object 55 Insulation structure 60 Fine structure 65 First phase change material layer 70 Second phase change material layer 153259.doc -58 - 201135999 75 First phase change material layer 80 Second phase change material layer 100 Object 105 Insulation structure 110 Fine structure 115 Wetting layer 120 Seed layer 125 Phase change material layer 130 Wetting layer pattern 135 Seed layer pattern 140 Phase change material layer pattern 150 substrate 155 contact region 160 first insulating layer 165 first opening 170 first conductive layer 175 second conductive layer 180 diode 185 lower electrode 190 filling member 195 insulating structure 200 microstructure 205 phase change material layer 210 phase change material layer pattern 153259.doc -59- 201135999 215 upper electrode layer 220 upper electrode 225 second insulating layer 230 second opening 235 lining or contact 250 substrate 255 contact region 260 first insulating layer 265 first opening 270 First conductive layer 275 second conductive layer 280 diode 285 under Electrode 290 Filling member 295 Insulation structure 300 Fine structure 305 First phase change material layer 310 Second phase change material layer 315 First phase change material layer pattern 320 Second phase change material layer pattern 325 Upper electrode 330 Second insulation layer 335 Two openings 340 lining or contact 153259.doc •60- 201135999 350 Memory System 355 Semiconductor Memory Device 360 Memory Controller 365 Encoder/Decoder (EDC) 370 Display Component 375 Interface 400 Broadband Communication System 405 Sensing Module 410 Global Positioning System (GPS) 415 Mobile Communication Device 420 Data Server 425 Base Station 153259.doc -61 -

Claims (1)

201135999 七、申請專利範圍: 1. 一種相變化結構’其包含: 一第一相變化材料層圖案,其部分地填充一高縱橫比 結構’該第一相變化材料層圖案包括一第一相變化材 料;及 一第二相變化材料層圖案’其在該第一相變化材料層 圖案上、填充該高縱橫比結構,該第二相變化材料層圖 案包括一第二相變化材料,該第二相變化材料具有不同 於該第一相變化材料之一組合物的一組合物。 2. 如請求項1之相變化結構,其中該第二相變化材料之該 組合物包括至少一成份’該至少一成份之一含量大於該 第一相變化材料中之該至少一成份的一含量。 3. 如請求項2之相變化結構,其中該第二相變化材料包括 銻(Sb)及碲(Te),銻(Sb)及碲(Te)之含量大於該第一相變 化材料中的録及碎之含量。 4. 如請求項1之相變化結構’其中該第一相變化材料層圖 案經組態以回應於一電流而經受一相轉變,而該第二相 變化材料層圖案經組態以回應於該電流而抵抗該相轉 變。 5·如請求項1之相變化結構,其中該第一相變化材料層圖 案係在小於用以獲得該第二相變化材料層圖案之一溫度 的一溫度下獲得。 6.如請求項5之相變化結構,其中該第一相變化材料層圖 案係藉由施加小於該第一相變化材料之一熔點溫度之約 153259.doc 201135999 60%的一溫度而形成,且該第二相變化材料層圖案係藉 由施加大於該第二相變化材料之一,熔點溫度之約6〇%的 一溫度而形成。 7. 如請求項1之相變化結構’其中該第一相變化材料及該 第一相變化材料中之每一者包括選自由以下各物組成之 群的至少一者:一含有XIV族、XV族及XVI族元素之二 元化合物;一含有XIV族、XV族及XVI族元素之三元化 合物;一含有XIV族、XV族及XVI族元素之四元化合 物;及一含有XIV族、XV族及XVI族元素之五元化合 物0 8. 如請求項7之相變化結構,其中該第一相變化材料及該 第二相變化材料中之至少一者包括一摻雜物。 9. 如請求項8之相變化結構,其中包括於該第一相變化材 料及該第二相變化材料中之至少一者中的該摻雜物具有 一含量’該含量在基於該第一相變化材料及該第二相變 化材料之一總重量的約5重量百分比與約3〇重量百分比 之間的一範圍中。 I 〇·如請求項8之相變化結構,其中該摻雜物包括選自由以 下各物組成之群的至少一者:銦(In)、錫(Sn)、鉍(Bi)、 碳(C)、氮(N)、氧(〇)、硼(B)、矽(Si)、鍺(Ge)及鋁 (A1) 〇 II ·如請求項8之相變化結構,其中該第一相變化材料及該 第二相變化材料中之每一者包括一硫族化物化合物、一 非硫族化物化合物、一具有該摻雜物之硫族化物化合 153259.doc 201135999 3 一具有該摻雜物之非硫族化物化合物e 如叫求項1之相變化結構,其中該第一相變化材料層圖 案對°亥第二相變化材料層圖案之一厚度比率在介於約1.3 與約3·〇之間的一範圍中。 月长項1之相變化結構,其中該第二相變化材料層圖 案與該第—相變化材料層圖案整體地形成。 如吻求項1之相變化結構,其進一步包含安置於該高縱 橫比結構與該第一相變化材料層圖案之間的一潤濕層圖 案及—晶種層圖案中之至少一者。 15. 如印求項14之相變化結構,其中該潤濕層圖案包括選自 由以下各物組成之群的至少一者:一金屬、一金屬氮化 物及一金屬氧化物,且該晶種層圖案包括選自由以下各 物組成之群的至少-者:—金屬、―金屬氮化物、—金 屬石夕化物及一金屬氧化物。 16. 如請求項丨之相變化結構,其中該高縱橫比結構包含具 有約4.0至約1 ·7之一縱橫比的一孔、一開口或一渠溝, 該縱橫比係藉由該結構之一深度除以該結構在該結構之 開口處之一寬度來定義,或藉由該結構之該深度除以 s亥結構在該結構之一底部處之一寬度來定義。 17. 一種形成一相變化材料層之方法,其包含: 在一物件上形成一絕緣結構; 穿過該絕緣結構形成一高縱橫比結構,該高縱橫比結 構使該物件曝露;及 藉由在大於至少一相變化材料之一熔點溫度之約6〇% 153259.doc 201135999 的一溫度下在該絕緣結構及該物件上沈積該至少—相變 化材料而在該高縱橫比結構中形成至少一相變化層。 18. 19. 20. 21. 22. 23. 24. 如凊求項17之方法,其中該至少一相變化材料層係藉由 一物理氣相沈積(PVD)製程而形成。 如請求項17之方法,其中該至少一相變化材料層係藉由 一濺鍍製程而形成。 。月求項17之方法’其中形成該至少一相變化材料層包 3將一捧雜物添加至該至少一相變化材料中。 如請求項17之方法,其進一步包含: 在形成該至少一相變化材料層之前,在該物件、該高 縱橫比結構之一側壁及該絕緣結構上形成一潤濕層及一 晶種層中之至少一者。 如凊求項17之方法,其中形成該至少一相變化材料層包 含: 形成部分地填充該高縱橫比結構之一第一相變化材料 層;及 在該第一相變化材料層上形成一第二相變化材料以填 充該高縱橫比結構。 如吻求項22之方法,其中該第一相變化材料層係在一第 一溫度下藉由在該物件及該絕緣結構上沈積該第一相變 化材料而形成,且該第二相變化材料層係在大於該第一 溫度之—第二溫度下藉由在該第—相變化材料層上沈積 s玄第二相變化材料而形成。 如'月求項22之方法’其中該第—相變化材料層係在小於 153259.doc 201135999 該第一相變化材料之一熔點溫度之約60%的該第一溫度 下形成,且該第二相變化材料層係在高於該第二相變化 材料之一熔點溫度之約60%的該第二溫度下形成。 25·如請求項24之方法,其中該第一相變化材料層係藉由一 第一 PVD製程而形成,且該第二相變化材料層係藉由一 第二PVD製程而形成。 26.如請求項17之方法,其中該高縱橫比結構包含具有約4〇 至約1.7之一縱橫比的一孔、一開口或一渠溝,該縱橫比 係藉由該結構之一深度除以該結構在該結構之一開口處 之寬度來定義,或藉由該結構之該深度除以該結構在 該結構之一底部處之一寬度來定義。 月长項22之方法,其中該第_相變化材料層及該第二 相變化材料層係原位形成。 28_如請求項27之方法,其中該第一相變化材料層及該第 相變化材料層係使用具有相同組合物之源目標而形成。 29. —種相變化記憶體裝置,其包含: 一基板,其具有一接觸區域; —絕緣層,其安置於該基板 觸區域曝露之-開口; 邑緣層具有使該接 下部電極,其安置於該開口中; 一絕緣結構,其安置於該絕緣 使該下邻雷Μ $ _ 读絕緣結構包括 邻電極曝露之一高縱橫比結構; 一第一相變化材料層圖案,其 結構,兮笛,丨刀地填充該高縱橫比 。亥第一相變化材料層圖荦 茱包括1 -相變化材 I53259.doc 201135999 料; 相變化材料層 變化材料層圖 一第二相變化材料層圖案,其在該第一 圖案上填充该咼縱橫比結構,該第二相 案包括一第二相變化材料,·及 30. 31. 32. 33. 34. 35. 36. 上J電極,其安置於該第二相變 i 匕材枓層圖案上。 如Μ求項29之相變化記憶體裝 i 進一步包含安置於 該接觸區域與該下部電極之間的一切換裝置。 如請求項30之相變化記憶體裝置,其中該切換裝置包含 一個二極體或一電晶體。 如請求項29之相變化記憶體裝置,其中該下部電極且有 部分地填充該開口之-圓柱結構4該相變化記憶體裝 置進-步包含安置於該下部電極中之—填充部件。 如請求項29之相變化記憶體裝置,其中該第二相變化材 料包括至少一成份,該至少一成份之一含量大於該第一 相變化材料中之至少一成份的一含量。 如印求項29之相變化記憶體裝置,其中該第一相變化材 料層圖案回應於在該下部電極處施加之一電流而經受一 相轉變,而該第二相變化材料層圖案回應於該電流而抵 抗該相轉變。 如請求項34之相變化記憶體裝置,其中該第一相變化材 料層圖案與該第二相變化材料層圖案之間的一厚度比率 在約1.3至約3.0之一範園中。 如請求項29之相變化記憶體裝置,其中該高縱橫比結構 包含具有約4.0至約1.7之一縱橫比的一孔、—開口或一 153259.doc -6 - 201135999 渠溝’該縱橫比係藉由該結構之一深度除以該結構在該 結構之一開口處之一寬度來定義,或藉由該結構之該深 度除以該結構在該結構之一底部處之一寬度來定義。 37. —種製造一相變化記憶體裝置之方法,其包含: 在具有一接觸區域之一基板上形成一絕緣層,該絕緣 層包括使該接觸區域曝露之一開口; 在該開口中形成一下部電極; 在該絕緣層上形成—絕緣結構,該絕緣結構包括使該 下部電極曝露之一高縱橫比結構; 藉由在大於至少一相變化材料之一熔點溫度之約6〇% 的一溫度下沈積該相變化材料而在該絕緣結構上形成至 ;一相變化材料層以填充該高縱橫比結構; 稽田邵分地移除該至少一相變化材料層而在該高縱橫 比結構中形成至少一相變化材料層圖案;及 在該至少-相變化材料層圖案上形成一上部電極。 38.如請求項37之方法,其進_步包含在形成該下部電極之 則在該開口中形成一切換裝置。 39_如請求項37之方法,其進—牛勹人各 進步包含在形成該至少一相變 化材料層之前,在該高縱橫 匕'·°構之一側壁及該下部電 極上形成一潤濕層及一晶種層中之至少一者 40.如請求項37之方法,其中形成哕、 八· ^至夕一相變化材料層包 相變化材料而形成部 相變化材料層;及 藉由在一第一溫度下沈積—第— 分地填充該高縱橫比結構之—第— 153259.doc 201135999 藉由在大於該第一溫度之一第二溫度下在該第二相變 化材料層上沈積一第二相變化材料而在該第一相變化材 料層上形成一第二相變化材料層’以填充該高縱橫比結 構》 41. 如請求項40之方法,其中該第一相變化材料層係在小於 該第一相變化材料之一熔點溫度之約60%的該第一溫度 下形成’且該第二相變化材料層係在大於該第二相變化 材料之一炫點溫度之約60%的該第二溫度下形成。 42. 如請求項37之方法,其中該高縱橫比結構包含具有約4 〇 至約1.7之一縱橫比的一孔、一開口或一渠溝,該縱橫比 係藉由該結構之一深度除以該結構在該結構之一開口處 之一寬度來疋義,或藉由該結構之該深度除以§亥結構在 該結構之一底部處之一寬度來定義。 153259.doc201135999 VII. Patent Application Range: 1. A phase change structure comprising: a first phase change material layer pattern partially filled with a high aspect ratio structure. The first phase change material layer pattern includes a first phase change a second phase change material layer pattern on the first phase change material layer pattern filling the high aspect ratio structure, the second phase change material layer pattern including a second phase change material, the second The phase change material has a composition that is different from the composition of one of the first phase change materials. 2. The phase change structure of claim 1, wherein the composition of the second phase change material comprises at least one component having a content of one of the at least one component greater than a content of the at least one component of the first phase change material . 3. The phase change structure of claim 2, wherein the second phase change material comprises strontium (Sb) and strontium (Te), and the content of strontium (Sb) and strontium (Te) is greater than that recorded in the first phase change material. And the content of the broken. 4. The phase change structure of claim 1 wherein the first phase change material layer pattern is configured to undergo a phase transition in response to a current, and the second phase change material layer pattern is configured to respond to the Current resists this phase transition. 5. The phase change structure of claim 1, wherein the first phase change material layer pattern is obtained at a temperature less than a temperature at which one of the second phase change material layer patterns is obtained. 6. The phase change structure of claim 5, wherein the first phase change material layer pattern is formed by applying a temperature less than about 153259.doc 201135999 60% of a melting point temperature of the first phase change material, and The second phase change material layer pattern is formed by applying a temperature greater than about 6% of the melting point temperature of one of the second phase change materials. 7. The phase change structure of claim 1 wherein each of the first phase change material and the first phase change material comprises at least one selected from the group consisting of: a group containing XIV, XV a binary compound of a family and an XVI group element; a ternary compound containing a group of XIV, XV and XVI; a quaternary compound containing a group of XIV, XV and XVI; and a group containing XIV, XV And a five-membered compound of the XVI group element. The phase change structure of claim 7, wherein at least one of the first phase change material and the second phase change material comprises a dopant. 9. The phase change structure of claim 8, wherein the dopant included in at least one of the first phase change material and the second phase change material has a content based on the first phase A range between about 5 weight percent and about 3 weight percent of the total weight of the varying material and the second phase change material. The phase change structure of claim 8, wherein the dopant comprises at least one selected from the group consisting of indium (In), tin (Sn), bismuth (Bi), and carbon (C). , nitrogen (N), oxygen (〇), boron (B), cerium (Si), germanium (Ge), and aluminum (A1) 〇II. The phase change structure of claim 8, wherein the first phase change material and Each of the second phase change materials includes a chalcogenide compound, a non-chalcogenide compound, a chalcogenide compound having the dopant 153259.doc 201135999 3 a non-sulfur having the dopant The grouping compound e is a phase change structure of claim 1, wherein the thickness ratio of the first phase change material layer pattern to the one of the second phase change material layer patterns is between about 1.3 and about 3. In a range. The phase change structure of the moon length term 1 wherein the second phase change material layer pattern is integrally formed with the first phase change material layer pattern. The phase change structure of Kiss 1, further comprising at least one of a wetting layer pattern and a seed layer pattern disposed between the high aspect ratio structure and the first phase change material layer pattern. 15. The phase change structure of claim 14, wherein the wetting layer pattern comprises at least one selected from the group consisting of: a metal, a metal nitride, and a metal oxide, and the seed layer The pattern includes at least one selected from the group consisting of: a metal, a metal nitride, a metal cerium, and a metal oxide. 16. A phase change structure as claimed, wherein the high aspect ratio structure comprises a hole, an opening or a trench having an aspect ratio of from about 4.0 to about 1.7, the aspect ratio being by the structure A depth is defined by dividing the width of the structure at one of the openings of the structure, or by dividing the depth of the structure by the width of one of the structures at the bottom of the structure. 17. A method of forming a layer of a phase change material, comprising: forming an insulating structure on an object; forming a high aspect ratio structure through the insulating structure, the high aspect ratio structure exposing the object; and Forming at least one phase in the high aspect ratio structure by depositing the at least one phase change material on the insulating structure and the object at a temperature greater than about 6〇% of a melting point temperature of at least one phase change material 153259.doc 201135999 Change layer. 18. The method of claim 17, wherein the at least one phase change material layer is formed by a physical vapor deposition (PVD) process. The method of claim 17, wherein the at least one phase change material layer is formed by a sputtering process. . The method of claim 17 wherein the at least one phase change material layer package 3 is formed to add a dopant to the at least one phase change material. The method of claim 17, further comprising: forming a wetting layer and a seed layer on the object, a sidewall of the high aspect ratio structure, and the insulating structure before forming the at least one phase change material layer At least one of them. The method of claim 17, wherein the forming the at least one phase change material layer comprises: forming a first phase change material layer partially filling the high aspect ratio structure; and forming a first layer on the first phase change material layer A two phase change material is used to fill the high aspect ratio structure. The method of claim 22, wherein the first phase change material layer is formed by depositing the first phase change material on the object and the insulating structure at a first temperature, and the second phase change material The layer is formed by depositing a smectic second phase change material on the first phase change material layer at a second temperature greater than the first temperature. For example, the method of 'monthly claim 22, wherein the first phase change material layer is formed at the first temperature less than about 153259.doc 201135999, which is about 60% of the melting temperature of one of the first phase change materials, and the second The phase change material layer is formed at the second temperature above about 60% of the melting point temperature of one of the second phase change materials. The method of claim 24, wherein the first phase change material layer is formed by a first PVD process, and the second phase change material layer is formed by a second PVD process. 26. The method of claim 17, wherein the high aspect ratio structure comprises a hole, an opening or a trench having an aspect ratio of about 4 〇 to about 1.7, the aspect ratio being divided by a depth of the structure The structure is defined by the width of one of the openings in the structure, or by the depth of the structure divided by the width of the structure at one of the bottoms of the structure. The method of month length item 22, wherein the first phase change material layer and the second phase change material layer are formed in situ. The method of claim 27, wherein the first phase change material layer and the phase change material layer are formed using a source target having the same composition. 29. A phase change memory device comprising: a substrate having a contact region; an insulating layer disposed in the exposed portion of the substrate contact region; the germanium edge layer having the lower electrode disposed thereon In the opening; an insulating structure disposed on the insulating such that the lower adjacent Thunder $ _ reading insulating structure comprises a high aspect ratio structure of the adjacent electrode exposure; a first phase change material layer pattern, the structure thereof, the whistle The sickle is filled with the high aspect ratio. The first phase change material layer map includes a 1-phase change material I53259.doc 201135999 material; a phase change material layer change material layer map a second phase change material layer pattern, which fills the first pattern with the vertical cross The second phase case comprises a second phase change material, and 30. 31. 32. 33. 34. 35. 36. The upper J electrode is disposed in the second phase change i coffin layer pattern on. The phase change memory device i of claim 29 further includes a switching device disposed between the contact region and the lower electrode. A phase change memory device as claimed in claim 30, wherein the switching device comprises a diode or a transistor. The phase change memory device of claim 29, wherein the lower electrode and the cylindrical structure 4 partially filling the opening, the phase change memory device further comprises a filling member disposed in the lower electrode. The phase change memory device of claim 29, wherein the second phase change material comprises at least one component, one of the at least one component being greater than a content of at least one of the first phase change materials. The phase change memory device of claim 29, wherein the first phase change material layer pattern is subjected to a phase transition in response to applying a current at the lower electrode, and the second phase change material layer pattern is responsive to the Current resists this phase transition. The phase change memory device of claim 34, wherein a thickness ratio between the first phase change material layer pattern and the second phase change material layer pattern is in a range from about 1.3 to about 3.0. The phase change memory device of claim 29, wherein the high aspect ratio structure comprises a hole having an aspect ratio of about 4.0 to about 1.7, an opening, or a 153259.doc -6 - 201135999 channel 'the aspect ratio system The depth is divided by the width of one of the structures at one of the openings of the structure, or by the depth of the structure divided by the width of the structure at one of the bottoms of the structure. 37. A method of fabricating a phase change memory device, comprising: forming an insulating layer on a substrate having a contact region, the insulating layer including exposing an opening to the contact region; forming a space in the opening Forming an insulating structure on the insulating layer, the insulating structure including exposing the lower electrode to a high aspect ratio structure; by a temperature greater than about 6〇% of a melting point temperature of at least one of the phase change materials Depositing the phase change material to form on the insulating structure; forming a layer of a phase change material to fill the high aspect ratio structure; and removing the at least one phase change material layer in the high aspect ratio structure Forming at least one phase change material layer pattern; and forming an upper electrode on the at least one phase change material layer pattern. 38. The method of claim 37, wherein the step of forming comprises forming a switching device in the opening. 39. The method of claim 37, wherein the advancement comprises forming a wetting on a sidewall of the high profile and the lower electrode prior to forming the at least one phase change material layer At least one of a layer and a seed layer. The method of claim 37, wherein the layer of the phase change material is formed by forming a layer of a phase change material of the 哕, 八 至至至至至层; Depositing at a first temperature - first filling the high aspect ratio structure - 153259.doc 201135999 by depositing a layer on the second phase change material layer at a second temperature greater than the first temperature a second phase change material to form a second phase change material layer on the first phase change material layer to fill the high aspect ratio structure. 41. The method of claim 40, wherein the first phase change material layer Forming at the first temperature less than about 60% of a melting point temperature of the first phase change material and the second phase change material layer is greater than about 60% of the sleek temperature of one of the second phase change materials The second temperature is formed. 42. The method of claim 37, wherein the high aspect ratio structure comprises a hole, an opening, or a trench having an aspect ratio of about 4 〇 to about 1.7, the aspect ratio being divided by a depth of the structure The structure is defined by the width of one of the openings of the structure, or by the depth of the structure divided by the width of the structure at one of the bottoms of the structure. 153259.doc
TW099146683A 2009-12-29 2010-12-29 Phase change structure, method of forming a phase change layer, phase change memory device and method of manufacturing a phase change memory device TW201135999A (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR20090132290 2009-12-29
KR1020100125403A KR101782844B1 (en) 2009-12-29 2010-12-09 Phase change structure, method of forming a phase change layer, phase change memory device and method of manufacturing a phase change memory device

Publications (1)

Publication Number Publication Date
TW201135999A true TW201135999A (en) 2011-10-16

Family

ID=44916612

Family Applications (1)

Application Number Title Priority Date Filing Date
TW099146683A TW201135999A (en) 2009-12-29 2010-12-29 Phase change structure, method of forming a phase change layer, phase change memory device and method of manufacturing a phase change memory device

Country Status (2)

Country Link
KR (1) KR101782844B1 (en)
TW (1) TW201135999A (en)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102117124B1 (en) * 2012-04-30 2020-05-29 엔테그리스, 아이엔씨. Phase change memory structure comprising phase change alloy center-filled with dielectric material
KR101490053B1 (en) * 2012-10-17 2015-02-06 한양대학교 산학협력단 PRAM cell and method for manufacturing the same
US10566530B2 (en) * 2018-03-15 2020-02-18 Samsung Electronics Co., Ltd. Method of fabricating semiconductor devices
KR102535702B1 (en) * 2018-03-15 2023-05-25 삼성전자주식회사 method for manufacturing semiconductor device

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20090013419A (en) * 2007-08-01 2009-02-05 삼성전자주식회사 Phase change memory devices and methods of forming the same

Also Published As

Publication number Publication date
KR20110076764A (en) 2011-07-06
KR101782844B1 (en) 2017-10-10

Similar Documents

Publication Publication Date Title
US8557627B2 (en) Methods of forming a phase change layer and methods of fabricating a phase change memory device including the same
CN101461071B (en) A vertical phase change memory cell and methods for manufacturing thereof
KR100749740B1 (en) Phase-change memory device and method of manufacturing the same
US9029828B2 (en) Phase-change memory devices including thermally-isolated phase-change layers and methods of fabricating the same
US8552412B2 (en) Variable resistance memory device and method of forming the same
KR101344346B1 (en) Phase change memory devices and methods of forming the same
US8766344B2 (en) Vertical mosfet transistor, in particular operating as a selector in nonvolatile memory devices
US10153433B2 (en) Methods of forming memory cells
US20120231603A1 (en) Methods of forming phase change material layers and methods of manufacturing phase change memory devices
US20080075843A1 (en) Method of Forming a Phase-Change Memory Unit and Method of Manufacturing a Phase-Change Memory Device Using the Same
TW200901393A (en) Method of fabricating a self-aligning damascene memory structure
JP2013232480A (en) Semiconductor device and method of manufacturing the same
JP2003174144A (en) Minute contact area in semiconductor device, high performance phase change memory cell and method of manufacturing the memory cell
TW200829509A (en) Chalcogenide compound target, method of forming the chalcogenide compound target and method for manufacturing a phase-change memory device
JP2009218598A (en) Resistive memory device and method of forming the same
TW200830546A (en) Semiconductor device and method of fabricating the same
US9136469B2 (en) Phase change memories
US20080179585A1 (en) Phase change memory device and method for fabricating the same
JP2011077526A (en) Phase change memory device
TW201135999A (en) Phase change structure, method of forming a phase change layer, phase change memory device and method of manufacturing a phase change memory device
TW201133757A (en) Semiconductor device having a conductive structure and method of forming the same
KR101298258B1 (en) Method of manufacturing phase-change memory device
KR101026603B1 (en) Method of manufaturing Phase Change RAM
KR20100066819A (en) Method of forming a contact structure, method of fabricating a semiconductor device using the same, and semiconductor devices fabricated using the same
KR101119222B1 (en) a Method of manufacturing Phase Change RAM having controllable contact area of bottom electrode contact