TW201123272A - Methods of making and deposition methods using hafnium-or zirconium-containing compounds - Google Patents

Methods of making and deposition methods using hafnium-or zirconium-containing compounds Download PDF

Info

Publication number
TW201123272A
TW201123272A TW099138468A TW99138468A TW201123272A TW 201123272 A TW201123272 A TW 201123272A TW 099138468 A TW099138468 A TW 099138468A TW 99138468 A TW99138468 A TW 99138468A TW 201123272 A TW201123272 A TW 201123272A
Authority
TW
Taiwan
Prior art keywords
group
precursor
reaction chamber
substrate
vapor
Prior art date
Application number
TW099138468A
Other languages
English (en)
Other versions
TWI523078B (zh
Inventor
Christian Dussarrat
Vincent M Omarjee
Venkateswara Pallem
Original Assignee
Air Liquide
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Air Liquide filed Critical Air Liquide
Publication of TW201123272A publication Critical patent/TW201123272A/zh
Application granted granted Critical
Publication of TWI523078B publication Critical patent/TWI523078B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • Inorganic Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Description

201123272 六、發明說明: 【相關申請案之交叉引用】 本申請案依據35 U.S.C. §119(e)主張2〇〇9年u月9 日申請之臨時申請案第61/259,433號的權益,上述申 以全文引用方式併入本文中。 °^、 【發明所屬之技術領域】
所揭示者為可用於使用氣相沉積方法沉積含銓或锆層 之含姶或鍅化合物。 S 【先前技術】 二氧化矽(Si〇2)為半導體工業最初的可靠介電層。隨著 元件不斷縮小及電晶體技術已由「全矽(“Full Si,,)」電晶體 進展至「金屬閘極/高介電」(Metai Gate/High-k)電晶體,以 二氧化石夕為主的閘極介電層可靠度已達其物理極限。一種 解決方式為使用其他材料,如以铪或鉻為主的金屬氧化物 作為閘極介電層。高介電材料(High_k materials)(因其高介 電常數而如此稱呼)已逐漸成為選擇材料,因其可製成遠 比Si〇2厚,同時達成相同的閘極電容。
Singh 等人(US Pat. App_ Pub. No. 2007/02591 1 1A1)描 述利用氣化铪前驅物如HfCl2(tBuCp)2、HfCl2Cp2、 HfCl2(EtCp)2 ' HfCl2(MeCp)2 ' HfCl3(Me5Cp) ' HfCl2(iPrCp)2 及HfChC'PrCp)形成氧化姶薄膜。
Itsuki 等人(JP200509766A)描述利用 HfCl3Cp 藉由 201123272 mocvd沉積含铪薄膜;Khn等人分別描述合成方法或以 ZrCl3Cp為前驅物的沉積方法。 對於積體電路元件的新世代,整合此等材料的其他來 源及方法仍在不斷探索中。 標記與命名方法 ,m γ π祀囷芏又使用特疋縮寫、符號, 及術語’包括:術言吾「稀土金屬」或「抓」係指筑(Sc)、紀 ⑺’及鑭系元素(不包括放射性的麵(Pm));縮略詞「T即 係指四氫Μ;術語「脂肪族基」係指切之飽和或不飽 和官能基,如烷烴、烯烴、或炔烴;術語「烷烴」或「烷 基」係指只含有碳原子及氫原子之飽和官能基。另外: 語「脂肪族基J係尨古Μ I 丄、 」係扎直鏈、支鏈、或環烷基。直鏈烷基的 例子包括(但不限於)甲美 〒基乙基丙基、丁基等。支赫 烧基的例子包括(作X UP认、 不限於)三級丁基。環烷基的 括(但不限於)環丙基 匕 衣丁基、%戊基、環己基等。 縮寫「M e」係指甲技. 「 y . /土,縮寫Et」係指乙基;縮寫「卜 係指丙基;縮寫「npr在4 - 」係才日直鏈丙基;縮寫「ip 俜 丙基;縮寫「Bu」係指τ < π t 、 」係扣異 係才曰丁基(正丁基);縮寫 三級丁基;縮寫「sBu 1 ^ $耘 BU」係指二級丁基;縮寫「iBu」係_ 異丁基。 」你守曰 本文使用元素週期表 可以此等縮寫指稱(例如 如本文所使用,術語 下文時’應理解表示主體 中元素的標準縮寫。應理解元素 ,Hf係指铪、Zr係指銼等)。 「獨立螂」當用於描述R基之上 R基不僅相對於其他具有相同或 201123272 :门下:或上標之R基獨立地選擇,而且相對於具有該相 同R基之任何其他種類獨立地.選擇。例如 MR X(NR R )(4_χ)中,當χ為2或3,該二或三個r丨基可 但不需要彼此相同或以或與R3相同。此外,應理解除非 特別說明,否則當歸不同式中時,R基之數值彼此獨立。 【發明内容】 所揭示者為於基板上形成含金屬層之方法。提供具有 至少-個基板的反應室。冑蒸氣引入至此反應室中,該蒸 氣包含至少一種具有化學式Mc丨的化合 物,其中R!至R5獨立為Η或具有i到6個碳原子的脂肪 族基,其限制條件為至少Rl為具有i到6個碳原子的脂肪 族基;Cp為環戊二烯基;M為Hf或Zr ;其限制條件為當 Ri 至 R5 為 Me (Me=CH3)或 R!為 ipr (ipr =CH3CHCH30 & 至Rs為H時,M不是铪。使用氣相沉積方法使蒸氣與基板 接觸以於基板的至少一表面上形成含金屬層。該方法可進 一步包含一或多喝以下方面: •該至少一種化合物係選自由以下所組成之族群:
HfCl3(nPrCp)、HfCl3CBuCp)、HfCl3(nBuCp)、HfCl/BuCp)、 ZrCl3(nPrCp)、ZrChCpuCp)、ZrCl3(Me5Cp)、ZrCl/PrCp)、 ZrCl3(nBuCp)及 ZrCi/BuCp),其中 nPr=CH3CH3CH2, iBu=(CH3)2CHCH2 * nBu=CH3(CH2)3 ; •該至少一種化合物為HfCl3(nBuCp)或HfCl3(tBuCp); •將第二前驅物蒸氣引丨入反應室並將蒸氣導向基板; 201123272 •該第二前驅物含右 3 有選自由 Tl、Ta、Bi、Hf、Zr、pb、
Nb、Mg、A1、Sr、Y、棚么 _ “ 斕糸元素及其組合所組成族群之金 屬; •將至少-種反應氣體引入反應室; •該至少一種反應氣 ^ ^ 遲自由 〇2、H2〇、03、Η2〇2、# 酸及其組合所組成之埃鮮; 2 m •該風J相 >儿積方法為化學g h h d q π予氣相 >儿積方法; •該氣相沉積方法為冬亡夕’ 方法;以及 :‘心個沉積揭環的原子層沉積 r f ·該含金屬層選自由以下所組成之族群:HfQ2、Zr〇2、 (Hf,Zr)Ox、HfSiOx、HfSiON、Hf〇xN、HfT ^ x y HfLaxOy、HfAlx〇v、
ZrAlxOy、ZrSixOy、ZrSiON、ZrOxNy、Zrr a n y 乙rLax〇y、Pb(Zr Ti)〇3、
HfYxOy、ZrYx〇y、HfREx〇y、及 ZrRE 〇 .甘七
Xy,其中x和y為分 布自大於0的相對數字,如0·01或〇丨,至5。 【實施方式】 為進-步了解本發明之性質及目的,應參考以下詳細 說明並結合隨附圖示。 所揭示者為含铪或锆化合物(.亦即,含铪或鉛錯合物、 前驅物此等化合物具有化學式Mci3(RiR2R3R4R5Cp), 其中獨立為Η或具有!到6個碳原子的脂肪族基, 其限制條件為至少R,是具有1到6個碳盾工μ卜 、 .ΙΜ反原子的脂肪族基; CP為環戊二烯基;]V[為Hf或Zr ; t限制 ”限制條件為當Rl至 I為Me或Rl為%且r2至R5為H時, 了 M不是Hf。此化 201123272 合物可用作含铪或锆薄膜之原子層沉積的前驅物。最佳前 驅物將就其低碳含量及對表面的高反應性做選擇。對於較 佳及較精確的傳遞,如蒸氣壓及熔點等物理性質亦須考慮。 一較佳具體實例為使用(I^I^I^IURsCphCMesCp)。其他 具體實例包含但不限於:HfCl3(nPrCp)、HfCl/BuCp;)、
HfCl3(nBuCp)、HfCl/BiiCp)、HfCl/BwCp)、HfCl/PnCp)、 ZrCl3(nPrCp)、ZrCl/BuCp)、ZrCl3(Me5Cp) ' ZrCl/PrCp)、
ZrCl3(nBnCP) 、ZrCl/BuCp) 、ZrCl/BujCp)、及
ZrCl3(ipr3Cp)。 在一具體實例中,含铪化合物的熔點範圍由約6〇〇c至 約l〇〇°C,且更佳由約6(TC至約75〇c。具有此熔點之含铪 前驅物的使用提供該前驅物至反應室較容易且較均勻的傳 遞。此外’避免前驅物於傳遞管路上凝結及/或阻塞。 在另一具體實例中,當I至Rs之任一者為具有3到 個碳原子的脂肪族基時,該脂肪族基為直鏈而不是支鏈 的。例如,I至RS可較佳選自正丙基、正丁基、正戊基、 或正己基。申請人認為使用直鏈脂肪族基可造成較少的碳 換入所得之含铪❹層。具有較高碳摻人之層遭受較大的 電流洩漏。使用直鏈烷基可提供較小的電流洩漏。 所揭不的含铪或錯化合物可藉由將(RiR2mcp)Na 或(RiR2R3R4R5Cp)Li在極性溶劑(如thf)或非極性溶劑 (如甲苯)中之溶液加至MCl4於同—溶劑中的溶液製備, 而該起始物f具有以上提供的相同定義(亦即,M = Hf或 △等)。所得的混合物接著於室溫下攪拌整夜。過滤該混 201123272 合物並於真空下揮發溶劑,產生粗製M(RiR2R3R4R5Cp)ci3 產物’該產物可由已知方法純化。所有起始材料,除了 (mUCp^a或,皆為市面上可購 得。(HHRsCp^a 或(RlR2R3R4R5Cp)Li 的合成方法為 眾所周知。 亦揭示的是使用具有上述化學式及適合氣相沉積方法 之性質之含铪或锆化合物的方法。這些性質包含高蒸氣 壓、低熔點(且液態較佳)、較低昇華點、及較高熱穩定 性。 申明人發現附接在氯化的給或錯前驅物之取代環戊二 烯基配位基可最終為好的折衷辦法。氣配位基的存在協助 保持對表面的高反應性,而取代環戍二烯基容許物理性質 如揮發性及物理狀態的調整。 申請人提出具有至少一個取代環戊二烯基配位基可使 氯化前驅物的反應性達最大,大大地增進蒸氣壓,及降低 化合物的溶點。. 例如,圖 1、2、及 3 顯示 HfC13(nPrCp)、HfC13(nBuCp) 及HfC13( BuCp)可期的熱穩定性。所有化合物顯示完全蒸 發而無剩餘殘餘物。 亦揭示的是利用氣相沉積方法在基板(亦即,半導體 基板或基板組裝件)上形成含給或錯層的方法。此方法在 半導體、光伏打、LCD_TFT或平板式元件的製造方面為有 用的。此方法包含··提供基板;提供含有至少—種上述化 學式化合物之蒸氣且使該蒸氣和基板接觸(且一般而言引 201123272 導該蒸氣至基板 錯層。 以在基板的至少一個表面上形成含铪或 所揭示的前驅化合物(於下文中的「前驅物」)可使 用任何為熟習該項技術者所知的沉積方法沉積而形成含給 薄膜或含錯薄冑(統稱為「薄膜」y。合適的沉積方法例 子包括但不限於:傳統化學氣相沉積(CVD)、低壓化學氣相 沉積(LPCVD)、原子層沉積(ALD),脈衝式化學氣相沉積 (P-CVD)、電漿加強原子層沉積(pE_ALD)、或其組合。
薄膜將沉積其上的基板類型將視其所欲最終用途而改 變。在某些具體實例中,基板可選自用於金屬絕緣體金屬 (Metal Insuiator Metal,MIM—用於電容的結構)、動態隨 機存取記憶體(DRAM),鐵電隨機存取記憶體(FeRam)技術 中作為"電材料或用於互補金屬氧化物半導體(⑽⑽)技術 中作為閘極介電體的氧化物(例如,以細2為主的材料、 以Ti〇2為主的材料、以Zr〇2為主的材料、以稀土族氧化物 為主的材料、以三元氧化物為主的材料等)或選自用作介 於銅與低介電層(low-klayer)間的氧障壁之以氮化物為主的 薄膜(例如TaN )。其他基板可用於半導體、光伏打、LCD_TFT 或平板式元件之製造。此類基板的例子包括但不限於固體 基板如金屬基板(例如Au、Pd、Rh、Ru、w、a卜Ni、Ti、 c〇' Pt 及金屬矽化物,如 TiSi2、c〇Si2、、及 Nisi2) 含金屬氮化物基板(例如TaN、TiN、WN、TaCN、tk:n、
TaSl^TlSlN);半導體材料(例如’ Si SiGe、GaAs、 InP、鑽石、GaN及SiC);絕緣體(例如以〇2、8_4、3刪、 201123272
Hf〇2、Ta205、Zr02、Ti02、Al2〇3 及鈦酸錄鋇);或其他 包含這些材料任意數目組合的基板。實際使用的基板亦可 由使用之特定前驅物具體實例而定。不過,在許多例子中, 較佳的使用基板將選自於TiN、Ru、及Si類型基板。 前驅物以蒸氣形式被引入含有至少一個基板的反應 室。該反應室可為於其中進行沉積方法之裝置的任何封閉 體(enclosure)或腔室,如,但不限於,平行板式反應器、冷 壁式反應器、熱壁式反應器、單一晶片反應器、多晶片反 應器、或其他此等類型沉積系統。
反應室可維持在範圍從約10-3托(〇 1 3332 pa)到約76〇 托(HH,325 Pa)壓力下,較佳為從約1〇-3托(〇 13332 pa)到 約10托(1 333 Pa)。此外,反應室内溫度範圍可從約15〇〇C 到約600。(:。一般技藝人士將了解透過簡單實驗即可最佳 化溫度達到所需結果。 基板可被加熱至足夠溫度而於充分的生長速率下得到 具所需物理性質及組成之薄膜。基板可被加熱所達的非限 制示範溫度範圍包含從約15Gt_鮮c。基板溫度介於 約150°C及約550。(:為更佳。 前驅物可以液態送至氣 於氣化器中先被氣化。在其 一或多種溶劑、一或多種金 合物和一或多種金屬來源之 甲本、乙苯、二甲苯、均三 己燒、戊烧、或其他溶劑所 化器中,其在被引入反應室前 氣化前’該前驅物可視需要與 屬來源、以及一或多種溶劑混 混合物混合。該溶劑可選自由 甲笨、癸烷、十二烷、辛烷、 組成之族群。所得濃度範圍可 201123272 由約0.05M到約2M。金屈氺-r — j 兔屬來源可包含目前已知或後來開發 的任何金屬前驅物。 —或者’前驅物可藉由使載流氣體通入含有該前驅物的 容器或藉由使載流氣體起泡進入前驅物而氣化。該載流氣 體及前驅物接著被引入反應室中。若必要,可將容器加熱 ,容許前驅物處於其液相且具有^夠蒸氣壓之溫度。載流 乳體可包含但不限於·· Ar、He ' n2、及其混合物。前驅物 可在合器中視需要和溶劑、其他金屬前驅物、或其混合物 混合。可將該容器維持在例如〇_15(rc的溫度範圍。熟習該 項技術者了解容器溫度可用已知方式調整以控制氣化的前 驅物量。 反應器中的溫度和壓力維持在適合ALD或CVD沉積的 條件。換言之,先前所揭示腔室内的條件係使得被引入反 應室中之蒸氣與基板接觸以在基板上形成含金屬層。 除了前驅物與溶劑、金屬前驅物及安定劑在引入反應 至則的選用混合’前驅物可和反應&物種在反應室内混 合。示範的反應物物種包括但不限於:H2、金屬前驅物如 三曱基紹(TMA)或其他含鋁前驅物、其他含矽前驅物、三級 丁基亞胺基參(二乙胺基)鈕(Ta[N(C2H5)2]3[Nc(CH3)3]或 TBTDET)、二甲胺基乙氧基四乙氧化鈕(TAT_DMAE)、五乙 氧基组(PET) '三級丁基亞胺基參(二乙胺基)錕(TBTE)EN)、 五乙氧基鈮(PEN)、及其組合。當所欲薄膜亦含有氧時,舉 例而言’例如且不限於二氧化铪,則反應物物種可包含選 自但不限於下列之氧來源:〇2、〇3、H20、H2〇2 '乙酸、福 12 201123272 馬林、聚曱醛、及其组合。或者,氧來源可選自〇2、H2〇、 〇3、H2〇2、羧酸、或其組合。 當所欲薄膜亦含有氣時,舉例而言,例如且不限於 ZrSiON或HfOxNy,則反應物物種可包含選自但不限於下列 之氮來源:氮(NO、氨及其烷基衍生物、肼及其烷基衍生物、 含氣自由基(例如N、NH、NH2 )、NO、N2〇、N〇2、胺、 及其任何組合。 當所欲薄膜亦含有碳時,舉例而言,例如且不限於碳 化铪或碳氮化铪(hafnium carbo-nitride),則反應物物種可包 含選自但不限於下列之碳來源:曱烷、乙烷'丙烷、丁烷、 乙烯、丙烯、第三丁烯、異丁烯' ecu、及其任何組合。 當所欲薄膜亦含有矽時,舉例而言,例如且不限於 HfSiOx或ZnSiON,則反應物物種可包含選自但不限於下列 之矽來源.SiH4、Si2H6、Si3H8、參(二甲胺基)矽烷 (TriDMAS)、雙(二甲胺基)矽烷(BDMAS)、雙(二乙胺基)矽 烷(BDEAS)、肆(二乙胺基)矽烷(TDEAS)、參(二甲胺基)矽 烷(TDMAS) '肆(乙基甲基胺基)矽烷(TEMAS)、(SiHj3N、 (SiH^O、三矽烷基胺、二矽氧烷、三矽烷基胺、二矽烷、 三矽烷、烷氧基矽烷SiHx(0Ri)4 x、矽醇si(OH)x(〇Rl)4 x(較 佳為SiCOHXORi;更佳為Si(〇H)(〇tBu)3)、胺基矽烷 SiH/NRiR2:^,(其中 x 為!、2、3 或 4 ; Ri 及 r2 獨立為 η 或直鏈、支鏈或環狀C1_C6碳鏈;較佳為TriDMAS、 BTBAS、及/或BDEAS),及其任何組合。標的薄膜另一選 擇為可含鍺(Ge),於上述例子中含鍺反應物物種可取代含矽 13 201123272 反應物物種。 當所欲薄膜亦含有其他金屬時,舉例而言,例如且不 限於:Ti、Ta、Hf、Zr、Nb、Mg、A1、Sr、Y、Ba、Ca、 As、Sb、Bi、Sn、Pb、或其組合,貝i反應物物種可含有選 自但不限於下列之第二前驅物:金屬烷基如SbRi’3或SnRi,4 (其中每一個R 1 ’獨立為Η或直鏈、支鏈、或環狀ci-C6 碳鏈),金屬烷氧化物如Sb(ORi)3或Sn(ORi)4 (其中每一 個R1獨立為Η或直鏈、支鏈、或環狀c 1-C6碳鏈),及金 屬胺如 SbCNRVMNI^RlNR^R6)或 GeCNR1 R2)(NR3R4) (NR5R6)(NR7R8)(其中每一個 Ri、R2、、r4、r5、r6、 R、及R8獨立為H、C1-C6碳鏈,或三烧矽基,該碳鏈及 二烧石夕基各自為直鏈、支鏈、或環狀),及其任意組合。 前驅物和一或多種反應物物種可同時(化學氣相沉 積)、相繼(原子層沉積)、或以其他組合引入反應室。 例如,前驅物可以一次脈衝引入及兩種額外的金屬來源可 以個別脈衝一起引入【改良原子層沉積】。或者,反應室 在引入前驅物前可已含有反應物物種。該反應物物種可通 過位於遠離反應室的電漿系統而分解成自由基。或者,前 驅物可連續引入反應室而其他金屬來源則藉由脈衝引入 (脈衝式化學氣相沉積)。在每一個例子中,脈衝之後可 接隨沖洗或抽真空步驟以去除過量的引入成分。在每一個 例子中,脈衝可持續一段範圍從約0.01秒至約1〇秒,或者 約0.3秒至約3秒,或者約〇_5秒至約2秒的時間。 在ALD或PEALD方法中,可在每次ALD循環之間或 14 201123272 較佳是在多次ALD循環(例如备2 $,丨]Λ Α Μ θ、 J戈母2到1 〇次循環)之後執行 退火或急驟退火步驟。可調整各々 巧f母-人退火步驟之間執行的沉 積循環次數使薄膜性質及生產暑畀丄化 王屋里最大化。可使基板在惰 性、含N氛圍、含〇氛圍、或立袓人夕丁 . m 。
: 八 ',且D之下’在範圍從約400 C 至約1000 C的溫度下暴露—段筋衝你的Λ】& 仅把園從約ο. 1秒至約1 2〇秒的 時間。所得薄膜可含較少不純物, 屯物因此該薄膜會有改善的 密度’造成改善的漏電流。退少牛聰叮从& 退火步驟可於執行沉積方法的 同一反應室中執行。或者,其把 ^ 基板可自反應室中移除,而讓 退火/急驟退火方法在不同的裝置中執行。 在-個非限制的示範原子層沉積類型方法巾,將含铪 或锆前驅物的氣相引入:反應室中,其在反應室和合適的基 板接觸。過量的前驅物接著可藉由將反應室沖洗及/或抽真 空而自反應室移除。將氮來源引入反應室,於其中氮來源 以自限制方式(Self-llmitlng manner)和已吸附的前驅物反 應。任何過量氮來源係藉由將反應室沖洗及/或抽真空而自 反應室中移除。若所欲薄膜為氮化給或氮化錯薄膜,此二 步'驟方法可提供所需簿腺:ρ 1 &、fc 心扩π高溥膜谷度或可重複進行直到得到具有 必需厚度之薄膜。 或者,若所欲薄膜'為铪或錘金屬氮化物薄Μ,上述二 二驟方法之後可接續將第二前驅物蒸氣引入反應室。第二 η -物將基於所/儿積的銓或锆金屬氮化物薄膜性質選擇且 可匕括3奴刖驅物。在引入反應室後,使第二前驅物和基 反接觸4壬何過1的第二前驅物係藉由將反應室沖洗及/或 才真工而自反應室中移除。再一次,可將氮來源引入反應 15 201123272 室中與第二前驅物反應。過量的氮來源係藉由將反應室沖 洗及/或抽真空而自反應室中移除。若已達到所需薄膜厚 度,則終止此方法。然而,若需要較厚的薄膜,可重複整 個四步驟方法。藉由交替提供前驅物、第二前驅物、及氮 來源’可沉積所需組成及厚度的薄膜。 由以上討論方法得到的含铪或含锆薄膜或層可包含
Hf02、Zr02、(Hf,Zr)Ox、HfSiOx、HfSiON、HfOxNy、HfLaxOy、 HfAlxOy ZrAlx〇y、ZrSixOy、ZrSiON、ZrOxNy、ZrLaxOy、 Pb(Zr,Ti)〇3、HfYxOy、ZrYx〇y、HfRExOy、及 ZrRExOy,其 中x和y為>〇的數,且RE=稀土金屬。一般技藝者將了解 藉由明斷選擇合適的前驅物及反應物物種,可得到所需薄 膜組成。 實施例 下列實施例闡述配合本文揭示内1容執行之實驗。該等 實施例不意欲包含所有,且不意欲限制本文所述揭示的範 疇。 實施例1
Hf(EtCp)Cl3 合成:將 3.00g (9.37 mmol)固體 HfCl4 緩 慢加入含THF溶劑之250 mL Schlenk燒瓶中。該固體立即 溶解得到澄清溶液。將 EtCpNa (4.21mL, 9.37mmol,2.18N) 於THF中的溶液以注射器加入。將所得混合物攪拌整夜。 氣化溶劑及揮發物並將所得黏稠固體以曱苯萃取,透過 Celite過濾並乾燥。得到可定量產量。 實施例2 16 201123272
Hf(nPrCp)Cl3 及 Hf(nBuCp)Cl3 的 NMR 光譜如下:
Hf(nPrCp)Ch: *H NMR (C6D6, d): 0.64 (t, 3H, C5H4-CH2CH2C//3); 1.20 (h,2H,C5H4-CH2C//2CH3); 2.32 (t, 2H,C5H4-Cif2CH2CH3); 5.76,5.81 (s,2H x 2,C5//4- CH2CH2CH3)
Hf(nBuCp)Ch: 4 NMR (C6D6, d): 0.75 (t, 3H, C5H4-CH2CH2CH2C//3); 1.03 (h, 2H, C5H4-CH2CH2C//2CH3); 1.20 (h, 2H, C5H4-CH2C//2CH2CH3); 2.38 (t, 2H, C5H4-C//2CH2CH2CH3); 5.78, 5.81 (s,2H x 2, C5/f4-CH2CH2CH2 CH3) 實施例3 測定各種含铪化合物的熔點並提供j i : 化合物 .(°C) HfCl4 ___320 HfCUCMe^Cp) ___1_80 HfCU(iBuCp) --__^6 HfCh(nPrCp) ..___85 HfCh(iPrCp) HfChfnBuCp) HfCUftBuCp) 實施例4
HfCUfPrCp)用來在矽晶片上利用原子層沉積技術使 17 201123272 用水氣作為共反應物沉積純Hf〇2薄膜。在3〇〇°c得到高達 0.4 A/循環(〇.〇4 nm/週期)之沉積速率且折射率RI=2。 反應室中的壓力為0.5托(67 Pa)定值。起泡器溫度維 持在120 C且壓力為22托(2933 Pa)。氮載流氣體流速固 定在50 seem ° 為得到完全表面飽和,需要引入前驅物幾秒鐘。 實施例5
HfCl3(nPrCp)的ALD飽和曲線在’25〇。〇下執行。將h20 引入反應室中2秒接著1〇秒沖洗。就Hfcl3(nPrCp)脈衝進 行的飽和持續30秒,接著5秒氮氣沖洗。飽和沉積速率為 0.4 A/週期(〇_〇4 nm/週期)。 應了解熟習此項技術者可對已經在本文中為了說明本 發明性質所敘述和例示之細節、材料、步驟、及零件配置 在隨附申凊專利範圍所表述的本發明之原理及範疇内作出 許多其他變化。因此’本發明不意欲限於上文給出的實施 例及/或隨附圖式中之特定具體實例。 【圖式簡單說明】 圖1為HfCl3(nPrCp)之熱重分析(TGA)圖; 圖 2 為 HfCl3(nBuCP)之 TGA 圖;及 圖 3 為 HfCl^BuCp)之 TGA 圖。 【主要元件符號說明】 無 18

Claims (1)

  1. 201123272 七、申請專利範圍: κ一種在基板上形成含金屬層之方法,此方法包含: a) 提供其中置有至少一個基板的反應室; b) 將含有至少—種具有下式之化合物的蒸氣引入反應 室中: MCl3(R,R2R3R4R5Cp)- 其T 1至I獨立為Η或具有i到6個碳原子的脂 肪奴基,其限制條件為至少Ri為具有丄到6個碳原 子的脂肪族基;cP為環戊二烯基;M為Hf或Zr; 其限制條件為當Rl至Rs為Me或Ri為ipr且R2至 Rs為Η時,μ不為铪;且 c) 利用氣相沉積方法使蒸氣和基板接觸以在基板的至 少一個表面上形成含金屬層。 2·如申租專利範圍第1項之方法,其中該至少一種化合 物選自 HfCl3(nprCp)、HfChCiBuCp)、HfCldnBuCp)、 HfCl3(tBuCp). ZrCl3(nPrCp) ^ ZrCl3(iBuCp) ^ ZrCl3(Me5Cp) ^ zrci3(iprcP)、ZrCl3(nBuCp)、及 ZrCl3(tBuCp)所組成之族 群。 3. 如申請專利範圍第丨項或第2項之方法,其中該至少 種化合物為 HfCl3(nBuCp)或 HfCl3(tBuCp)。 4. 如申請專利範圍第1項至第3項令任一項之方法,其 進步包含將第二前驅物蒸氣引入反應室且引導該蒸氣至 基板。 5·如申請專利範圍第4項之方法,其中該第二前驅物包 19 201123272 含選自由以下所組成族群之金屬mu 仙青入卜心…鑭系元素’及其組合。r、Pb、 6. 如申請專利範圍第1項至第4項中任—項之方 一步包含將至少—種反應氣體“反應室。 法’進 7. 如申請專利範圍第6項之方法,其中該至 氣體選自由02、H2〇、〇,、w A _ 裡反應 之族群β Η2〇2、羧…其組合所組成 8. 如申請專利範圍第i項至第4項中任一項之方法,直 中該氣相沉積方法為化學氣相沉積法。 /、 9. 如申請專利範圍第丨項至第4項中任—項之方法,直 中該氣相沉積方法為含有多個沉積循環之原子層沉積法Γ 1〇_如申請專利範圍帛i項至第4項之方法,其中含金 屬層選自由以下組成之族群:Hf02、Zr02、,心)0χ、 HfS^Ox、HfSiON、HfOxNy ' HfLax〇y、HfAlx〇y、ZrAlx〇y、 ZrSix〇y ' ZrSi〇N ' Zr〇XNy、ZrLax〇y、pb(Zr,Ti)〇3、HfYx〇y、 ZrYxOy、HfRExOy、及ZrREx〇y ;其+ x和丫為範圍從大於 0至5的相對數。 八、圖式: (如次頁) 20
TW099138468A 2009-11-09 2010-11-09 製造含鉿或鋯化合物的方法及使用含鉿或鋯化合物之沈積方法 TWI523078B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US25943309P 2009-11-09 2009-11-09

Publications (2)

Publication Number Publication Date
TW201123272A true TW201123272A (en) 2011-07-01
TWI523078B TWI523078B (zh) 2016-02-21

Family

ID=43970792

Family Applications (1)

Application Number Title Priority Date Filing Date
TW099138468A TWI523078B (zh) 2009-11-09 2010-11-09 製造含鉿或鋯化合物的方法及使用含鉿或鋯化合物之沈積方法

Country Status (4)

Country Link
US (1) US8765220B2 (zh)
EP (1) EP2499274B1 (zh)
TW (1) TWI523078B (zh)
WO (1) WO2011057114A2 (zh)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8633118B2 (en) * 2012-02-01 2014-01-21 Tokyo Electron Limited Method of forming thin metal and semi-metal layers by thermal remote oxygen scavenging
US8722526B2 (en) * 2012-07-27 2014-05-13 Veeco Ald Inc. Growing of gallium-nitrade layer on silicon substrate
US9275853B2 (en) * 2013-07-29 2016-03-01 Applied Materials, Inc. Method of adjusting a transistor gate flat band voltage with addition of AL203 on nitrided silicon channel
JP6067524B2 (ja) * 2013-09-25 2017-01-25 株式会社東芝 半導体装置および誘電体膜
US9828673B2 (en) * 2014-09-22 2017-11-28 Svt Associates, Inc. Method of forming very reactive metal layers by a high vacuum plasma enhanced atomic layer deposition system
JP6954776B2 (ja) 2017-06-29 2021-10-27 株式会社Adeka 薄膜形成用原料及び薄膜の製造方法
GB2587401A (en) * 2019-09-27 2021-03-31 Univ Bath Atomic layer deposition method of metal (II), (0), or (IV) containing film layer
US11690228B2 (en) * 2021-02-25 2023-06-27 Taiwan Semiconductor Manufacturing Company, Ltd. Annealed seed layer to improve ferroelectric properties of memory layer

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3409290B2 (ja) 2000-09-18 2003-05-26 株式会社トリケミカル研究所 ゲート酸化膜形成材料
US6969539B2 (en) * 2000-09-28 2005-11-29 President And Fellows Of Harvard College Vapor deposition of metal oxides, silicates and phosphates, and silicon dioxide
US6858547B2 (en) 2002-06-14 2005-02-22 Applied Materials, Inc. System and method for forming a gate dielectric
US20050056219A1 (en) 2003-09-16 2005-03-17 Tokyo Electron Limited Formation of a metal-containing film by sequential gas exposure in a batch type processing system
JP2005209766A (ja) 2004-01-21 2005-08-04 Mitsubishi Materials Corp ハフニウム含有酸化膜の製造方法
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US20070065578A1 (en) * 2005-09-21 2007-03-22 Applied Materials, Inc. Treatment processes for a batch ALD reactor
GB2432363B (en) 2005-11-16 2010-06-23 Epichem Ltd Hafnocene and zirconocene precursors, and use thereof in atomic layer deposition
JP5128289B2 (ja) 2005-12-06 2013-01-23 株式会社トリケミカル研究所 ハフニウム系化合物、ハフニウム系薄膜形成材料、及びハフニウム系薄膜形成方法
JP2007308789A (ja) * 2006-04-19 2007-11-29 Tokyo Electron Ltd 成膜装置及び成膜方法
US20070259111A1 (en) * 2006-05-05 2007-11-08 Singh Kaushal K Method and apparatus for photo-excitation of chemicals for atomic layer deposition of dielectric film
CN101460657A (zh) * 2006-06-02 2009-06-17 乔治洛德方法研究和开发液化空气有限公司 基于新型钛、锆和铪前体的高k介电膜的形成方法及其用于半导体制造的用途
KR100804413B1 (ko) 2006-06-21 2008-02-20 (주)디엔에프 지르코늄 산화물 박막 증착용 유기금속 선구물질 및 이의제조 방법
US20080063798A1 (en) * 2006-08-30 2008-03-13 Kher Shreyas S Precursors and hardware for cvd and ald
BRPI0703586B1 (pt) * 2007-10-19 2018-02-06 Braskem S.A Catalisador metaloceno suportado, e, copolímeros de etileno com alfa-olefinas de alto e ultra alto peso molecular
KR100960480B1 (ko) 2007-11-27 2010-06-01 주식회사 유니온 트랜스포머의 코일장치
US20100290945A1 (en) * 2009-05-13 2010-11-18 Ce Ma Solution based zirconium precursors for atomic layer deposition

Also Published As

Publication number Publication date
US8765220B2 (en) 2014-07-01
EP2499274A4 (en) 2013-07-10
US20120207928A1 (en) 2012-08-16
TWI523078B (zh) 2016-02-21
WO2011057114A3 (en) 2011-07-28
EP2499274A2 (en) 2012-09-19
EP2499274B1 (en) 2016-04-20
WO2011057114A2 (en) 2011-05-12

Similar Documents

Publication Publication Date Title
TWI463032B (zh) 含鑭系元素前驅物的製備和含鑭系元素薄膜的沈積
JP5957017B2 (ja) 新規な4b族有機金属化合物及びその製造方法
KR101244960B1 (ko) 베타-디케티미네이트 리간드 공급원 및 이의 금속-함유화합물; 및 이를 포함하는 시스템 및 방법
KR101273024B1 (ko) 비대칭 리간드 공급원, 대칭성이 감소된 금속-함유 화합물,및 이를 포함하는 시스템 및 방법
JP2020504779A (ja) ランタニド前駆体およびそれを使用するランタニド含有膜の堆積
TWI523078B (zh) 製造含鉿或鋯化合物的方法及使用含鉿或鋯化合物之沈積方法
TWI454589B (zh) 用於含金屬膜的第4族金屬前驅物
KR101304760B1 (ko) 증착용 티타늄 함유 전구체
TWI496929B (zh) 含鉿與鋯的前驅物及使用彼之方法
TW201116643A (en) Method of forming dielectric films, new precursors and their use in the semi-conductor manufacturing
US20110256721A1 (en) Ruthenium-containing precursors for cvd and ald
KR20130091450A (ko) 신규한 4-비이 족 금속 유기화합물 및 그 제조방법
WO2022106508A1 (en) Lanthanide and lanthanide-like transition metal complexes
JP2013530304A (ja) Cvd及びald用のルテニウム含有前駆体
TWI593820B (zh) 含鑭系元素前驅物的製備和含鑭系元素薄膜的沈積
TWI518199B (zh) 用於氣相沉積之含鉿或含鋯前驅物
TW201127981A (en) Methods for deposition of group 4 metal containing films
TW202411198A (zh) 作為氣相薄膜沉積製程的前驅物之多取代環戊二烯基稀土錯合物