TW201034110A - Rapid cooling of a substrate by motion - Google Patents

Rapid cooling of a substrate by motion Download PDF

Info

Publication number
TW201034110A
TW201034110A TW099102478A TW99102478A TW201034110A TW 201034110 A TW201034110 A TW 201034110A TW 099102478 A TW099102478 A TW 099102478A TW 99102478 A TW99102478 A TW 99102478A TW 201034110 A TW201034110 A TW 201034110A
Authority
TW
Taiwan
Prior art keywords
substrate
cooling
temperature
degrees celsius
rate
Prior art date
Application number
TW099102478A
Other languages
Chinese (zh)
Inventor
Wolfgang R Aderhold
Leonid M Tertitski
Aaron Muir Hunter
Martin Tran
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW201034110A publication Critical patent/TW201034110A/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)

Abstract

Methods for cooling a substrate are provided herein. In some embodiments, a method for cooling a substrate includes heating a substrate in a process chamber from an introductory temperature to a peak temperature of greater than about 900 degrees Celsius; and cooling the substrate from within about 50 degrees Celsius of the peak temperature by moving the substrate at a rate of at least about 3 millimeters/second in a direction normal to an upper surface of the substrate. In some embodiments, cooling the substrate by moving the substrate further comprises moving the substrate to a first position having a first distance from an upper surface of the process chamber; and subsequently moving the substrate to a second position having a second distance that is further away from the upper surface than the first distance. In some embodiments, a residence time proximate the peak temperature is about 0.6 seconds or less.

Description

201034110 六、發明說明: 【發明所屬之技術領域】 本發明之實施例大體上是關於處理方法,更具體而 言,是關於用於冷卻基材的方法。 【先前技術】 在更小的半導體元件節點,精確控制熱製程是不可或 缺的。舉例而言’電晶體元件可包含超淺接面(uSj)源 極/>及極區域’其以諸如鱗或之摻質摻雜以增加載子移 動率。諸如離子佈植的摻雜製程期間,源極/汲極區域會 受知且需要藉由熱製程修復。進一步言之,佈植之後, 摻質需要藉由熱製程活化,例如以擴散至源極/汲極區域 内的晶格空隙或晶格位置。適合用於活化摻質以及修復 源極/汲極的熱製程可包含快速熱製程(RTp),諸如尖峰 式RTP退火。不幸的是,RTP製程具有非常緩慢的冷卻 φ 速率,係因其極低的導電度及/或輻射熱損失。照此,由 於RTP製程,源極/汲極區域所處的升高溫度之時間會足 以允許摻質擴散進入電晶體元件的通道,或者進入不期 望出現摻質的元件之其他區域。 因此,需要此技藝中用於在熱製程期間冷卻基材的方 法。 【發明内容】 在此提供-種用於冷卻基材的方法。在某些實施例 201034110 中,一種用於冷卻一基材的方法包含:在一製程腔室中 從一準備溫度加熱一基材至—大於約攝氏900度的頂峰 /凰度,以及藉由以至少約3 mm/sec (毫米/秒)的速率於 垂直該基材之一上表面的方向移動該基材,以從離該頂 峰溫度約攝氏50度以内之溫度冷卻該基材。 在某些實施例中,藉由移動該基材而冷卻該基材進一 步包含移動該基材至一第一位置,該第一位置離該製程 ® 腔室之該上表面一第一距離;以及隨後移動該基材至一 第二位置,該第二位置具有比該第一位置更遠離該上表 面的一第二距離。在某些實施例中,在從該頂峰溫度之 下約攝氏50度加熱該基材以及冷卻該基材至該頂峰溫 度之下約攝氏50度之間的一滯留時間少於約i.2秒。某 些實施例中,滯留時間為約〇 6秒或更少。本發明之其 他及進一步的實施例將於下描述。 φ 【實施方式】 在此提供用於快速冷卻基材的方法。冷卻方法可為諸 如尖峰式快速熱製程的快速熱製程(RTp )之一部份。 冷部方法可有利地減少在RTp退火期間基材於頂峰溫度 或接近頂峰溫度時所花費的滯留時間。減少滯留時間是 有利的,例b,其利於限制摻質擴散,肖時活化佈植在 基材中的掺f並且修復由於佈植製程造成的基材損傷。 舉例而言(如下將更詳細討論),在某些實施例中,從 5 201034110 相對尚溫啟動(例如,約攝氏9nn麻 J獬民900度之上,或在某些實 施例中,介於約攝氏9〇〇 没主約攝氏1400度之間,或在 某些實施例,介於約攝氏】ftnn由 僻八1000度至約攝氏1400度之 間),基材可以相對快的速率(例如約iq _/see(毫米/ 秒)或更快’或者在某些實施例中,高達且包含約 mm/sec,或在某些實施例中, τ 主y約3 mm/sec,或在某 些實施例中介於約3至約15 、 3 ί5 mm/sec ’或在某些實施例中201034110 VI. Description of the Invention: TECHNICAL FIELD OF THE INVENTION Embodiments of the present invention generally relate to a processing method, and more particularly to a method for cooling a substrate. [Prior Art] At a smaller semiconductor component node, precise control of the thermal process is indispensable. For example, a 'transistor element can comprise an ultra-shallow junction (uSj) source/> and a pole region' that is doped with a dopant such as a scale or a dopant to increase carrier mobility. During doping processes such as ion implantation, the source/drain regions are known and need to be repaired by a thermal process. Further, after implantation, the dopant needs to be activated by a thermal process, such as to diffuse into a lattice void or lattice location within the source/drain region. Thermal processes suitable for activating dopants and repairing source/drain may include rapid thermal processing (RTp), such as spiked RTP annealing. Unfortunately, the RTP process has a very slow cooling φ rate due to its extremely low conductivity and/or radiant heat loss. As such, due to the RTP process, the elevated temperature of the source/drain regions is sufficient to allow the dopant to diffuse into the channels of the transistor elements or into other regions of the component where dopants are undesirable. Therefore, there is a need in the art for a method of cooling a substrate during a thermal process. SUMMARY OF THE INVENTION A method for cooling a substrate is provided herein. In certain embodiments 201034110, a method for cooling a substrate includes: heating a substrate from a preparation temperature to a peak/diameter greater than about 900 degrees Celsius in a process chamber, and by The substrate is moved at a rate of at least about 3 mm/sec (mm/sec) in a direction perpendicular to the upper surface of one of the substrates to cool the substrate from a temperature within about 50 degrees Celsius from the peak temperature. In some embodiments, cooling the substrate by moving the substrate further comprises moving the substrate to a first position that is a first distance from the upper surface of the process chamber; The substrate is then moved to a second position having a second distance further from the upper surface than the first position. In certain embodiments, a residence time of heating the substrate from about 50 degrees Celsius below the peak temperature and cooling the substrate to about 50 degrees Celsius below the peak temperature is less than about 1.2 seconds. . In some embodiments, the residence time is about 6 seconds or less. Other and further embodiments of the invention are described below. φ [Embodiment] A method for rapidly cooling a substrate is provided herein. The cooling method can be part of a rapid thermal process (RTp) such as a spike-type rapid thermal process. The cold section method can advantageously reduce the residence time spent by the substrate at or near the peak temperature during RTp annealing. It is advantageous to reduce the residence time, in Example b, which is advantageous in limiting the diffusion of dopants, the activation of implants in the substrate and the repair of substrate damage due to the implantation process. For example (discussed in more detail below), in some embodiments, starting from 5 201034110 is relatively warm (eg, above about 90 degrees Celsius, or in some embodiments, between Approximately 9 degrees Celsius or less, between about 1400 degrees Celsius, or in some embodiments, between about 10,000 degrees Celsius, ftnn from 1000 degrees Celsius to about 1400 degrees Celsius, the substrate can be relatively fast (eg, About iq _ / see (mm / sec) or faster 'or in some embodiments, up to and including about mm / sec, or in some embodiments, τ main y about 3 mm / sec, or in a certain Between about 3 to about 15, 3 ί5 mm/sec in some embodiments or in some embodiments

介於約3至約50mm/sec)移動橫跨一相對短的距離(例 如’少於約8咖’或少於約5_)以完成相對顯著的 溫度降低(例如,超過約攝氏1〇度至約攝氏5〇度^在 某些實施例中,此般快速冷卻可在介於約35〇毫秒至12 秒之間完成。以上所論及之相對高溫不必為頂峰溫度, 但在某些實施例中’該相對高溫是於基材經受的製程(例 如,退火,或以峰式退火製程)中的頂峰溫度之攝氏 50度之内。 第1圖根據本發明之某些實施例繪示用於冷卻基材的 方法100之流程圖。該方法1〇〇可為諸如快速熱製程 (RTP )的熱製程之一部份。一示範性RTp是尖峰式快 速熱退火(尖峰式RTP)。方法100可在任何適合的製程 腔室中執行,該等腔室是裝設以用於熱製程,諸如 RADIANCE®或RADIANCEplus®熱處理系統,其可購自美 國加州Santa Clara的應用材料公司,或者,該等腔室是 諸如以下相關於第3圖所描述之腔室3〇〇。方法〖〇〇如 下相關於第2A至2C圖描繪,其參考第J圖繪示方法1〇〇 6 201034110 之各階段期間的基材。 方法100大體上起始於1〇2,其中如第2A圖所描繪般 提供基材20G。歸200 {指任何於其上執行膜處理的 基材或材料表面。在某些實施例中,基材2〇〇可包含矽、 結晶矽(例如矽<100>或矽<m>)、應變矽、矽鍺、摻雜 或非摻雜多晶矽、掺雜或非摻雜矽晶圓、圖案化或非圖 案化晶圓、絕緣體上覆矽(S0I)或摻雜矽等。某些實施 例中,基材200可具有多種尺寸(諸如2〇〇或3〇〇 mm 直徑的晶圓)’以及矩形或正方形平板。某些實施例中, 基材200包含矽。基材2〇〇可受圖案化及/或可含有多個 材料層。 舉例而s,基材可為諸如電晶體元件之半導體元件(圖 中未示)的一部分,且具有超淺接面(USJ)區域(圖中 未不)’例如,源極/汲極區域。源極/汲極區域可以諸如 磷或硼之摻質佈植,以助益增加载子移動率。佈植製程 的結果,基材200會受損及/或具有一個或多個摻質需要 活化的摻雜區域。 於104,基材200可加熱至頂峰溫度。在某些實施例 中,頂峰溫度可在約攝氏900度之上,在約攝氏9〇〇度 至約攝氏1400度之間,或大於約攝氏i4〇〇度。一實施 例中,頂峰溫度為約攝氏1050度。儘管前述論及約攝氏 9〇〇度之上的溫度,然而,在某些實施例中該頂峰溫度 可例如為較低的溫度,諸如至少約攝氏丨5〇度或至少约 攝氏350度》 201034110 最初’基材200可推λ制你— 進入製程腔至’例如以下所述的示 範性製程腔室之―,且可加熱並且維持於準備溫度,其 :準備溫度低於頂峰溫度。例如,在一實施例中準備 溫度可約為室溫’或者約攝氏25度。在某些實施例中, 準備溫度可介於約攝氏25度至約攝氏6〇〇度之間。 舉例而言,在某4b兹 ‘ + 4 示—渚如尖峰式RTP退火的實施例中, 基材細可從準備溫度以第—速率加熱至第一溫度,隨 ❹ 後以第一速率從第—溫度加熱至大約頂峰溫度。在某些 實施例中,第-溫度是介於約攝氏觸度至約攝氏⑽ 度之間。在某些實施例中,第二加熱速率大於第一加煞 速率。第-加熱速率可為定值,或為約每秒攝氏5度至 約25度。第二加熱速率可為快速的,諸如於尖峰式咖 退火的一尖峰部份期間,或者約每秒攝氏50度至約300 度。 在某些實施例中’例如於尖峰式RTp退火期間,可期 望最大化加熱速率,以致基材快速抵達頂峰溫度。舉例 而言’最大化加熱速率可貢獻於減少基材2⑽處於或接 近(以約攝氏50度)頂峰溫度所花費的滯留時間。舉例 而言,在某些實施例中,滯㈣間可被界定為介於從該 頂峰溫度之下約攝氏5〇度加熱基材以及冷卻基材至該 頂峰溫度之下約攝氏50度之間的時間。減少滞留時間的 益處如前所述是在於例如限制㈣於活化期間或修復基 材 200。 在某些實施例中,加熱速率可藉由形成一熱絕緣流體 201034110 邊界層而増加。如第2A圖所繪示,邊界層2〇2可沉積於 鄰接基材200。邊界層2〇2可藉由阻礙輻射能2〇4從基 材200逃逸而增加加熱速率,藉由減少由於鄰近基材的 流體流動所致的對流熱之損失而增加加熱速率及/或藉 由以形成具有溫度接近基材200表面溫度的一層而減少 基材的傳導熱損失而增加加熱速率。輻射能2〇4可約略 靠近基材表面輻射’或者從距基材表面高達3〇nm之深 度輻射。在某些實施例中,輻射能204可以介於約7〇〇 nm 至looo nm之間的波長輻射。舉例而言,邊界層2〇2可 包含製程軋體,例如其中該製程氣體可物理性吸附至基 材200表面。製程氣體可吸收輻射能2〇4,因而限制基 材表面的熱損失。再者,製程氣體可反射輻射能2〇4回 到基材200,因而限制來自基材2〇〇的熱損失。 製程氣體可包含氦、氧、氮或其組合^在某些實施例 中’製程氣體包含氫(H2)及氧(〇2)。製程氣體可流過 基材表面’從基材表面上方流動’或為任何一般用於RTP 退火中的適合的流動模式。 製程氣體可利用一範圍的組成物。舉例而言,製程氣 體的組成物可改變以例如改善吸收來自基材200的輻射 能等。在某些實施例中’製程氣鱧可包含介於約95%至 約99%之間的氮(A )(例如’ &流率為介於約1〇〇 sccm 至約20000 seem之間在某些實施例中,製程氣體可 包含約1 %至約5 %之間的氫(Η:)。在—實施例中,製程 氣體在氮(N2)中包含約ι〇/0至5%的氫(h2)。 9 201034110 在106’藉由以垂直於基材表面之方向移動基材2〇〇 而使基材從頂峰溫度之約攝氏5〇度以内的溫度冷卻。在 某些實施例中,可於頂峰溫度之約攝氏5〇度以内開始移 動基材200,或換言之,在抵達頂峰溫度之前的攝氏5〇 度以内開始移動基材。在某些實施例中,移動基材2〇〇 可在大約在頂峰溫度開始》以垂直於基材2〇〇的表面之 方向之移動描繪於第2C圖中,其以標為206的箭號標 示。發明人已經發現僅移動基材2〇〇不足以增快冷卻基 材200的速率。咸信快速地移動基材2〇〇會擾動邊界層 202並且有助於更快速地冷卻基材2〇〇。舉例言之,基材 2〇〇可以足夠擾動邊界層2〇2的速率移動例如邊界 層2〇2可藉由從邊界層202釋放的製程氣體的粒子2〇8 薄化。基材200可以至少約3 mm/sec的速率移動,或以 尚達約10 mm/sec之速率、以高達約5〇 mm/sec之速率 或以介於約3至約15 mm/sec之間的速率移動。在某些 • 實施例中,基材移動速率可助益於約攝氏10度至約攝氏 度的溫度降低,或超過約攝氏5〇度。在某些實施例 中,抵達約頂峰(或最初)溫度之下約5〇度的冷卻時間 是介於約350毫秒至約1.2秒之間,或約350毫秒。在 某些實施例’滯留時間(或冷卻時間)可少於約1.2秒, 或介於約350毫秒至約! .2秒之間,或介於〇 6秒至約 1.0秒之間’或於約〇 6秒以下,或約35〇毫秒。 在某些實施例中’可提供冷卻板以助冷卻基材2〇〇。 舉例而言,可藉由朝該冷卻板以前述之方式快速移動基 201034110 材而使基材200從頂峰溫度冷卻。在某些實施例中,冷 卻板可配置於接近製程腔室的上表面處,諸如如下於第 3圖中所描缚的製程腔室300中的冷卻塊體3 80。在某些 實施例中,冷卻板可配置於相對於用以加熱基材的能量 源的基材之側面上。舉例而言,於後描述的示範性製程 腔至3 00繪示冷卻塊體380 (即,冷卻板),其配置在基 材200上方,以及配置在基材2〇〇下方的能量源3〇6。 某些實施例中’基材200可固持於最初位置,該位置 離製程腔室之上表面一最初距離,或於加熱基材期間(如 前於104所述)離冷卻板一最初距離❶在某些實施例中, 最初距離為離上表面或冷卻板約8毫米。 在基材加熱至約頂峰溫度的時間或加熱到在頂峰溫度 之約攝氏50度以内的時間,可藉由朝該冷卻板快速移動 基材而冷卻基材200。基材200可以一相對短暫的距離 冷卻’例如,藉由朝冷卻板以少於約8毫米,或少於約 5毫米,或約1毫米至約3毫米移動基材。在某些實施 例中,藉由朝冷卻板移動基材而冷卻基材包含:移動該 基材至一第一位置,該第一位置離該製程腔室之該上表 面一第一距離;以及隨後移動該基材至一第二位置,該 第二位置具有比該第一位置更遠離該上表面的一第二距 離。將基材移動遠離冷卻板可提供遍及基材表面的更均 勻的冷卻輪廓。在某些實施例中’該第一距離為離冷卻 板約1毫米至3毫米。在某些實施例中,第二距離為離 冷卻板約6毫米。 11 201034110 在某些實施例中’藉由朝製程腔室(例如,於下所述 之示範性製程腔室400)之上表面以快速移動基材而使 基材200冷卻。在某些實施例中,例如燈頭4〇1之能量 源可配置在製程腔室400之上表面(即窗組件414)上 方。類似於之前所述之實施例,基材可藉由朝能量源移 動而冷卻。在某些實施例中’藉由將基材移動至離製程 腔至上表面之第一距離的第一位置、並且隨後將基材移 ❹ 動至具有比該第一位置更遠離該上表面的一第二距離的 第二位置而冷卻基材。 基材200可以任何適合的運動方式以垂直於基材表面 的方向移動,該運動會擾動熱絕緣邊界層2〇2。該運動 可為任何適合的運動,諸如如前所述從離冷卻板最初距 離的最初位置至離冷卻板第一距離的第叫立置加速及減 速基材。該運動可為線性、正弦曲線或任何其他可擾動 邊界層202以助益於將邊界層裂解成製程氣體之粒子 • 2〇8的合適的運動,其如第2C圖中所示。粒子2〇8可包 3製程氣體之原子或分子’及/或從製程氣體形成者。 擾動邊界層202或裂解邊界層2〇2成製程氣體之粒子 2〇8可助益於改善由於輕射能2()4從基材彻逃逸造成 的⑹員失,如第2D圖所綠。輕射能2〇4可藉由例如製程 壁或表面所吸收,諸如藉由配置在接近基材200 的冷部板’或其他類似卫具。在某些實施例中冷卻 2〇可又塗佈或包含非反射性材料以致能夠吸收輻射能 且因此在冷卻期間輻射能不會反射 回基材200。在 12 201034110 石英或具有 某些實施例中,非反射性材料可包含陶瓷 粗糙化表面的材料。 進一步而言,輻射能可藉由製程氣體之粒子2〇8吸 收,並且從基材200如朝向製程腔室卿的壁或表面流 走-/壁或表面可充當吸熱部件。據此,擾動邊界層2〇2 或裂解邊界層202成粒子2〇8可改善自頂峰溫度之冷卻 速率。在某些實施例中,從約頂峰溫度至約頂峰溫度下Moving between about 3 to about 50 mm/sec) across a relatively short distance (eg, 'less than about 8 coffee' or less than about 5 mm) to achieve a relatively significant temperature decrease (eg, over 1 degree Celsius to About 5 degrees Celsius ^ In some embodiments, such rapid cooling can be accomplished between about 35 〇 milliseconds to 12 seconds. The relative high temperatures discussed above need not be peak temperatures, but in some embodiments 'The relative high temperature is within 50 degrees Celsius of the peak temperature in the process (eg, annealing, or peak annealing process) that the substrate is subjected to. FIG. 1 is depicted for cooling in accordance with certain embodiments of the present invention. A flow chart of a method 100 of a substrate. The method 1 can be part of a thermal process such as Rapid Thermal Process (RTP). An exemplary RTp is a spike type rapid thermal annealing (spike RTP). Executed in any suitable process chamber that is configured for use in a thermal process, such as a RADIANCE® or RADIANCEplus® heat treatment system, available from Applied Materials, Inc., Santa Clara, Calif., or such chambers The room is as described below in relation to Figure 3. The chamber is 3 〇〇. The method is as follows, as described in relation to Figures 2A to 2C, which refer to Figure J to illustrate the substrate during each stage of Method 1〇〇6 201034110. Method 100 generally begins at 1 〇2, wherein the substrate 20G is provided as depicted in Figure 2A. Any reference to the surface of the substrate or material on which the film treatment is performed. In some embodiments, the substrate 2 may comprise ruthenium, Crystalline ruthenium (eg, 矽<100> or 矽<m>), strained ruthenium, ruthenium, doped or undoped polysilicon, doped or undoped germanium wafer, patterned or unpatterned wafer, The insulator is coated with germanium (S0I) or doped germanium, etc. In some embodiments, the substrate 200 can have a variety of sizes (such as 2" or 3" mm diameter wafers) and rectangular or square plates. In an embodiment, the substrate 200 comprises ruthenium. The substrate 2 〇〇 may be patterned and/or may comprise a plurality of material layers. For example, the substrate may be a semiconductor component such as a transistor component (not shown) Part of it, and has an ultra-shallow junction (USJ) region (not shown) 'for example, source/drain region. Source The /drain region may be implanted with a dopant such as phosphorus or boron to help increase carrier mobility. As a result of the implantation process, the substrate 200 may be damaged and/or have one or more dopants that require activation. The substrate 200 can be heated to a peak temperature. In certain embodiments, the peak temperature can be above about 900 degrees Celsius, between about 9 degrees Celsius to about 1400 degrees Celsius, or greater than In about one embodiment, the peak temperature is about 1050 degrees Celsius. Although the foregoing relates to temperatures above about 9 degrees Celsius, in some embodiments the peak temperature can be, for example, Low temperatures, such as at least about 5 degrees Celsius or at least about 350 degrees Celsius. 201034110 Initially, 'substrate 200 can push you into the process chamber to, for example, the exemplary process chamber described below, and It can be heated and maintained at the preparation temperature, which is: the preparation temperature is lower than the peak temperature. For example, in one embodiment the temperature of preparation may be about room temperature ' or about 25 degrees Celsius. In certain embodiments, the preparation temperature can be between about 25 degrees Celsius and about 6 degrees Celsius. For example, in an embodiment where a 4b is shown as a peak-type RTP anneal, the substrate can be heated from the preparation temperature to the first temperature at a first rate, followed by a first rate. - The temperature is heated to approximately the peak temperature. In certain embodiments, the first temperature is between about Celsius and about 10 degrees Celsius. In some embodiments, the second heating rate is greater than the first twist rate. The first heating rate can be a constant value, or about 5 degrees Celsius to about 25 degrees per second. The second heating rate can be rapid, such as during a spike portion of a spiked coffee anneal, or about 50 degrees Celsius to about 300 degrees per second. In certain embodiments, such as during a spike RTp anneal, it may be desirable to maximize the heating rate such that the substrate quickly reaches the peak temperature. For example, maximizing the heating rate can contribute to reducing the residence time spent by substrate 2 (10) at or near (at about 50 degrees Celsius) peak temperature. For example, in some embodiments, the hysteresis (four) can be defined as heating the substrate between about 5 degrees Celsius below the peak temperature and cooling the substrate to between about 50 degrees Celsius below the peak temperature. time. The benefit of reducing residence time is as previously described, for example, by limiting (d) during activation or repairing the substrate 200. In some embodiments, the heating rate can be increased by forming a thermal insulating fluid 201034110 boundary layer. As depicted in Figure 2A, boundary layer 2〇2 can be deposited adjacent to substrate 200. The boundary layer 2〇2 can increase the heating rate by escaping the radiant energy 2〇4 from the substrate 200, increasing the heating rate by reducing the loss of convective heat due to fluid flow adjacent to the substrate and/or by The heating rate is increased by forming a layer having a temperature close to the surface temperature of the substrate 200 to reduce the conduction heat loss of the substrate. The radiant energy 2〇4 can be radiated near the surface of the substrate or from a depth of up to 3 〇 nm from the surface of the substrate. In some embodiments, radiant energy 204 can be radiated at a wavelength between about 7 〇〇 nm and looo nm. For example, the boundary layer 2〇2 may comprise a process rolling body, for example, wherein the process gas may be physically adsorbed to the surface of the substrate 200. The process gas absorbs radiant energy 2〇4, thus limiting the heat loss from the substrate surface. Furthermore, the process gas can reflect radiant energy 2〇4 back to the substrate 200, thereby limiting heat loss from the substrate 2〇〇. The process gas can comprise helium, oxygen, nitrogen, or a combination thereof. In certain embodiments, the process gas comprises hydrogen (H2) and oxygen (?2). Process gases can flow through the substrate surface 'flowing from the surface of the substrate' or any suitable flow pattern typically used in RTP annealing. The process gas can utilize a range of compositions. For example, the composition of the process gas can be varied to, for example, improve absorption of radiant energy from the substrate 200, and the like. In certain embodiments, the process gas may comprise between about 95% and about 99% nitrogen (A) (eg, '& flow rate is between about 1 〇〇 sccm to about 20,000 seem In some embodiments, the process gas can comprise between about 1% and about 5% hydrogen (Η:). In an embodiment, the process gas comprises from about 1 〇/0 to 5% in nitrogen (N2). Hydrogen (h2). 9 201034110 The substrate is cooled at a temperature within about 5 degrees Celsius from the peak temperature by moving the substrate 2〇〇 in a direction perpendicular to the surface of the substrate at 106'. In some embodiments The substrate 200 can be moved within about 5 degrees Celsius of the peak temperature, or in other words, starting to move the substrate within 5 degrees Celsius before reaching the peak temperature. In some embodiments, the substrate is moved 2〇〇 The movement in the direction perpendicular to the surface of the substrate 2〇〇 at about the beginning of the peak temperature is depicted in Figure 2C, which is indicated by the arrow labeled 206. The inventors have discovered that only the substrate 2 is moved. Not enough to increase the rate at which the substrate 200 is cooled. It is believed that moving the substrate 2 quickly will disturb the boundary layer 202 and help The substrate 2 is rapidly cooled. For example, the substrate 2〇〇 can be moved at a rate sufficient to disturb the boundary layer 2〇2, for example, the boundary layer 2〇2 can be released by the particles of the process gas released from the boundary layer 202. 8 Thinning. Substrate 200 can be moved at a rate of at least about 3 mm/sec, or at a rate of up to about 10 mm/sec, at a rate of up to about 5 mm/sec or between about 3 and about 15 mm. Rate movement between /sec. In certain embodiments, the substrate movement rate may contribute to a temperature decrease of about 10 degrees Celsius to about Celsius, or more than about 5 degrees Celsius. In some embodiments, The cooling time to reach about 5 degrees below the peak (or initial) temperature is between about 350 milliseconds to about 1.2 seconds, or about 350 milliseconds. In some embodiments, the residence time (or cooling time) may be less. In about 1.2 seconds, or between about 350 milliseconds to about !.2 seconds, or between 〇6 seconds to about 1.0 seconds' or below about 6 seconds, or about 35 milliseconds. In the embodiment, a cooling plate may be provided to help cool the substrate 2〇〇. For example, by way of the cooling plate in the foregoing manner Speeding the substrate 201034110 to cool the substrate 200 from the peak temperature. In some embodiments, the cooling plate can be disposed proximate the upper surface of the process chamber, such as the process chamber as described below in FIG. Cooling block 380 in 300. In some embodiments, the cooling plate can be disposed on the side of the substrate relative to the source of energy used to heat the substrate. For example, an exemplary process chamber described hereinafter A cooling block 380 (i.e., a cooling plate) is illustrated up to 300, disposed above the substrate 200, and an energy source 3〇6 disposed below the substrate 2〇〇. In some embodiments, the substrate 200 can be held in an initial position that is at an initial distance from the upper surface of the process chamber, or an initial distance from the cooling plate during heating of the substrate (as previously described at 104). In some embodiments, the initial distance is about 8 mm from the upper surface or the cooling plate. The substrate 200 can be cooled by rapidly moving the substrate toward the cooling plate during the time the substrate is heated to about the peak temperature or heated to within about 50 degrees Celsius of the peak temperature. Substrate 200 can be cooled at a relatively short distance', e.g., by moving the substrate toward less than about 8 mm, or less than about 5 mm, or from about 1 mm to about 3 mm toward the cooling plate. In some embodiments, cooling the substrate by moving the substrate toward the cooling plate comprises: moving the substrate to a first position, the first position being a first distance from the upper surface of the processing chamber; The substrate is then moved to a second position having a second distance further from the upper surface than the first position. Moving the substrate away from the cooling plate provides a more uniform cooling profile throughout the surface of the substrate. In some embodiments, the first distance is from about 1 mm to about 3 mm from the cooling plate. In some embodiments, the second distance is about 6 millimeters from the cooling plate. 11 201034110 In some embodiments the substrate 200 is cooled by rapidly moving the substrate toward the upper surface of the process chamber (e.g., the exemplary process chamber 400 described below). In some embodiments, an energy source such as the lamp cap 4〇1 can be disposed above the upper surface of the process chamber 400 (i.e., window assembly 414). Similar to the previously described embodiments, the substrate can be cooled by moving toward an energy source. In some embodiments 'by moving the substrate to a first position that is a first distance from the process chamber to the upper surface, and then moving the substrate to a position that is further from the upper surface than the first position The second location of the second distance cools the substrate. The substrate 200 can be moved in a direction suitable perpendicular to the surface of the substrate in any suitable manner of motion which disturbs the thermally insulating boundary layer 2〇2. The motion can be any suitable motion, such as a so-called standing acceleration and deceleration substrate from an initial position initially spaced from the cooling plate to a first distance from the cooling plate. The motion can be linear, sinusoidal or any other perturbation of the boundary layer 202 to facilitate the splitting of the boundary layer into a suitable motion of the particles of the process gas, as shown in Figure 2C. The particles 2 〇 8 may comprise 3 atoms or molecules of the process gas and/or from the process gas. Disturbing the boundary layer 202 or cracking the boundary layer 2〇2 into the process gas particles 2〇8 can help to improve the (6) loss due to the light escape energy 2() 4 from the substrate, such as the green of Figure 2D. The light energy 2 〇 4 can be absorbed by, for example, a process wall or surface, such as by a cold plate ' or other similar fixture disposed near the substrate 200. In some embodiments, the cooling may be coated or contained with a non-reflective material such that the radiant energy is absorbed and thus the radiant energy is not reflected back to the substrate 200 during cooling. At 12 201034110 quartz or in certain embodiments, the non-reflective material may comprise a material that is a ceramic roughened surface. Further, the radiant energy can be absorbed by the particles 2〇8 of the process gas and can flow from the substrate 200, such as toward the wall or surface of the process chamber, to act as a heat absorbing member. Accordingly, disturbing the boundary layer 2〇2 or cracking the boundary layer 202 into particles 2〇8 improves the cooling rate from the peak temperature. In certain embodiments, from about a peak temperature to about a peak temperature

50度之冷卻速率是介於每秒約攝氏%度至攝氏mo度 之間。 在某些實施例中,例如於尖峰式RTP退火中,基材200 可以第冷卻速率從約頂峰溫度冷卻至一第二溫度;且 、第、卻速率從該第二溫度冷卻至最終溫度。某些實 施例中第—冷卻速率低於第一冷卻速率。第二冷卻速 率可為定值,或者為每秒約攝氏50度至攝氏90度。第 冷部速率可以是快速的,諸如在尖峰RTP退火中的尖 峰部份期間,或者為每秒約攝氏9〇度至攝氏15〇度。在 某些實施例中,第二溫度可介於約攝氏9〇〇度至約攝氏 1150度之間,或者是如上論及之第一溫度的約80%以 内。在某些實施例中,最終溫度可為大約室溫或者約 攝氏25度。在某些實施例中,最終溫度可介於約攝氏 25度至約攝氏6〇〇度之間。 可藉由增加製程氣體流率協助改善冷卻速率。舉例而 在冷卻期間藉由快速移動基材2〇〇可增加流率。在 某些實施例中’流率可增加至約4〇 slm。此增加的流率 13 201034110 可例如在運動206期間更快地從基材表面帶走粒子 208。或者,製程氣體進入製程腔室的流率(諸如於入口 或喷嘴的流率)可在冷卻基材2〇〇的期間從約頂峰溫度 增加以助益於擾動邊界層202。 此述用於在約頂峰溫度加熱及冷卻基材2〇〇的方法可 助益如刖所述的滯留時間少於約丨2秒。 中,滯留時間可少於約丨.2秒,或者介於約咖= 約1.2秒之間,或者介於約〇 6秒至1〇秒之間或者約 〇. 6秒或少於0 · 6秒,或者約3 5 〇毫秒。 此述之方法可在任何適合的熱處理系統中執行,例如 第3圖中所描繪之快速熱處理腔室3〇〇。 第3圖描繪一示範性半導體處理腔室,可根據本發明 之某些實施例利用之。製程腔室3〇〇可為例如任何適合 裝設用以熱處理(諸如快速熱製程(RTp))的製程腔室。 處理腔室300包含無接觸或磁浮基材支撐件3〇4、腔 φ 至主體302 ’該腔室主體具有界定内部容積320的壁 308、底部310及頂部312。壁308 —般包含至少一個基 材存取珲348以助益送進及輸出基材2〇〇 (其一部份顯 示於第1圖)。存取埠可耦接至轉移腔室(圖中未示)或 負载鎖定腔室(圖中未示),並且可選擇性地以諸如狹縫 閥(圖中未示)的閥密封。腔室3〇〇包含能量源306, 其配置在基材140下方並且位於基材支撐件304的内徑 内。根據本發明修改的示範性RTp腔室以及基材支撐件 插述於在2002年3月29日申請並且於2004年10月5 14 201034110The 50 degree cooling rate is between about 10,000 degrees Celsius and a degree Celsius per second. In certain embodiments, such as in a spiked RTP anneal, substrate 200 can be cooled from a peak temperature to a second temperature at a second cooling rate; and the second rate is cooled from the second temperature to a final temperature. In some embodiments, the first cooling rate is lower than the first cooling rate. The second cooling rate can be a fixed value, or about 50 degrees Celsius to 90 degrees Celsius per second. The chilling rate can be fast, such as during the peak portion of the peak RTP anneal, or about 9 degrees Celsius to 15 degrees Celsius per second. In certain embodiments, the second temperature can be between about 9 degrees Celsius and about 1150 degrees Celsius, or within about 80% of the first temperature as discussed above. In certain embodiments, the final temperature can be about room temperature or about 25 degrees Celsius. In certain embodiments, the final temperature can be between about 25 degrees Celsius and about 6 degrees Celsius. The cooling rate can be improved by increasing the process gas flow rate. For example, the flow rate can be increased by rapidly moving the substrate 2 during cooling. In some embodiments the flow rate can be increased to about 4 〇 slm. This increased flow rate 13 201034110 can, for example, carry away particles 208 from the surface of the substrate more quickly during motion 206. Alternatively, the flow rate of the process gas into the process chamber (such as the flow rate of the inlet or nozzle) may increase from about the peak temperature during cooling of the substrate 2 to aid in disturbing the boundary layer 202. The method described for heating and cooling the substrate at about the peak temperature can help the residence time of less than about 丨2 seconds as described. The residence time may be less than about 丨2 seconds, or between about 00 = about 1.2 seconds, or between about 6 seconds to 1 second or about 6 6 seconds or less. Seconds, or about 3 5 milliseconds. The method described herein can be carried out in any suitable heat treatment system, such as the rapid thermal processing chamber 3 depicted in Figure 3. Figure 3 depicts an exemplary semiconductor processing chamber that can be utilized in accordance with certain embodiments of the present invention. The process chamber 3 can be, for example, any process chamber suitable for heat treatment, such as rapid thermal process (RTp). The processing chamber 300 includes a contactless or magnetic floating substrate support 3〇4, a cavity φ to a body 302' having a wall 308 defining a interior volume 320, a bottom 310, and a top 312. Wall 308 generally includes at least one substrate access port 348 to facilitate the feeding and output of substrate 2 (a portion of which is shown in Figure 1). The access port can be coupled to a transfer chamber (not shown) or a load lock chamber (not shown) and can be selectively sealed with a valve such as a slit valve (not shown). The chamber 3A includes an energy source 306 disposed below the substrate 140 and within the inner diameter of the substrate support 304. An exemplary RTp chamber and substrate support modified in accordance with the present invention are interposed on March 29, 2002 and applied on October 5, 2004, 2010.

曰頒發專利的美國專利號6,8〇0,833、在2004年2月27 日提出申請的美國專利申請案1〇/788,979,該案並於 2005年9月1曰早期公開為美國專利公開號 2〇〇5/0191〇44 ’該二者之全文皆以參考文獻形式併入本 文作為參考。然而’具有能量源3〇6配置於其下方的基 材支撐件304為本發明所利用的一示範性實施例,舉例 而言’本發明可利用配置在基材支撐件3〇4上方的能量 源’或者以其他組態利用。進一步言之,可以非接觸及/ 或非磁浮基材支撐件利用本發明。 基材支撐件304適於在内部容積320内磁浮並旋轉。 基材支撐件304能夠旋轉並同時在處理期間垂直抬升及 降低,而且也可在處理之前、處理期間、處理之後無旋 轉地抬升或降低。此磁浮或磁性旋轉阻止或最小化由於 缺乏移動零件或移動零件減量所造成的粒子生成,該等 移動零件一般是需要用於抬升/降低基材支撐件,及/或旋 轉基材支撐件。在某些實施例中,輻射熱源1〇6以可移 動方式耗接至基材支撑件304。 基材支撐件304包括窗314,該窗是由對熱及各種波 長之光線(可包含紅外線光譜中的光)而言可穿透的材 料製成,透過該窗,來自輻射熱源3〇6的光子可加熱基 材2〇0。在-實施例中,窗314是由石英材料製成,然 而也可使用其他光透明的材料,例如石墨。 複數個舉升銷344配置穿過窗314。舉升銷333適於 選擇it接觸並且支撐基材2〇〇以助益將基材傳送進入及 15 201034110 移出腔至300。該複數舉升銷344各經裝設以減少吸收 來自能量源306的能4,且可由與窗314所用的相同材 料製成,諸如石英材料。複數個舉升銷344可被定位並 徑向彼此間隔開,以助益耦接至傳送機器人(圖中未示) 的端效器通過。或者,端效器及/或機器人能夠水平且垂 直移動以助益傳送基材2〇〇。在某些實施例中,基材支 撐件3G4_水平移動,其中該移動是獨立於複數個舉 _ 升銷344。因此,基材3〇4可以沿著水平面移動並且相 對於配置在舉升銷344上的基材2〇〇而對準。 能量源306包含燈組件,其由外殼形成,該燈組件包 含耦接至冷卻劑源383的冷卻劑組件中(圖中未示)的 複數個蜂巢管360。冷卻劑源383可為水、乙二醇、氮 (N2)及氦(He)中之一者或其組合。外殼可由鈷材料 或其他適合的材料製成,該等材料具有適合的冷卻劑通 道形成其中,用以供來自冷卻劑源383的冷卻劑流動。 • 每一管360可含有反射器以及高強度燈組件或者IR發射 器’由其形成蜂巢狀管道排列。此緊密封裝的六邊形排 列的管道提供具有高功率強度以&良好空間解析度的能 量源。在一實施例中,能量源3〇6提供充分輕射能以熱 處理基材,例如,退火配置在基材2〇〇上的矽層。能量 源306叮進步包含環狀區,其中由控制器324供給至 複數管360的電壓可改變以增強來自管36〇的能量輻射 分配。動態控制加熱基材200可受一個或多個適於測量 遍及基材340之溫度的溫度感測器317 (之後將更詳細 16 201034110 . 描述)作用。在某些實施例中,由能量源306提供的輻 射能具有介於約nm至約1〇〇〇 nm之間的波長。 定子組件318環繞腔室主體302的壁308,並且輕接 至一個或多個控制定子組件318沿腔室主體302外部之 高度的致動器組件322。一實施例中(圖中未示),腔室 300包含二個致動器組件322,其在腔室主體附近徑向配 置,例如,在腔室主體附近3〇2以12〇度的角度徑向配 置。定子組件318磁性耦接至配置在腔室主體3〇2之内 部容積320内的基材支撐件3〇4。該基材支撐件3〇4可 包含或包括磁性部份(例如磁性區段3〇5)以如轉子般 作用,因而致使磁性軸承組件舉升及/或旋轉基材支撐件 。一實施例中,至少一部分的基材支撐件3〇4部份地 被與流體源386耦接的凹槽(圖中未示)環繞,該流體 源可包括水、乙二醇、氮(N2)、氦(He)或其組合,並 適於作為用於基材支撐件的熱交換介質。定子組件318 也可包括外殼390以包圍定子組件318的各種零件與部 件。一實施例中,定子組件318包括在懸吊線圈組件37〇 上堆疊的驅動線圈組件3 6 8。驅動線圈組件3 6 8適於旋 轉及/或抬升/降低基材支撐件304且同時懸吊線圈組件 370可適於被動地將基材支樓件304在處理腔室3〇〇内 置中。或者,旋轉及置中功能可由具有單一線圈組件的 定子所執行。 氛圍控制系統364亦耦接至腔室主體302的内部容積 320。氛圍控制系統364大體上包括用於控制腔室麗力的 17 201034110 節流闕以及真空泵。分為控制系統可額外包括氣體源以 提供製程氣體或其他氣體至内部容積32〇。氛圍控制系 統364也可是於傳遞製程氣體以供熱沉積製程。 腔室300包括控制器324,其通常包括中央處理單元 (CPU) 330、支持電路328以及記憶體326。CPU 330 可為用於工業環境以控制各種動作及次處理器的電腦處 理器之任何形式之一種。記憶體326 (或為電腦可讀媒 體)可為一或多種可輕易利用之記憶體,諸如隨機存取 記憶體(RAM )、唯讀記憶體(R〇M )、軟碟、硬碟或任 何其他形式本地或遠端的數位儲存裝置,且一般耦接至 CPU 330。支持電路328耦接至cpu 33〇以用習知方式 支持控制器324。該等電路包含高速緩衝存儲器、電源 供應器、時脈電路、輸入/輸出電路及次系統等。 致動器組件322通常包含高精密度導螺桿332,其耦 接於兩個從腔室主體3〇2之壁308延伸的凸緣334之 間。導螺桿332具有螺母,當導螺桿旋轉時,沿著 導螺桿332軸向行進。耦接件336耦接於定子318以及 螺母358之間’以致當導螺桿332旋轉時,耦接件336 沿導螺桿332移動以於其與耦接件336的界面控制定子 318的高度。因此,當致動器322之一的導螺桿332經 旋轉以在其他致動器322的螺母358之間產生相對位移 時’定子318的水平面相對於腔室主體302的中央軸線 改變。諸如步進器或伺服馬達的馬達338耦接至導螺桿 332以反應控制器324的訊號而提供可控制的旋轉。或 18 201034110 者,其他類型的致動器a M ^ W ,ϋί ^ 利用來控制定子3 1 8的線 性位置,排除其他之外 嫂护碴1 亡有諸如軋動缸、油壓缸、滾珠 螺才干、螺線管、綠板站& ,陡致動盗以及凸輪從動件。 腔至300進— 匕 個或多個感測器316,其大體 上適於偵測腔室主體3〇2 丨谷積3 20内的基材支撐 件304 (或者基材2〇〇 )的古痒 „ ;的间度。感測器316可麵接至腔 室主體302及/或處理腔室3〇〇的竟 至3 00的其他部份,且可適於提U.S. Patent No. 6,8,0,833, issued toK.S. Patent Application Serial No. 1/788,979, filed on Feb. 27, 2004, which was filed on Sep. 〇〇5/0191〇44 'The entire contents of both of which are incorporated herein by reference. However, the substrate support 304 having the energy source 3〇6 disposed therebelow is an exemplary embodiment utilized by the present invention. For example, the present invention can utilize the energy disposed above the substrate support 3〇4. Source 'or utilized in other configurations. Further, the present invention can be utilized with non-contact and/or non-magnetic floating substrate supports. The substrate support 304 is adapted to magnetically float and rotate within the interior volume 320. The substrate support 304 can be rotated and simultaneously raised and lowered vertically during processing, and can also be raised or lowered without rotation before, during, and after processing. This magnetic or magnetic rotation prevents or minimizes particle generation due to the lack of moving parts or moving parts, which are typically required to raise/lower the substrate support and/or rotate the substrate support. In some embodiments, radiant heat source 〇6 is movably consuming to substrate support 304. The substrate support 304 includes a window 314 made of a material that is transparent to heat and light of various wavelengths (which may include light in the infrared spectrum) through which the radiant heat source 3 〇 6 is The photon can heat the substrate 2〇0. In an embodiment, window 314 is made of a quartz material, although other light transparent materials such as graphite may also be used. A plurality of lift pins 344 are disposed through window 314. The lift pin 333 is adapted to select it to contact and support the substrate 2 to facilitate transport of the substrate into and out of the chamber to 300. The plurality of lift pins 344 are each configured to reduce absorption of energy 4 from the energy source 306 and may be made of the same material as used for the window 314, such as a quartz material. A plurality of lift pins 344 can be positioned and spaced radially from one another to facilitate passage of an end effector coupled to a transfer robot (not shown). Alternatively, the end effector and/or the robot can be moved horizontally and vertically to aid in transporting the substrate. In some embodiments, the substrate support 3G4_ moves horizontally, wherein the movement is independent of a plurality of lifting pins 344. Therefore, the substrate 3〇4 can be moved along the horizontal plane and aligned with respect to the substrate 2〇〇 disposed on the lift pin 344. Energy source 306 includes a lamp assembly formed from a housing that includes a plurality of honeycomb tubes 360 (not shown) coupled to a coolant source 383. Coolant source 383 can be one of water, ethylene glycol, nitrogen (N2), and helium (He), or a combination thereof. The outer casing may be made of a cobalt material or other suitable material having suitable coolant passages formed therein for the flow of coolant from the coolant source 383. • Each tube 360 may contain a reflector and a high intensity lamp assembly or an IR emitter' from which a honeycomb conduit arrangement is formed. This tightly packed hexagonal array of tubes provides an energy source with high power intensity & good spatial resolution. In one embodiment, the energy source 3〇6 provides sufficient light energy to thermally treat the substrate, for example, annealing a layer of tantalum disposed on the substrate 2〇〇. The energy source 306 advances to include an annular region in which the voltage supplied by the controller 324 to the plurality of tubes 360 can be varied to enhance the energy radiation distribution from the tubes 36A. The dynamically controlled heating substrate 200 can be subjected to one or more temperature sensors 317 (described later in more detail 16 201034110.) suitable for measuring the temperature throughout the substrate 340. In some embodiments, the radiation energy provided by energy source 306 has a wavelength between about nm and about 1 〇〇〇 nm. The stator assembly 318 surrounds the wall 308 of the chamber body 302 and is lightly coupled to one or more actuator assemblies 322 that control the height of the stator assembly 318 along the exterior of the chamber body 302. In one embodiment (not shown), chamber 300 includes two actuator assemblies 322 that are radially disposed adjacent the chamber body, for example, at an angle of 12 degrees 2 in the vicinity of the chamber body. To the configuration. The stator assembly 318 is magnetically coupled to a substrate support 3〇4 disposed within the interior volume 320 of the chamber body 3〇2. The substrate support 3〇4 may include or include a magnetic portion (e.g., magnetic segment 3〇5) to act as a rotor, thereby causing the magnetic bearing assembly to lift and/or rotate the substrate support. In one embodiment, at least a portion of the substrate support 3〇4 is partially surrounded by a recess (not shown) coupled to the fluid source 386, which may include water, ethylene glycol, nitrogen (N2) ), helium (He) or a combination thereof, and is suitable as a heat exchange medium for a substrate support. Stator assembly 318 may also include a housing 390 to enclose various parts and components of stator assembly 318. In one embodiment, the stator assembly 318 includes a drive coil assembly 386 that is stacked on a suspension coil assembly 37A. The drive coil assembly 3 6 8 is adapted to rotate and/or raise/lower the substrate support 304 while the suspension coil assembly 370 can be adapted to passively place the substrate sub-assembly 304 in the processing chamber 3〇〇. Alternatively, the rotation and centering functions can be performed by a stator having a single coil assembly. The ambience control system 364 is also coupled to the interior volume 320 of the chamber body 302. The ambience control system 364 generally includes a 17 201034110 throttle and a vacuum pump for controlling chamber pleats. Divided into control systems may additionally include a gas source to provide process gas or other gas to the internal volume 32 〇. The atmosphere control system 364 can also be used to deliver process gases for the thermal deposition process. The chamber 300 includes a controller 324 that typically includes a central processing unit (CPU) 330, a support circuit 328, and a memory 326. CPU 330 can be any form of computer processor for use in an industrial environment to control various actions and sub-processors. The memory 326 (or computer readable medium) can be one or more memory that can be easily utilized, such as random access memory (RAM), read only memory (R〇M), floppy disk, hard disk, or any Other forms of local or remote digital storage devices are generally coupled to CPU 330. Support circuit 328 is coupled to cpu 33A to support controller 324 in a conventional manner. These circuits include caches, power supplies, clock circuits, input/output circuits, and subsystems. The actuator assembly 322 typically includes a high precision lead screw 332 coupled between two flanges 334 extending from the wall 308 of the chamber body 3〇2. The lead screw 332 has a nut that travels axially along the lead screw 332 as the lead screw rotates. The coupling member 336 is coupled between the stator 318 and the nut 358 such that when the lead screw 332 rotates, the coupling member 336 moves along the lead screw 332 to control the height of the stator 318 at its interface with the coupling member 336. Thus, when the lead screw 332 of one of the actuators 322 is rotated to create a relative displacement between the nuts 358 of the other actuators 322, the horizontal plane of the stator 318 changes relative to the central axis of the chamber body 302. A motor 338, such as a stepper or servo motor, is coupled to the lead screw 332 to provide a controllable rotation in response to the signal from the controller 324. Or 18 201034110, other types of actuators a M ^ W , ϋί ^ used to control the linear position of the stator 3 18 , exclude other 嫂 嫂 1 dead such as rolling cylinders, hydraulic cylinders, ball snails Talent, Solenoid, Green Board Station &, Striking Steal and Cam Follower. Cavity to 300-one or more sensors 316 that are generally adapted to detect the ancient support of the substrate support 304 (or substrate 2) within the chamber body 3〇2 Between the itch; the sensor 316 can be attached to the chamber body 302 and/or other portions of the processing chamber 3 to as far as 300, and can be adapted

供基材支撐件304與腔室主體3()2之頂部312及/或底部 310之間的距離之輸出指示,且亦可領測基材支撐件遍 及/或基材200的失準。 該一個或多個感測器316耦接至控制器324,該控制 器接收來自感測器316的輸出測度並且提供一或多個訊 號給一個或多個致動器組件322以抬升或降低至少一部 分的基材支撐件3 04。控制器3 24可利用得自感測器3 i 6 的位置測度以調整於各致動器組件322的定子318之高 度’以致基材支撐件304及坐落在支撐件上的基材2〇〇 之平整度及高度可相對製程腔室300及/或能量源306的 中央轴線而調整。舉例而言’控制器324可提供訊號以 藉由一個致動器322的動作抬升基材支樓件而校正基材 支撐件304的轴向失準,或者,控制器可提供一訊號給 所有致動器322以助益於基材支撐件3 04自發的垂直移 動。 一個或多個感測器316可為超音波、雷射、感應式、 電容式感測器’或能夠偵測腔室主體302内基材支撐件 19 201034110 的接近度之其他類型的感測器。感測器316可耦接至接 近頂部312的腔室主體3 02或耦接至壁3 08,然而其他 在腔室主體302内或腔室主體302周圍的位置也是適合 的,例如耦接至腔室300外的定子318。一實施例中, 一個或多個感測器316可耦接至定子318並且適於穿過 壁308感測基材支撐件304 (或基材140)的高度及/或 位置。在此實施例中,壁308可包含較薄的截面以助益 於穿過壁308的位置感測。 腔室300包括一個或多個溫度感測器317,其可適於 在處理之前、處理期間、處理之後感測基材2〇〇的溫度。 溫度感測器317穿過頂部312配置,然而也可使用腔室 主體302内及周圍的其他位置。溫度感測器317可為光 學高溫計,例如,具有光纖探針的高溫計。感測器3 j 7 可適於以感測整個基材直徑或基材一部分之組態耦接至 頂部312 ^感測器317包含界定一感測區域之圖案,該 感測區域實質上相等於基材直徑,或實質上相等於基材 半徑。舉例而言,複數感測器117可以一徑向或線性組 態耦接至頂部312以致使感應區域遍及基材之半徑或直 徑。一實施例中(圖中未示),複數個感測器3 17可以從 大約頂部312之中心至頂部312之周邊部份徑向延伸的 線型配置。以此方式,基材半徑可由感測! 3 17監控, 其可在旋轉期間感測基材直徑。 ,腔室3〇〇進一步包括冷卻塊體38〇,其鄰接、耦接或 形成於頂部312。冷卻塊體大體上與能量源ι〇6間隔開 20 201034110 並且相對。冷卻塊體380包含一個或多個耦接入口 381a 以及出口 38 1B的冷卻劑通道384。冷卻塊體可由抗處理 材料製成,諸如不鏞鋼、銘、聚合物或陶瓷材料。冷卻 劑通道3 84可包含螺旋圖案、矩形圖案、圓形圖案或其 組合’且通道384可在冷卻塊體380内一體形成,例如 藉由轉模冷卻塊體380及/或由二個或多個片件製造冷卻 塊體380並接合片件。額外地,或可替代地,冷卻通道 384可鑽入冷卻塊體38〇。 如此述,腔室3 00適於容納「面朝上」方位的基材, 其中該基材的沉積物接受側或該基材面朝冷卻塊體定 向’而基材的「背側」面向能量源306。「面朝上」的方 位可容許來自能量源306的能量更快速地由基材200吸 收因為基材的背侧一般比基材面(即前側)更不具反射 性。 儘管冷卻塊體380以及能量源306被描述成各別定位 在内部容積320的上部份及下部份,然而冷卻塊體38〇 及能量源3 06的位置可顛倒。舉例而言,冷卻塊體380 可調整尺寸並裝設於放置在基材支撐件3〇4的直徑之 内’而能量源306可耦接至頂部312。以此佈置,由諸 如石英之透明材料或根據上述知本發明實施例之極化材 料製成的窗可配置在能量源106及基材支撐件304之 間’諸如鄰近腔室300之上部份中的能量源3〇6。儘管 基材200在背側面向能量源3〇6時可更容易吸收熱,然 而基材200可以任一組態定向於面朝上的方位或者面朝 21 201034110 下的方位。 入口 381A及出口 381B可藉由閥及適合的配管系統耦 接至冷卻劑源382,而冷卻劑源382與控制器124連通 以助益控制配置其中的流體之壓力及/或流量。流體可為 水、乙二醇、氮(NO、氦(He)或其他可用來當作熱交 換介質的流體^ 腔室300可進—步包括一個或多個氣體入口(圖中未 . 示)以流入製程氣體。一個或多個氣體入口可經裝設以 遍及/或垂直於(或以任何適當角度)基材表面傳遞製程 氣體。腔室300可進一步包括一排氣埠(圖中未示),其 流體耦接至内部容積32〇以從内部容積32〇移除製程氣 體及/或用於控制内部容積32〇内的壓力。排氣埠可耦接 至泵(圖中未示),諸如粗抽泵或渦輪泵等。製程氣體可 用於熱處理(例如,在基材2〇〇上形成氧化物期間),或 其他適合的熱製程(例如退火)。示範性製程氣體可包括 • 氧(〇2)、氮(N2)、氫(H2)、氦(He)或氩(Ar)等 氣體中至少一種。 在操作中’以及如上在1〇6論及的方法丨〇〇的某些實 施例中’基材200可藉由以垂直於基材200之表面的方 向快速移動基材而冷卻基材2〇〇。舉例而言,在第5 A圖 中’基材200可安放在基材支撐件304上,其中基材200 可位於離冷卻塊體380(第5A圖中未示)一最初距離502 的最初位置。在某些實施例中,最初距離為約8 mm。舉 例而言,為了以垂直於基材200之表面的方向移動基材 22 201034110 200 ’定子組件318的一個或多個驅動線圈組件368或者 懸吊線圈組件370可接合以固持或固定及/或被動地置中 基材支撐件304。一旦接收到來自控制器324的訊號, 致動器組件322的馬達338可提供可控制的旋轉致使導 螺桿332旋轉’並在導螺桿旋轉時致使螺母358沿導螺 桿3 32轴向行進。透過耦接件336耦接至螺母358的定 子組件318當導螺桿332旋轉時移動以控制基材支撐件 304之高度。如第5B圖所示,基材支撐件可如前所述般 朝冷卻塊體380 (第5B圖中未示)移動以致基材200移 動至離冷卻塊體380第一距離504的第一位置。在某些 實施例中,第一距離504為約3 mm,或於某些實施例中, 在約1 mm至約3 mm之間》在朝冷卻塊體380移動基材 支撐件304期間’配置在冷卻塊體380及基材200之表 面之間的製程氣體的流率可由於移動基材支撐件304而 增加。如第5B圖中所繪示,迫使製程氣體(以箭號5〇6 φ 描繪)位於大體上平行基材200之表面的方向並且來自 基材200之表面與冷卻塊體380 (第5B圖中未示)之間 的内部容積320的一部分。在某些實施例中,流率可增 加至約40 slm。 或者’此述之發明方法可在裝設用於從上方加熱基材 製程腔室中執行,諸如第4圖描繪的製程腔室400。製 程腔室400可為任何適合的製程腔室,例如,經裝設用 於熱處理(諸如快速熱製程(RTP))者。 基材200架設在腔室400内側基材支撐件4〇8上,且 23 201034110 由燈頭401加熱,該燈頭配置於相對基材支撐件408之 位置。燈頭401產生導向基材200之前側407的輻射》 或者(圖中未示),燈頭401可經裝設以藉由例如配置在 基材200下方或藉由將輻射導向基材200之背側而加熱 基材200之背側。輻射透過水冷卻石英窗組件414進入 製程腔室400。在基材200下面者為反射器402,其架設 在水冷卻不鑛鋼基座416上。基座416包含循環線路 446 ’透過該循環線路,冷卻劑循環以冷卻反射器402。An output indication of the distance between the substrate support 304 and the top 312 and/or bottom 310 of the chamber body 3() 2, and may also be indicative of misalignment of the substrate support throughout the substrate 200. The one or more sensors 316 are coupled to a controller 324 that receives an output measure from the sensor 316 and provides one or more signals to one or more actuator assemblies 322 to raise or lower at least A portion of the substrate support member 306. The controller 3 24 can utilize the positional measure from the sensor 3 i 6 to adjust the height of the stator 318 of each actuator assembly 322 such that the substrate support 304 and the substrate 2 seated on the support 2〇〇 The flatness and height can be adjusted relative to the central axis of the process chamber 300 and/or energy source 306. For example, the controller 324 can provide a signal to correct the axial misalignment of the substrate support 304 by lifting the substrate support member by the action of an actuator 322, or the controller can provide a signal to all The actuator 322 assists in the spontaneous vertical movement of the substrate support 304. The one or more sensors 316 can be ultrasonic, laser, inductive, capacitive sensors or other types of sensors capable of detecting the proximity of the substrate support 19 201034110 within the chamber body 302. . The sensor 316 can be coupled to the chamber body 302 or near the top 312 or coupled to the wall 308, although other locations within the chamber body 302 or around the chamber body 302 are also suitable, such as coupling to the cavity. A stator 318 outside the chamber 300. In one embodiment, one or more sensors 316 can be coupled to the stator 318 and adapted to sense the height and/or position of the substrate support 304 (or substrate 140) through the wall 308. In this embodiment, the wall 308 can include a thinner cross section to facilitate sensing of the position through the wall 308. The chamber 300 includes one or more temperature sensors 317 that can be adapted to sense the temperature of the substrate 2 之前 before, during, and after processing. Temperature sensor 317 is disposed through top portion 312, although other locations within and around chamber body 302 may also be used. Temperature sensor 317 can be an optical pyrometer, such as a pyrometer having a fiber optic probe. The sensor 3j7 can be adapted to be coupled to the top 312 in a configuration that senses the entire substrate diameter or a portion of the substrate. The sensor 317 includes a pattern defining a sensing region that is substantially equivalent to The diameter of the substrate, or substantially equal to the radius of the substrate. For example, complex sensor 117 can be coupled to top 312 in a radial or linear configuration to cause the sensing region to extend throughout the radius or diameter of the substrate. In one embodiment (not shown), the plurality of sensors 3 17 can be arranged in a line configuration extending radially from a center of the top 312 to a peripheral portion of the top 312. In this way, the substrate radius can be sensed! 3 17 monitoring, which senses the diameter of the substrate during rotation. The chamber 3〇〇 further includes a cooling block 38〇 that abuts, is coupled, or is formed on the top 312. The cooling block is generally spaced from the energy source ι 6 by 20 201034110 and is opposite. The cooling block 380 includes one or more coolant passages 384 that couple the inlet 381a and the outlet 38 1B. The cooling block can be made of a resistant material such as stainless steel, ingot, polymer or ceramic material. The coolant passages 384 may include a spiral pattern, a rectangular pattern, a circular pattern, or a combination thereof' and the passages 384 may be integrally formed within the cooling block 380, such as by rotating the mold cooling block 380 and/or by two or more The sheets are used to make the cooling block 380 and engage the sheets. Additionally, or alternatively, the cooling passages 384 can be drilled into the cooling block 38〇. As such, the chamber 300 is adapted to accommodate a "face up" orientation substrate wherein the substrate receiving side or the substrate faces the cooling block and the "back side" of the substrate faces the energy Source 306. The "face up" orientation allows energy from the energy source 306 to be more quickly absorbed by the substrate 200 because the back side of the substrate is generally less reflective than the substrate side (i.e., the front side). Although the cooling block 380 and the energy source 306 are depicted as being positioned separately in the upper and lower portions of the interior volume 320, the locations of the cooling block 38 and the energy source 306 may be reversed. For example, the cooling block 380 can be sized and disposed within the diameter of the substrate support 3〇4 and the energy source 306 can be coupled to the top 312. With this arrangement, a window made of a transparent material such as quartz or a polarizing material according to the above-described embodiments of the present invention may be disposed between the energy source 106 and the substrate support 304, such as above the adjacent chamber 300. The energy source in the 3〇6. Although the substrate 200 can absorb heat more readily toward the energy source 3〇6, the substrate 200 can be oriented in either a face-up orientation or an orientation facing 21 201034110 in either configuration. Inlet 381A and outlet 381B can be coupled to coolant source 382 by a valve and suitable piping system, and coolant source 382 is in communication with controller 124 to assist in controlling the pressure and/or flow of the fluid disposed therein. The fluid may be water, ethylene glycol, nitrogen (NO, helium (He) or other fluids that may be used as a heat exchange medium. The chamber 300 may further include one or more gas inlets (not shown). The process gas may be flowed in. The one or more gas inlets may be configured to deliver process gas throughout/or perpendicular to (or at any suitable angle) the substrate surface. The chamber 300 may further include an exhaust gas (not shown) ) fluidly coupled to the internal volume 32 〇 to remove process gas from the internal volume 32 及 and/or to control pressure within the internal volume 32 。. The exhaust enthalpy may be coupled to a pump (not shown), Such as a rough pump or a turbo pump, etc. Process gases can be used for heat treatment (eg, during the formation of oxides on the substrate 2), or other suitable thermal processes (eg, annealing). Exemplary process gases can include • oxygen ( At least one of gases such as 〇2), nitrogen (N2), hydrogen (H2), helium (He), or argon (Ar). Some of the implementations of the method discussed in the above and in the above discussion. In the example, the substrate 200 can be oriented in a direction perpendicular to the surface of the substrate 200. The substrate is cooled and the substrate 2 is cooled. For example, in Figure 5A, the substrate 200 can be placed on the substrate support 304, wherein the substrate 200 can be located away from the cooling block 380 (5A) The initial position of the initial distance 502 is not shown. In some embodiments, the initial distance is about 8 mm. For example, to move the substrate 22 in a direction perpendicular to the surface of the substrate 200 201034110 200 'stator One or more drive coil assemblies 368 or suspension coil assemblies 370 of assembly 318 can be engaged to hold or secure and/or passively center substrate support 304. Upon receiving a signal from controller 324, the actuator assembly The motor 338 of 322 can provide controllable rotation to cause the lead screw 332 to rotate 'and cause the nut 358 to travel axially along the lead screw 3 32 as the lead screw rotates. The coupling member 336 is coupled to the stator assembly 318 of the nut 358 as a guide The screw 332 moves to rotate to control the height of the substrate support 304. As shown in Fig. 5B, the substrate support can be moved toward the cooling block 380 (not shown in Fig. 5B) as described above so that the substrate 200 Move to the first distance from the cooling block 380 5 The first position of 04. In some embodiments, the first distance 504 is about 3 mm, or in some embodiments, between about 1 mm and about 3 mm, moving the substrate toward the cooling block 380. The flow rate of the process gas disposed between the cooling block 380 and the surface of the substrate 200 during the support 304 may be increased by moving the substrate support 304. As illustrated in Figure 5B, the process gas is forced ( The arrow 5 〇 6 φ is depicted in a direction generally parallel to the surface of the substrate 200 and is from a portion of the interior volume 320 between the surface of the substrate 200 and the cooling block 380 (not shown in Figure 5B). In certain embodiments, the flow rate can be increased to about 40 slm. Alternatively, the inventive method described herein can be performed in a process chamber for heating a substrate from above, such as process chamber 400 depicted in FIG. The process chamber 400 can be any suitable process chamber, for example, for installation in a heat treatment such as Rapid Thermal Process (RTP). The substrate 200 is mounted on the inner substrate support 4A8 of the chamber 400, and 23201034110 is heated by the base 401, which is disposed at a position relative to the substrate support 408. The base 401 produces radiation directed to the front side 407 of the substrate 200 or (not shown), and the base 401 can be mounted to be disposed, for example, under the substrate 200 or by directing radiation to the back side of the substrate 200. The back side of the substrate 200 is heated. Radiation enters the process chamber 400 through the water cooled quartz window assembly 414. Below the substrate 200 is a reflector 402 that is mounted on a water cooled stainless steel base 416. The pedestal 416 includes a circulating line 446' through which the coolant circulates to cool the reflector 402.

在某些實施例中,反射器402由鋁製成且具有高反射表 面塗層420。水可在攝氏23度之上,可透過基座416循 環以使反射器402之溫度保持在受加熱之基材200的溫 度之下。或者’可於相同或不同溫度提供其他冷卻劑。 舉例而言’抗凍劑(例如,乙二醇或丙二醇等)或其他 熱傳流體可透過基座416循環及/或基座416可耦接至冷 卻器(圖中未示)。基材200之下側或背側以及反射器 402之頂部形成反射空穴418。反射空穴418增強基材 200的有效發射率。 反射空穴的寬度對高度比率可為約20:1或多於20:1。 舉例而5 ’在某些實施例中,基材2〇〇和反射器4〇2之 間的間隔可為約。3英对(7 6顏)’因而形成具有寬度 對间度比率為約27的反射空穴418。在設計用於8对矽 曰曰圓的處理系統中’基材200和反射器302之間的距離 可介於約3 mm至約9職之間。 基材2〇0局部區域的溫度可藉由複數個溫度探針諸如 24 201034110 452a、45 2b及452c測量。每一溫度探針包括石墨燈管 426 ’其穿透導管424 ,該導管從基座416的背側延伸穿 過反射器402的頂部。某些實施例中,石墨燈管426直 徑為約0.125英吋而導管424稍微大一些。石墨燈管426 放置在導管424内因此最上端與反射器402的上表面齊 平’或最上端稍微低於反射器402的上表面。燈管426 的另一端耦接至可撓光纖425,該光纖將抽樣光線從反 射空穴418傳輸至高溫計428。 高溫計428連接至溫度控制器450,該溫度控制器控 至供給至燈頭401的功率以回應測量到的溫度。某些實 施例中(諸如用於200 mm晶圓的實施例),燈頭401可 使用約187個燈以從鎢鹵素燈傳遞高度準直輻射至製程 腔室400。某些實施例中(諸如用於300 mm晶圓的實施 例)’燈頭40 1可使用約409個燈。在此揭露的光線的數 目及組態僅為示範性,可合宜地使用其他數目及組態。 燈可分隔成多個區❶該等區可個別由控制器調整以容 許控制輻射式加熱基材200的不同區域。此類控制系統 描述於美國專利號5,755,51 1中,其讓渡給本發明的受讓 人’且其全文在此以參考文獻形式併入。 如前所指示,所描述的實施例使用遍及反射器402的 測量法或溫度探針以便在基材200的不同半徑處測量溫 度。在熱處理期間,例如以約90 RPM旋轉基材200 »因 此’每一探針確實地取樣基材200上相對應的環狀環區 域的溫度輪廊。 25 201034110 ❿ 基材支撐件408可經裝設為不動但可旋轉基材2〇〇。 基材支撐件408包括一支撐件或一邊緣環434,其接觸 基材200於基材外周邊附近,因而使基材2〇〇的整個下 側(除了在外周邊附近的小環狀區域外)皆曝露。支撐 環434亦已知為邊緣環434,且此二詞彙在說明書中可 彼此交替使用。在某些實施例中’支撐環434具有徑向 寬度約-英时(2·5公分(cm))。為了最小化處理期間發 生在基材2GG邊緣的熱不連續性,支撐環⑽由相同於 或相似於基材200的材料製成,例如矽。 支撐環434安放在可旋轉管狀石英筒436中,該石英 筒以硬塗佈使其在高溫計428的頻率範圍中為不透明 的。石英筒436上的塗層充當擔板以阻絕來自會污染強 度測量的外部源之輕射。石英筒436的底部由環狀上層 軸承441固持’該轴承安放在複數個球狀軸承上,該等 球狀軸承依序被固持於不動的、環狀的、下層的抽承座 在某些實施財,球狀轴承437由鋼製成, '氮切塗佈以減少操作期間形成的顆粒。上層轴承 441磁性耦接至致動器(圖中 、圃甲禾不),該致動器在熱處理 期間疑轉筒436、邊緣環434以及基材㈣。 淨化環445喪入腔室主雜光B播μ 此 體並且裱繞石英筒436。在某 二貫施例中,淨化環445 啟 有内部環狀空穴447,其開 上層軸承441上方的區域。 440 ^ 4空穴447透過通路 連接至氣體供應器(圖中未、 體读、®览 、固T禾不)。處理期間,淨化氣 體透過淨化環445流進腔室。 26 201034110 處理期間,製程氣體可從氣體平板(圖中未示)流出 並且於入口 430進入製程腔室4〇〇。入口 43〇配置在製 程腔室400的側面並且助益製程氣體流動遍及基材2〇〇 的表面。製程氣體流無需限制在側面進入,且其他實施 例亦為可行的。舉例而言,燈頭4〇丨配置在基材(圖中 未不)下方的實施例中,入口 43〇可配置在基材(圖中 未示)上方於使製程氣體流入。在某些實施例中,製程 氣體"T替代性地或者結合導入關於基材的不同位置,諸 ❹ 如徑向位置。製程氣體可以各位置不同流率提供(或者 在各位置獨立地控制流率)以容許調整遍及基材的冷卻 效應為一致。氣體透過配置在製程腔室相對入口 330之 侧壁的排氣埠460排出。排氣埠460耦接至真空泵(圖 中未示)。 在某些實施例中’支撐環434具有大於石英筒436之 半徑的外徑’以致其延伸超出石英筒436。支撐環434 φ 超出筒436的環狀延伸與位於其下方的淨化環445的協 同作用可發揮如擋板的作用,可阻止漫射光免於進入位 在基材200背侧的反射空穴418。為了進一步減少漫射 光進入反射空穴418的可能性,支撐環434以及淨化環 445亦可用吸收燈頭4〇1生成之輻射的材料(例如,黑 色或灰色材料)塗佈。 基材支撐件408可耦接至舉升機構455,其能夠對燈 頭401舉升及降低基材。舉例而言,基材支撐件4〇8可 耗接至舉升機構455,以致基材200及反射器402之間 27 201034110 的距離在舉升運動(即運動206 )期間為定值。 可替代地(圖中未示)’基材支撐件408可適於在製程 腔室300内磁浮且旋轉。基材支撐件4〇8能夠旋轉同時 在處理期間垂直抬升及降低,且亦可在處理之前、處理 期間、處理之後無旋轉地抬升及降低。此磁浮及/或磁性 旋轉阻止或最小化由於缺乏移動零件或移動零件減量所 造成的粒子生成’該等移動零件一般是被需要用於抬升/ 降低基材支撑件,及/或旋轉基材支撐件 因此,在此提供用於冷卻基材的方法。冷卻方法可為 快速熱製程(RTP )的一部分,諸如尖峰式rtP。冷卻方 法有利地減少RTP退火期間基材於接近頂峰溫度所花費 的滯留時間。減少滯留時間是有利的,例如立於限制摻 質擴散同時活化佈植入基材的摻質並且修復由於佈植製 程造成的基材損害。 前述者係針對本發明之實施例,其他及更進一步的本 發明實施例可不背離本發明之基本範疇而設計。 【圖式簡單說明】 參考具有某些繪製在附圖的實施例,可得到上述簡要 總括及更加詳細論述的本發明之實施例。但應注意到, 附圖只繪示本發明的典型實施例,因本發明允許其他同 等有效的實施例,故不視為其範圍限制。 第1圖根據本發明之某些實施例描繪用於冷卻基材的 28 201034110 方法之流程圖》 第2A至2D圖參考第1圖描繪方法之各階段期間的基 材。 第3圖根據本發明之實施例描繪熱製程腔室。 第4圖根據本發明之實施例描繪熱製程腔室。 第5A至5B圖根據本發明之某些實施例描繪第3圖中 的熱製程腔室。 為有助於瞭解,如可能’則使用單一元件符號以指定 共通於各圖的單一元件。該等圖示不按比例綠製且為清 楚闡明起見而經簡化。應考量到,一實施例中的元件及 特徵可有利地結合其他實施例而無須進一步描述。 【主要元件符號說明】 100 方法 306 能量源 102-106 步驟 308 壁 200 基材 310 底部 202 邊界層 312 頂部 204 輻射能 314 窗 206 運動 316 感測器 208 粒子 317 溫度感測器 300 製程腔室 318 定子組件 302 腔室主體 320 内部容積 304 基材支撐件 322 致動器組件 29 201034110 324控制器 326記憶體 328支持電路 330中央處理單元 332高精密度導螺桿 334凸緣 336耦接件 338馬達 344舉升銷 348基材存取埠 358螺母 360蜂巢管 364氛圍控制系統 3 6 8驅動線圈組件 370懸吊線圈組件 380冷卻塊體 381A 入口 381B 出口 382、383冷卻劑源 384冷卻劑通道 386流體源 390外殼 400製程腔室 401燈頭 402反射器 407前側 408基材支撐件 409 燈 414 窗組件 416基座 418反射空穴 420表面塗層 424導管 425可撓光纖 426石墨燈管 428 高溫計 430 入口 434支撐環 436石英筒 437球狀軸承 439下層的轴承座圈 441 上層軸承 445淨化環 446循環線路 447内部環狀空穴 449通路 450溫度控制器 452a-c溫度探針 30 201034110 455舉升機構 504 第 460排氣埠 506 5 02最初距離 一距離 號In certain embodiments, the reflector 402 is made of aluminum and has a highly reflective surface coating 420. The water can be above 23 degrees Celsius and can be circulated through the susceptor 416 to maintain the temperature of the reflector 402 below the temperature of the heated substrate 200. Alternatively, other coolants may be provided at the same or different temperatures. For example, an antifreeze (e.g., ethylene glycol or propylene glycol, etc.) or other heat transfer fluid can be circulated through the susceptor 416 and/or the susceptor 416 can be coupled to a chiller (not shown). Reflective voids 418 are formed on the underside or backside of substrate 200 and the top of reflector 402. The reflective holes 418 enhance the effective emissivity of the substrate 200. The width to height ratio of the reflective holes can be about 20:1 or more than 20:1. By way of example, in some embodiments, the spacing between the substrate 2〇〇 and the reflector 4〇2 can be about. 3 Å pairs (7 6 颜)' thus formed a reflective cavity 418 having a width to inter-degree ratio of about 27. In a processing system designed for 8 pairs of 曰曰 rounds, the distance between the substrate 200 and the reflector 302 can be between about 3 mm and about 9 positions. The temperature of the local region of the substrate 2 〇 0 can be measured by a plurality of temperature probes such as 24 201034110 452a, 45 2b and 452c. Each temperature probe includes a graphite tube 426' that penetrates a conduit 424 that extends from the back side of the base 416 through the top of the reflector 402. In some embodiments, the graphite tube 426 has a diameter of about 0.125 inches and the tube 424 is slightly larger. The graphite tube 426 is placed within the conduit 424 such that the uppermost end is flush with the upper surface of the reflector 402 or the uppermost end is slightly lower than the upper surface of the reflector 402. The other end of the bulb 426 is coupled to a flexible fiber 425 that transmits sampled light from the reflective cavity 418 to the pyrometer 428. The pyrometer 428 is coupled to a temperature controller 450 that controls the power supplied to the base 401 in response to the measured temperature. In some embodiments (such as the embodiment for a 200 mm wafer), the base 401 can use about 187 lamps to deliver a high degree of collimated radiation from the tungsten halogen lamp to the process chamber 400. In some embodiments (such as embodiments for 300 mm wafers), the lamp head 40 1 can use about 409 lamps. The number and configuration of the light disclosed herein is exemplary only, and other numbers and configurations may be used as appropriate. The lamp can be divided into a plurality of zones which can be individually adjusted by the controller to permit control of different areas of the radiant heating substrate 200. Such a control system is described in U.S. Patent No. 5,755,51, the entire disclosure of which is incorporated herein by reference. As indicated previously, the described embodiments use a measurement or temperature probe throughout reflector 402 to measure temperature at different radii of substrate 200. During the heat treatment, for example, the substrate 200 is rotated at about 90 RPM. Therefore, each probe positively samples the temperature corridor of the corresponding annular ring region on the substrate 200. 25 201034110 基材 The substrate support 408 can be mounted as a stationary but rotatable substrate 2 〇〇. The substrate support 408 includes a support member or an edge ring 434 that contacts the substrate 200 adjacent the outer periphery of the substrate, thereby causing the entire underside of the substrate 2 (except for the small annular region near the outer periphery) Both are exposed. Support ring 434 is also known as edge ring 434, and the two terms are used interchangeably in the specification. In some embodiments the 'support ring 434 has a radial width of about -2 hours (2. 5 centimeters (cm)). To minimize thermal discontinuities occurring at the edge of the substrate 2GG during processing, the support ring (10) is made of a material that is the same as or similar to the substrate 200, such as ruthenium. The support ring 434 is placed in a rotatable tubular quartz cylinder 436 that is hard coated to be opaque in the frequency range of the pyrometer 428. The coating on the quartz cylinder 436 acts as a support to block light from an external source that would measure the intensity of the contamination. The bottom of the quartz cylinder 436 is held by the annular upper bearing 441. The bearing is placed on a plurality of spherical bearings, which are sequentially held in the fixed, annular, lower suction seat in some implementations. The ball bearing 437 is made of steel, 'nitrogen cut coating to reduce particles formed during operation. The upper bearing 441 is magnetically coupled to the actuator (in the figure, the armor), which is suspected of the drum 436, the edge ring 434, and the substrate (4) during the heat treatment. The purge ring 445 is immersed in the chamber main stray light B to smear the body and is wound around the quartz cylinder 436. In a second embodiment, the purge ring 445 has an internal annular cavity 447 that opens the area above the upper bearing 441. 440 ^ 4 Hole 447 through the passage to the gas supply (not shown in the figure, body reading, ® view, solid T and not). During processing, the purge gas flows through the purge ring 445 into the chamber. 26 201034110 During processing, process gases may flow from a gas plate (not shown) and enter process chamber 4 at inlet 430. The inlet 43 is disposed on the side of the process chamber 400 and assists in the flow of process gas throughout the surface of the substrate 2A. Process gas flow is not limited to side entry, and other embodiments are also possible. For example, in an embodiment in which the base 4 is disposed below the substrate (not shown), the inlet 43A can be disposed over the substrate (not shown) for ingress of process gas. In some embodiments, the process gas "T alternatively or in combination introduces different locations with respect to the substrate, such as radial locations. The process gases can be supplied at different flow rates at different locations (or independently control the flow rate at each location) to allow for uniform cooling effects across the substrate. The gas is exhausted through an exhaust port 460 disposed at a side wall of the process chamber relative to the inlet 330. The exhaust port 460 is coupled to a vacuum pump (not shown). In some embodiments the 'support ring 434 has an outer diameter greater than the radius of the quartz cylinder 436' such that it extends beyond the quartz cylinder 436. The synergistic effect of the support ring 434 φ beyond the annular extension of the barrel 436 and the purge ring 445 located thereunder acts as a baffle to prevent diffused light from entering the reflective cavity 418 on the back side of the substrate 200. To further reduce the likelihood of diffuse light entering the reflective cavity 418, the support ring 434 and the purge ring 445 can also be coated with a material (e.g., a black or gray material) that absorbs the radiation generated by the lamp head 4〇1. The substrate support 408 can be coupled to a lift mechanism 455 that can lift and lower the substrate 401. For example, the substrate support 4A can be consuming to the lift mechanism 455 such that the distance between the substrate 200 and the reflector 402 27 201034110 is constant during the lift motion (i.e., motion 206). Alternatively (not shown), the substrate support 408 can be adapted to magnetically float and rotate within the process chamber 300. The substrate support 4〇8 is rotatable while being vertically raised and lowered during processing, and can also be lifted and lowered without rotation before, during, and after processing. This magnetic float and/or magnetic rotation prevents or minimizes particle generation due to lack of moving parts or moving parts. 'The moving parts are generally needed to raise/lower the substrate support, and/or rotate the substrate support. Accordingly, a method for cooling a substrate is provided herein. The cooling method can be part of a rapid thermal process (RTP), such as a spiked rtP. The cooling method advantageously reduces the residence time of the substrate at near peak temperatures during RTP annealing. It is advantageous to reduce the residence time, e.g., to limit the dopant diffusion while activating the dopant of the cloth implanted into the substrate and repairing substrate damage due to the implantation process. The foregoing is directed to embodiments of the present invention, and other and further embodiments of the present invention may be devised without departing from the basic scope of the invention. BRIEF DESCRIPTION OF THE DRAWINGS [0007] Embodiments of the present invention that are briefly summarized and discussed in greater detail are provided with reference to certain embodiments illustrated in the drawings. It is to be understood that the drawings are intended to be illustrative of the exemplary embodiments 1 is a flow chart depicting a method for cooling a substrate according to certain embodiments of the present invention. 28A. FIG. 2A to FIG. 2D depicting a substrate during various stages of the method with reference to FIG. Figure 3 depicts a thermal processing chamber in accordance with an embodiment of the present invention. Figure 4 depicts a thermal processing chamber in accordance with an embodiment of the present invention. Figures 5A through 5B depict the thermal processing chamber of Figure 3 in accordance with certain embodiments of the present invention. To facilitate understanding, if possible, a single component symbol is used to designate a single component that is common to the various figures. The illustrations are not to scale and have been simplified for clarity. It is to be understood that the elements and features of one embodiment may be combined with other embodiments without further description. [Main Component Symbol Description] 100 Method 306 Energy Source 102-106 Step 308 Wall 200 Substrate 310 Bottom 202 Boundary Layer 312 Top 204 Radiant Energy 314 Window 206 Motion 316 Sensor 208 Particle 317 Temperature Sensor 300 Process Chamber 318 Stator Assembly 302 Chamber Body 320 Internal Volume 304 Substrate Support 322 Actuator Assembly 29 201034110 324 Controller 326 Memory 328 Support Circuit 330 Central Processing Unit 332 High Precision Lead Screw 334 Flange 336 Coupling 338 Motor 344 Lifting pin 348 substrate access 埠358 nut 360 honeycomb tube 364 atmosphere control system 3 6 8 drive coil assembly 370 suspension coil assembly 380 cooling block 381A inlet 381B outlet 382, 383 coolant source 384 coolant channel 386 fluid source 390 housing 400 process chamber 401 lamp 402 reflector 407 front side 408 substrate support 409 lamp 414 window assembly 416 base 418 reflective cavity 420 surface coating 424 conduit 425 flexible fiber 426 graphite lamp 428 pyrometer 430 inlet 434 Support ring 436 quartz cylinder 437 spherical bearing 439 lower layer bearing race 441 upper bearing 445 purification ring 446 circulation line 44 7 internal annular cavity 449 passage 450 temperature controller 452a-c temperature probe 30 201034110 455 lifting mechanism 504 460 exhaust 埠 506 5 02 initial distance a distance

3131

Claims (1)

201034110 七、申請專利範圍: 1. -種冷卻一基材的方法,其包含以下步驟: 在一製程腔室中從一準備溫度加熱一基材至大 於約攝氏9〇〇度的一頂峰溫度;以及 :藉由以至少約3 mm/sec (毫米/秒)的速率於垂 直該基材之一上表面的方向移動該基材,以從離該頂 峰恤度約攝氏50度以内的溫度冷卻該基材。 2.如請求項第i項所述之方法,其中加熱該基材進一步 包含以下步驟: 在該製程腔室中從該準備溫度以一第一加熱速 率加熱該基材至一第一溫度;以及 從該第一溫度以一第二加熱逮率加熱該基材至 該頂峰m·度,其中該第二加熱速率大於該第一加熱速 率; 且其中冷卻該基材進一步包含以下步驟: 藉由以至少約3 mm/sec的逮率於垂直該基材之 該上表面的方向移動該基材’以從離該頂峰溫度約攝 氏50度以内的溫度以一第一冷卻速率冷卻該基材至 一第二溫度;以及 從該第二溫度以一第二冷卻速率冷卻該基材至 最終溫度’其中該第二冷卻速率小於該第一冷卻速 率。 32 201034110 如請求項第2項所述之方;^,其中該準備溫度以及該 最"、纖度為介於約攝氏25度至約攝氏6〇〇度之間。 如明求項第1至3項任一項所述之方法,其中冷卻該 基材進一步包含以下步驟: 朝用以冷卻該基材的一冷卻板移動該基材。 月求項第4項所述之方法,其中冷卻該基材進一步 包含以下步驟: 移動該基材至一第一位置,該第一位置離該冷卻 板一第一距離;以及 隨後移動該基材至一第二位置該第二位置具有 比該第一位置更遠離該冷卻板的一第二距離。 _ 6.如請求項第4項所述之方法,其中該冷卻板配置於相 對於用以加熱該基材的一能量源之該基材的一側面 7.如請求項第6項所述之方法,其中加熱該基材包含以 下步驟: 乂配置在該基材下方的一能量源加熱該基材。 如睛求項第1至3項任一項所述之方法,其中冷卻該 33 201034110 基材進-步包含以下步驟: 移動該基材至一第一 腔室之—上表面 i 位置離該製程 衣面第一距離;以及 隨後移動該基材至一第二位置,該第二位置 比該第-位置更遠離該製 、有 二距離。 又涿上表面的一第 ❹ 程腔室之該上表面約lmm至約—距離離該製 離該製程腔室之…面s 而該第二距離 狂股至之该上表面至少約6mm。 10.如請求項第8項所述之方法,其中加熱該基材進一步 包含以下步驟: 以配置在該製程腔室之該上表面上方的一能量 源加熱該基材。 如凊求項第1至3項任一項所述之方法,其中冷卻該 基材進一步包含以下步驟: 從一最初位置移動該基材,該最初位置離該製程 腔室之一上表面一最初距離’其中該最初距離少於或 等於約8 mm。 12.如請求項第1至3項任一項所述之方法,其中藉由移 動該基材而冷卻該基材進一步包含以下步驟: 34 201034110 藉由以高達約1 〇 mm/sec的速率於垂直該基材之 該上表面的方向移動該基材,以從約該頂峰溫度冷卻 該基材。 13. 如請求項第1至3項任一項所述之方法,其中冷卻該 基材進一步包含以下步驟: 藉由以高達約50 mm/sec的速率於垂直該基材之 該上表面的方向移動該基材,以從約該頂峰溫度冷卻 該基材。 14. 如請求項第丨至3項任一項所述之方法,其中移動該 基材增加配置在該基材及該製程腔室之一上表面之 間的一氣體之流率至大於約4〇 slln。 15. 如請求項第!至3項任—項所述之方法,其中加熱該 基材進一步包含以下步驟: 將—製程氣體流至該基材之該上表面上方,其中 該製程氣體形成鄰近該基材之該表面的一熱絕緣流 體邊界層; 且其中藉由移動該基材而冷卻該基材進一步包 含以下步驟: 擾動該熱絕緣流體邊界層。 16. 如請求項第丨至3項任一項所述之方法,其中藉由移 35 201034110 動該基材而冷卻該基材進一步包含以下步驟: 藉由使一製程氣趙從相對於該基材表面的複數 個不同位置流動,而擾動配置於鄰近該基材之該上表 面的一熱絕緣流體邊界層,該製程氣體的流率係受獨 立控制以在冷卻期間調整遍及該基材之溫度擾動。 n.如請求項第丨至3項任一項所述之方法,其中在從該 Φ 頂峰溫度之下約攝氏50度加熱該基材以及冷卻該基 材至該頂峰溫度之下約攝氏50度之間的一滯留時間 少於約1.2秒。 18·如請求項第丨 至3項任一項所述之方法,其中在從該 頂峰恤度之丁約攝氏5〇度加熱該基材以及冷卻該基 •至該頂峰溫度之下約攝氏50度之間的一滯留時間 少於約0.6秒。 36201034110 VII. Patent application scope: 1. A method for cooling a substrate, comprising the steps of: heating a substrate from a preparation temperature to a peak temperature greater than about 9 degrees Celsius in a process chamber; And: cooling the substrate at a rate of at least about 3 mm/sec (mm/sec) in a direction perpendicular to an upper surface of the substrate to cool the temperature from within about 50 degrees Celsius from the peak of the top Substrate. 2. The method of claim 1, wherein heating the substrate further comprises the steps of: heating the substrate to a first temperature from the preparation temperature at the first heating rate in the processing chamber; Heating the substrate from the first temperature to a peak m·degree at a second heating rate, wherein the second heating rate is greater than the first heating rate; and wherein cooling the substrate further comprises the step of: An arrest rate of at least about 3 mm/sec moves the substrate in a direction perpendicular to the upper surface of the substrate to cool the substrate to a temperature at a first cooling rate from a temperature within about 50 degrees Celsius from the peak temperature a second temperature; and cooling the substrate from the second temperature at a second cooling rate to a final temperature 'where the second cooling rate is less than the first cooling rate. 32 201034110 as stated in item 2 of the claim; ^, wherein the preparation temperature and the maximum " fineness are between about 25 degrees Celsius and about 6 degrees Celsius. The method of any of items 1 to 3, wherein the cooling the substrate further comprises the step of: moving the substrate toward a cooling plate for cooling the substrate. The method of claim 4, wherein cooling the substrate further comprises the steps of: moving the substrate to a first position, the first position being a first distance from the cooling plate; and subsequently moving the substrate The second position to a second position has a second distance from the cooling plate that is further from the first position. 6. The method of claim 4, wherein the cooling plate is disposed on a side of the substrate relative to an energy source for heating the substrate. 7. As recited in claim 6 The method wherein heating the substrate comprises the step of: arranging an energy source disposed beneath the substrate to heat the substrate. The method of any one of items 1 to 3, wherein cooling the 33 201034110 substrate further comprises the steps of: moving the substrate to a first chamber - the upper surface i is located away from the process a first distance of the garment; and subsequently moving the substrate to a second position that is further from the first position than the first position. Further, the upper surface of a first process chamber of the upper surface is about 1 mm to about - a distance from the surface s of the process chamber and the second distance is at least about 6 mm from the upper surface. 10. The method of claim 8 wherein heating the substrate further comprises the step of: heating the substrate with an energy source disposed above the upper surface of the processing chamber. The method of any one of clauses 1 to 3 wherein the cooling the substrate further comprises the step of: moving the substrate from an initial position that is initially from an upper surface of the processing chamber Distance 'where the initial distance is less than or equal to about 8 mm. The method of any of claims 1 to 3, wherein cooling the substrate by moving the substrate further comprises the step of: 34 201034110 by at a rate of up to about 1 〇mm/sec The substrate is moved perpendicular to the upper surface of the substrate to cool the substrate from about the peak temperature. The method of any of claims 1 to 3, wherein cooling the substrate further comprises the step of: traversing the upper surface of the substrate at a rate of up to about 50 mm/sec The substrate is moved to cool the substrate from about the peak temperature. 14. The method of any of claims 3 to 3, wherein moving the substrate increases a flow rate of gas disposed between the substrate and an upper surface of one of the processing chambers to greater than about 4 〇slln. 15. As requested in the article! The method of claim 3, wherein heating the substrate further comprises the steps of: flowing a process gas over the upper surface of the substrate, wherein the process gas forms a surface adjacent the surface of the substrate Thermally insulating the boundary layer of the fluid; and wherein cooling the substrate by moving the substrate further comprises the step of: disturbing the boundary layer of the thermally insulating fluid. 16. The method of any one of clauses 3 to 3, wherein the cooling of the substrate by moving the substrate by moving 35 201034110 further comprises the step of: by causing a process gas to be relative to the base Flowing at a plurality of different locations on the surface of the material, and disturbing a boundary layer of a thermally insulating fluid disposed adjacent the upper surface of the substrate, the flow rate of the process gas being independently controlled to adjust the temperature throughout the substrate during cooling Disturbed. The method of any of claims 3 to 3, wherein the substrate is heated at about 50 degrees Celsius from the peak temperature of the Φ and the substrate is cooled to about 50 degrees Celsius below the peak temperature. A residence time between them is less than about 1.2 seconds. The method of any of claims 3 to 3, wherein the substrate is heated from about 5 degrees Celsius from the peak of the top and the base is cooled to a temperature of about 50 degrees Celsius below the peak temperature. A residence time between degrees is less than about 0.6 seconds. 36
TW099102478A 2009-01-28 2010-01-28 Rapid cooling of a substrate by motion TW201034110A (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14789109P 2009-01-28 2009-01-28
US12/694,634 US20100193154A1 (en) 2009-01-28 2010-01-27 Rapid cooling of a substrate by motion

Publications (1)

Publication Number Publication Date
TW201034110A true TW201034110A (en) 2010-09-16

Family

ID=42396327

Family Applications (1)

Application Number Title Priority Date Filing Date
TW099102478A TW201034110A (en) 2009-01-28 2010-01-28 Rapid cooling of a substrate by motion

Country Status (7)

Country Link
US (1) US20100193154A1 (en)
JP (1) JP2012516576A (en)
KR (1) KR20110108420A (en)
CN (1) CN102365719A (en)
SG (1) SG172959A1 (en)
TW (1) TW201034110A (en)
WO (1) WO2010088338A2 (en)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9245786B2 (en) * 2011-06-02 2016-01-26 Applied Materials, Inc. Apparatus and methods for positioning a substrate using capacitive sensors
WO2013066652A1 (en) * 2011-11-03 2013-05-10 Applied Materials, Inc. Rapid thermal processing chamber
US8939760B2 (en) * 2012-02-09 2015-01-27 Applied Materials, Inc. Spike anneal residence time reduction in rapid thermal processing chambers
SG11201508512PA (en) * 2013-05-23 2015-12-30 Applied Materials Inc A coated liner assembly for a semiconductor processing chamber
US20150131698A1 (en) * 2013-11-11 2015-05-14 Applied Materials, Inc. Low temperature rtp control using ir camera
CN105244262A (en) * 2014-07-09 2016-01-13 中芯国际集成电路制造(上海)有限公司 NiSi last formation process
US10957563B2 (en) 2015-12-30 2021-03-23 Mattson Technology, Inc. Chamber wall heating for a millisecond anneal system
JP6839940B2 (en) * 2016-07-26 2021-03-10 株式会社Screenホールディングス Heat treatment method
JP6839939B2 (en) * 2016-07-26 2021-03-10 株式会社Screenホールディングス Heat treatment method
CN106655025B (en) * 2016-12-29 2019-10-29 北京金风科创风电设备有限公司 The drive system of dynamic radiating layout in building enclosure
CN106602482B (en) * 2016-12-29 2019-05-03 北京金风科创风电设备有限公司 Dynamic heat dissipating method, the dynamic cooling system of the heat source of building enclosure and its inside
CN110911320B (en) * 2019-12-09 2023-08-18 北京北方华创微电子装备有限公司 Cooling device, control method thereof and semiconductor processing equipment

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4857689A (en) * 1988-03-23 1989-08-15 High Temperature Engineering Corporation Rapid thermal furnace for semiconductor processing
JPH07254545A (en) * 1994-03-15 1995-10-03 Oki Electric Ind Co Ltd Heat treatment method for semiconductor substrate and device therefor
JPH0817747A (en) * 1994-06-24 1996-01-19 Tokyo Electron Ltd Processing method and processing device
US6179466B1 (en) * 1994-12-19 2001-01-30 Applied Materials, Inc. Method and apparatus for measuring substrate temperatures
JPH1154393A (en) * 1997-08-04 1999-02-26 Komatsu Ltd Wafer temperature adjusting equipment and its control method
JPH11354516A (en) * 1998-06-08 1999-12-24 Sony Corp Silicon oxide film forming device and method therefor
US6957690B1 (en) * 1998-09-10 2005-10-25 Asm America, Inc. Apparatus for thermal treatment of substrates
EP1142001B1 (en) * 1998-11-20 2007-10-03 Steag RTP Systems, Inc. Fast heating and cooling apparatus for semiconductor wafers
US6809035B2 (en) * 2002-08-02 2004-10-26 Wafermasters, Inc. Hot plate annealing
KR100642644B1 (en) * 2005-01-20 2006-11-10 삼성전자주식회사 Apparatus and method for cooling wafer
US7378618B1 (en) * 2006-12-14 2008-05-27 Applied Materials, Inc. Rapid conductive cooling using a secondary process plane
US8057602B2 (en) * 2007-05-09 2011-11-15 Applied Materials, Inc. Apparatus and method for supporting, positioning and rotating a substrate in a processing chamber

Also Published As

Publication number Publication date
US20100193154A1 (en) 2010-08-05
WO2010088338A3 (en) 2010-11-18
WO2010088338A2 (en) 2010-08-05
SG172959A1 (en) 2011-08-29
KR20110108420A (en) 2011-10-05
JP2012516576A (en) 2012-07-19
CN102365719A (en) 2012-02-29

Similar Documents

Publication Publication Date Title
TW201034110A (en) Rapid cooling of a substrate by motion
US8111978B2 (en) Rapid thermal processing chamber with shower head
KR101464931B1 (en) Rapid conductive cooling using a secondary process plane
KR102343692B1 (en) Light pipe structure window for low pressure thermal processes
US20190385872A1 (en) Light pipe window structure for thermal chamber applications and processes
US8939760B2 (en) Spike anneal residence time reduction in rapid thermal processing chambers
KR102377903B1 (en) Sol gel coated support ring
WO2014186085A1 (en) Diffuser for lamp heating assembly