TW200847495A - Memory cell with sidewall contacting side electrode - Google Patents

Memory cell with sidewall contacting side electrode Download PDF

Info

Publication number
TW200847495A
TW200847495A TW96118446A TW96118446A TW200847495A TW 200847495 A TW200847495 A TW 200847495A TW 96118446 A TW96118446 A TW 96118446A TW 96118446 A TW96118446 A TW 96118446A TW 200847495 A TW200847495 A TW 200847495A
Authority
TW
Taiwan
Prior art keywords
memory
layer
memory cell
side electrode
memory element
Prior art date
Application number
TW96118446A
Other languages
Chinese (zh)
Other versions
TWI345324B (en
Inventor
Hsiang-Lan Lung
Original Assignee
Macronix Int Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Macronix Int Co Ltd filed Critical Macronix Int Co Ltd
Priority to TW96118446A priority Critical patent/TWI345324B/en
Publication of TW200847495A publication Critical patent/TW200847495A/en
Application granted granted Critical
Publication of TWI345324B publication Critical patent/TWI345324B/en

Links

Abstract

A memory cell includes a memory cell layer over a memory cell access layer. The memory cell access layer comprises a bottom electrode. The memory cell layer comprises a dielectric layer and a side electrode at least partially defining a void with a memory element therein. The memory element comprises a memory material switchable between electrical property states by the application of energy. The memory element is in electrical contact with the side electrode and with the bottom electrode. In some examples the memory element has a pillar shape with a generally constant lateral dimension with the side electrode and the dielectric layer surrounding and in contact with first and second portions of the memory element.

Description

200847495tw3378pa • 九、發明說明: 【發明所屬之技術領域】 本發明是有關於一種以記憶材料為基底的高密度記 憶裝置及其製造方法,高密度記憶裝置例如是電阻式隨機 存取記憶(resistor random access memory,RRAM)裝置。記 • 憶材料係藉由施加之能量轉換電特性狀態,記憶材料可以 . 是相變化記憶材料或其他材料,相變化記憶材料包括硫屬 化合物(chalcogenide)材料。 【先前技術】 相變化記憶材料廣泛地被使用於可讀寫的光碟片。這 些材料具有至少兩固態相,例如是包括一般地固態非結晶 相與一般地固態結晶相。可讀寫的光碟片利用雷射脈衝產 生相變化,並讀取在相變化後記憶材料的光學性質。 相變化記憶材料,像硫屬化合物(chalc〇genide)與其類 似的材料也可在積體電路中經由施加特定程度的電流導 致相變化的執行。通常非結晶態的電阻值高於結晶態,而 此兒阻值的差異可立即被偵測用以表示資料。這些性質讓 人產生興趣地將可程式電阻材料應用於構成可隨機讀取 與寫入的非揮發性記憶回路。 ^非結晶癌變化至結晶態的操作電流通常較低,由結晶 ^化至非結晶態,也就是所謂的重置㈣et)的操作電流 =常較高。重置時的電流變化過程包括一短暫的高電流密 度脈衝用以融化或崩潰結晶結構,之後泮火此相變化過程 5200847495tw3378pa • IX. Description of the Invention: [Technical Field] The present invention relates to a high-density memory device based on a memory material and a method of manufacturing the same, and the high-density memory device is, for example, a resistive random access memory (resist random Access memory, RRAM) device. Recall that materials are converted to electrical properties by the applied energy. Memory materials can be phase change memory materials or other materials. Phase change memory materials include chalcogenide materials. [Prior Art] Phase change memory materials are widely used in readable and writable optical discs. These materials have at least two solid phases, for example, including a generally solid amorphous phase and a generally solid crystalline phase. The readable and writable optical disc produces phase changes using laser pulses and reads the optical properties of the memory material after phase changes. Phase change memory materials, such as chalc〇genide and similar materials, can also undergo phase change in the integrated circuit by applying a certain degree of current. Generally, the resistance value of the amorphous state is higher than that of the crystalline state, and the difference in the resistance value can be immediately detected to represent the data. These properties make it interesting to apply programmable resistance materials to form non-volatile memory loops that can be randomly read and written. ^Operational currents in which amorphous cancers change to a crystalline state are generally low, and the operating current from crystallization to non-crystalline state, also known as reset (tetra) et), is often high. The current change process during reset includes a brief high current density pulse to melt or collapse the crystalline structure, followed by a bonfire phase change process.

200847495rW3378pA 使相變化材料快速冷卻,養 1 複至少部分之相變化結構在非結 晶態穩定。導致相變化材料自結晶態轉換至非結晶態之重 f電錢低至越小越好,重置過賴需之4置電流的大小 猎由縮小記憶胞裡相變化材料元件之尺寸,以及藉由縮小 ’I於包極與相变化材料之間的接觸面積而被降低。如此一 來,以相對較小的電流值通過相變化材料元件就可以達到 較高的電流密度。 目於使用少量的可程式電阻材料,特別是用在小孔内 的作法已經發展出來了。專利說明關於生產小孔包括: Ovshinsky之「多位元單一記憶胞元件具有漸縮接觸」 (Mutibit Single Cell Memory Element Having Tapered200847495rW3378pA The phase change material is rapidly cooled, and at least a portion of the phase change structure is stabilized in the non-crystalline state. The weight of the phase change material from the crystalline state to the non-crystalline state is as low as possible, and the size of the 4 currents required for resetting is reduced by the size of the material component of the memory cell. It is reduced by reducing the contact area between the cladding and the phase change material. In this way, a higher current density can be achieved with relatively small current values through the phase change material elements. The use of a small amount of programmable resistance material, especially in small holes, has been developed. Patent Description for the production of small holes including: Ovshinsky's "Multi-bit single memory cell elements have tapered contacts" (Mutibit Single Cell Memory Element Having Tapered)

Contact),U.S· Pat· Ν0·5,687,112,於 1997 年 11 月 11 曰發 佈;Zahorik等人之「製造硫化物記憶裝置方法」(Meth〇d 〇fContact), U.S. Pat. Ν0·5,687,112, issued on November 11, 1997; Zahorik et al., "Method for manufacturing sulfide memory devices" (Meth〇d 〇f

Making Chalogenide Memory Device),U.S· Pat· Ν0·5,789,277,於1998年8月4日發佈;D〇an等人之「受 • 控制雙向相變化半導體記憶裝置與應用其之製造方法」 (Controllable Ovonic Phase-Change Semiconductor MemoryMaking Chalogenide Memory Device), US Pat. Ν 0·5, 789, 277, released on August 4, 1998; D〇an et al., "Controlled Bidirectional Phase Change Semiconductor Memory Devices and Their Applications" (Controllable Ovonic Phase) -Change Semiconductor Memory

Device and Methods),U.S· Pat· Ν0·6,150,253,於 2000 年 11月21曰發佈。 在相變化記憶裝置中,資料藉通入電流導致相變化材 料非結晶態與結晶態之間轉換而被儲存,電流加熱材料並 導致兩狀態之間的轉換。由非結晶態變化至結晶態操作電 流通常較低,由結晶態變化至非結晶態,也就是所謂的重 置,操作電流通常較高。導致相變化材料自結晶態轉換至 6 200847495TW3378pa 非結晶態之重置電流降低至越小越好,重置過程 置電流的大小是可以藉由縮小記憶胞裡相變化材料元件 之尺寸而被降低。因重置過程需要之電流大小取決於相變 化材料中相必須改變的體積而形成相變化記憶裝置的一 個難題,因此’透過標準半導體製造技術生產之記憶胞, 因半導體設備之最小尺寸條件^被受到限制,所以必須發 展用以提供記憶胞次微影(sublithographic)尺寸範圍的技"Device and Methods), U.S. Pat·Ν0·6,150,253, released on November 21, 2000. In a phase change memory device, data is stored by causing a phase change material to be converted between an amorphous state and a crystalline state, and the current heats the material and causes a transition between the two states. The operating current from the amorphous state to the crystalline state is generally low, changing from a crystalline state to an amorphous state, also known as a reset, and the operating current is generally higher. The phase change material is converted from the crystalline state to 6 200847495TW3378pa. The reset current of the amorphous state is reduced to the smaller the better, and the magnitude of the current set in the reset process can be reduced by reducing the size of the memory cell phase change material element. Since the magnitude of the current required for the reset process depends on the volume of the phase change material that must be changed to form a phase change memory device, the memory cell produced by standard semiconductor fabrication technology is the smallest size condition of the semiconductor device. Restricted, so technology must be developed to provide a range of memory sub-sublithographic sizes.

術,次微影在大型高密度記憶裝置仍缺乏再現性與可靠 性。 設計非常小的電極用以傳遞電流至相變化材料本體 為一種控制相變化記憶胞内反應面積的方法。此小的電;極 結構包括在相變化材料内一小面積的連接位置處引起相 變化’此小面積就像是蘑菇的頂部。請參照U S. pat. Ν0·6,429,064 ’在2002年8月6日由Wicker發佈之「降 低接觸面積之侧壁接觸器」(Reduced Contact Areas ofSurgery and sub-lithography still lack reproducibility and reliability in large-scale high-density memory devices. Designing very small electrodes to transfer current to the phase change material body is a method of controlling the phase change memory intracellular reaction area. This small electrical structure includes a phase change at a small area of the junction within the phase change material. This small area is like the top of a mushroom. Please refer to U S. pat. Ν0·6,429,064 ’ on August 6, 2002, by Wicker, “Reduced Contact Areas of Reduced Contact Areas” (Reduced Contact Areas of

Sidewall Conductor) ; U.S· Pat· Ν0·6,462,353,在 2002 年 8 月8日由Gilgen發佈之「電極之間一小面積接觸之製造方 法」(Method of Fabricating a Small Area of Contact Between Electrodes) ; U.S· Pat· Ν0·6,501,111,在 2002 年 12 月 31 曰由 Lowrey 發佈之「三維(three dimensional,3D) 可程式裝置」(Three-Dimensional(3D) Programmable Device) ; U.S· Pat. Ν0·6,563,156,在 2003 年 7 月 1 日由 Harshfied發佈之「記憶元件與其之製造方法」(Memory Element and Methods for Making Same) 〇 200847495™ 靠性高的製造技街,出 電阻材料的記憶胞之方 綜上所述,利用再現性與可 了设計形成具有小反應區可程式 法與結構的機會。 【發明内容】 綜上所述’本發明係有關於—種藉由施加 憶材料之電特性狀㈣記憶胞,且特収 ^換記"Method of Fabricating a Small Area of Contact Between Electrodes"; US· Pat· Ν 0·6, 462, 353, published on August 8, 2002 by Gilgen, "Method of Fabricating a Small Area of Contact Between Electrodes"; Pat·Ν0·6,501,111, “Three-Dimensional (3D) Programmable Device” published by Lowrey on December 31, 2002; US Pat. Ν0·6,563, 156, "Memory Element and Methods for Making Same" published by Harshfied on July 1, 2003. 〇200847495TM High-reliability manufacturing street, the memory cell of the resistive material As described above, the use of reproducibility and design can form an opportunity to have small reaction zone programmable methods and structures. SUMMARY OF THE INVENTION In summary, the present invention relates to an electrical characteristic (IV) memory cell by applying a memory material, and the special replacement

:積於相變化材料表面之上的相變化隨機存取;;:電杨 :目變化材料例如是鍺銻碲(Ge_Sb_Te,GST)‘^^ 二例如是氮化鈦(TiN)的熱膨脹係數更大,而使得上八材 =差。因相變化材料沈積在底電極之上,係相對二:強 目雙化材料與底電極之間之介面層。本發明藉由將“ k成 =同時沈積在底電極與側電極之上幫助9 材 面的問題。 呢羽上介 根據本發明之一方面,提出一種記憶胞,係 矣記憶材料之電特性狀態。包括記:取:: 包層位於記憶胞存取層之上。記憶胞存子:層及 極。記憶胞層包括介電層及側電極位於介電層底電 極與介電層至少有部分係定義出開口,記憶元件⑼側電 =内。記憶元件包括記憶材料,記憶材料係藉由施;開口 轉換電特性狀態。記憶元件係與側電極及底電挺♦之峻 接二在-些例子中記憶元件具有柱狀外型,其横向:忮迷 持定值,且侧電極及介電層環繞並接觸記憶元件=度% 及第二區。 第〜區 8: phase change random access accumulated on the surface of the phase change material;;: electric Yang: the material of change is, for example, germanium (Ge_Sb_Te, GST) '^^ 2, for example, titanium nitride (TiN) has a higher coefficient of thermal expansion Large, and make the upper eight = poor. Since the phase change material is deposited on the bottom electrode, it is opposite to the interface layer between the material and the bottom electrode. The invention solves the problem of "9" = simultaneous deposition on the bottom electrode and the side electrode to help the 9-plane surface. According to one aspect of the invention, the invention provides a memory cell, the electrical property state of the memory material. Included: Take:: The cladding is located above the memory cell access layer. Memory cell: layer and pole. The memory cell layer includes a dielectric layer and side electrodes at the bottom of the dielectric layer and at least part of the dielectric layer The opening is defined, and the memory element (9) is electrically connected to the inner side. The memory element includes a memory material, and the memory material is converted by the opening; the opening is converted into an electrical characteristic state. The memory element is connected to the side electrode and the bottom electrode ♦ In the example, the memory element has a columnar shape with a lateral direction: a constant value, and the side electrode and the dielectric layer surround and contact the memory element = degree % and the second area.

TW3378PA 200847495 根據本發明之另-方面,提出 之 法,包括藉由施加之能量轉換記料之衣仏方 制诰方τ U材科之電特性狀態。此 a方法包括下列步驟:提供 層係包括底電極;沈積第一介電芦二:,纖存取 .,^ 7 τ 己憶胞存取層之h ; 沈積側龟極材料於第一介電層 姑料芬當人 ’形成開口穿過侧電極 至暴露出底電極,藉此產生侧電極元 記憶元件並電性連接侧電極元件與底電 ° °己思元件包括記憶材料,記 轉換e 材枓係精由施加之能量 轉:屯特性狀態。在一些例子中,形成開 : 列乂驟:沈積輔助層於侧電極材料之上; :,、下 層内’孔料、對準底·;沈储料道7於輔助 生縮孔;產—準孔孔::=: 牛·,移除輔助層。 主側 杏"為讓本發明之上述内容能更明顯易懂,下文 只靶例,並配合所附圖式,作詳細說明如下:、牛又土 【實施方式】 構^下對本發明的描述將具體地說明較佳實施例之社 ==法。熟知此技藝者可知’揭露的較佳實施例‘ 用以限制本發明,本發明可以藉由其他技術手^ :專:7施例=’本發明之保護範圍 二2祀圍所界定者為準,熟知此技藝者可瞭解在以下二 ^況下具有許多均等的㈣。町敘述在沒有特別變動的 ,各實施例中相同的科將以相同的標號做敛述。 9 200847495一 請參照第1圖,其緣示依照本發明一較佳實施例 體電路10之方塊示意圖。電路10包括記憶陣列12,記^ 陣列12透過將相變化記憶胞(未繪示)設置於半導體基材 上的方式來實現’之後將會有更多的描述。字元線解碼哭 (word line deC〇der)14電性連接複數條字元線16。位元線。 解碼器(bit line —)18電性連接複數條位元線2〇,位 兀線20用以將資料(data)讀入或寫出至記憶陣列12之相變 化汜憶胞(未繪示)。位址(address)經由匯流排22傳遞至字 _ 元線解碼與驅動器14及位元線解碼器18。在方塊24中之 感測放大器及輸入資料結構皆通過資料匯流排26耦合至 位元線解碼器18 ’資料輸入(data in)經由資料輸出線自 積體電路10上之輸入/輸出埠或是經由積體電路1〇内部或 外部的其他資料源傳遞至方塊24之資料輸入結構。其他 電路30可以包括如一般用途處理器或是特殊的應用電路 等積體電路10,或是模組的組合,其經由陣列12支援雨 具有晶片系統(system-on-a-chip)功能性。資料輸出(data out)經由資料輸出線32自方塊24之感測放大器被提供至 積體電路10上之輸入/輸出皡,或資料也可被提供至積體 電路10内部或外部的其他資料目的地。 本實施例所使用之控制器34藉由偏壓配置機器來控 制偏壓配置供應電壓3 6的運作,例如是讀取、程式化、 清除、檢視清除及檢視程式化電壓。控制器34可使用此 技術領域中熟知的特殊用途邏輯電路(specia]Upurp〇Se logical circuitry)。在另一實施例中,控制器34包括一般 200847495 侧微 用途處理器(general-purpose process〇r),應用於相同的積 體電路上可執行電腦程式化用以控制裝置之運轉。在其他 實施例中,結合特殊用途邏輯電路與—般用途處理器^為 控制器34之實現方式。 如第2圖所示’記轉列12之各個記憶胞包括存取 電晶體(或其他存取裝置例如是二極體)38、4〇、42及料 以及相變化元件46、48、50及52。各個存取電晶體%、 40、42及44之源極端共同連接至源極線54,源極線μ 終止於源極祕端H 55。在其他實施射,祕線彼此之 間並沒有電性連接’而是各自獨立受控的。魏條字元線 16包括字tl線56及58於第一方向平行地延伸,字元線 56及58係與字το線解碼器14電性連接。存取電晶體%TW3378PA 200847495 According to another aspect of the invention, the method of the invention comprises the electrical property state of the τ U 科 U 科 藉 藉 藉 藉 藉 施加 施加 施加 施加 施加 施加 施加 施加 施加 施加 。 。 。 。 。 。 。 。 。 。 The method a includes the steps of: providing a layer system comprising a bottom electrode; depositing a first dielectric reed: a fiber access., a ^7 τ memory cell access layer; a deposition side turtle material in the first dielectric The layer is formed by the opening of the side electrode to expose the bottom electrode, thereby generating a side electrode element memory element and electrically connecting the side electrode element with the bottom electrode. The material element comprises a memory material, and the conversion e material The lanthanide is transferred by the applied energy: 屯 characteristic state. In some examples, forming an opening: a column of steps: depositing an auxiliary layer over the side electrode material; :, a 'hole material in the lower layer, aligning the bottom; sinking the material channel 7 in the auxiliary shrinkage hole; Hole::=: Cow·, remove the auxiliary layer. The main side of the present invention is to make the above-mentioned contents of the present invention more obvious and easy to understand. The following is only a target example, and the following description will be described in detail with reference to the following:: Niu and soil [Embodiment] The description of the present invention is made below. The social == method of the preferred embodiment will be specifically described. Those skilled in the art will recognize that the 'exposed preferred embodiment' is used to limit the invention, and the present invention can be defined by other technical means: the application of the invention is defined by the scope of protection of the invention. Those skilled in the art will appreciate that there are many equals (four) in the following two cases. The description of the town is not particularly changed, and the same sections in the respective embodiments will be referred to by the same reference numerals. 9 200847495 - Referring to Figure 1, there is shown a block diagram of a bulk circuit 10 in accordance with a preferred embodiment of the present invention. Circuit 10 includes a memory array 12 that is implemented by placing phase change memory cells (not shown) on a semiconductor substrate. The word line deciphering 14 is electrically connected to a plurality of word lines 16. Bit line. The decoder (bit line —) 18 is electrically connected to the plurality of bit lines 2〇, and the bit line 20 is used for reading or writing data to the phase change memory of the memory array 12 (not shown). . The address is passed via bus bar 22 to word_yuan line decoding and driver 14 and bit line decoder 18. The sense amplifier and input data structures in block 24 are coupled via data bus 26 to bit line decoder 18' data in data input/output/output on integrated circuit 10, or Other data sources internal or external to the integrated circuit 1 are passed to the data input structure of block 24. Other circuitry 30 may include integrated circuitry 10, such as a general purpose processor or a special application circuit, or a combination of modules that support rain-system-on-a-chip functionality via array 12. The data out is supplied from the sense amplifier of block 24 to the input/output port on the integrated circuit 10 via the data output line 32, or the data may be supplied to other data sources inside or outside the integrated circuit 10. Ground. The controller 34 used in this embodiment controls the operation of the bias configuration supply voltage 36 by means of a bias configuration device, such as reading, programming, clearing, viewing clearing and viewing the stylized voltage. The controller 34 can use the special purpose logic (Upurp〇 Se logical circuitry) well known in the art. In another embodiment, the controller 34 includes a general 2008-47495 general-purpose process, which can be applied to the same integrated circuit to perform computer programming to control the operation of the device. In other embodiments, the special purpose logic circuit and the general purpose processor are combined to implement the controller 34. As shown in FIG. 2, each of the memory cells of the record column 12 includes an access transistor (or other access device such as a diode) 38, 4, 42 and a material and phase change elements 46, 48, 50 and 52. The source terminals of the respective access transistors %, 40, 42 and 44 are commonly connected to the source line 54, and the source line μ terminates at the source terminal H 55. In other implementations, the secret lines are not electrically connected to each other' but are independently controlled. The strip line 16 includes word lines 56 and 58 extending in parallel in a first direction, and word lines 56 and 58 are electrically coupled to a word τ line decoder 14. Access transistor %

及4 2之閘極係連接至共同字元線,例如是字元線$ 6。存 取電晶體4 G及4 4之閘極係共同連接至字元線,例如是字 元線58。複數條位元線2〇包括位元線6〇及62,相變化 元件46 A 48之-端係連接至位元線6〇。特別是,相變化 元件46連接存取笔晶冑38之及極端與位元線,相變化 元件48連接存取電晶體40之及極端與位元線60。類似 地’相變化元件50連接存取電晶體42之没極端與位元線 62,相變化元件52連接存取f㈣44之汲極端與位元線 62。值得注意的是,上述所說之四個記憶胞是方便於描述 部分之記憶陣列12,記憶_12可以包括幾千萬至幾百 萬類似之記憶胞。當然,其他陣列結構也可以例如是相變 化元件連接至源極端來實施。 11 200847495TW3378pa ^ 請參照第3圖,其繪示本發明一實施例之記憶裝置 64之說明圖。記憶裝置64包括記憶胞存取層66(繪示於第 5圖)與位於記憶胞存取層66上之記憶胞層68。電傳導缓 衝層70設置於位元線72與記憶胞層68之間。 記憶胞存取層66具有上表面67,且記憶胞存取層66 包括介電填滿層74,介電填滿層74較佳地由二氧化石夕組 成。記憶胞存取層66也包括堆疊層76,堆疊層76包括拴 塞78以及延伸至上表面67的底電極80,拴塞78較佳地 為鎢(tungsten,W),底電極80較佳地由電傳導材料組成, 例如是氮化鈦(TiN)、氮化鎢(WN)、氮化鈦鋁(TiAIN)或氮 化钽(TaN),用以增加與記憶胞層68之記憶元件82接觸。 记=兀件82包括記憶材料係藉由施加之能量轉換電特性 ^心"己1^材料車父佳為相變化材料例如;!: GST,之後將更 詳細地描述。 記憶胞層68有上矣品以 ^…, y 有上表面84,圮憶胞層68包括介電芦 =與=:件88,側電極元件88與介電層㈣‘ 如弟10圖所示)容置於記憶元件82 側電極元件88兩者皆 圯k'7〇件82及And the gate of the gate is connected to a common word line, for example, the word line $6. The gates of the access transistors 4 G and 44 are connected in common to a word line, such as word line 58. The plurality of bit lines 2A include bit lines 6A and 62, and the end of the phase change element 46A 48 is connected to the bit line 6A. In particular, phase change element 46 is coupled to the access pen transistor 38 and to the extreme and bit lines, and phase change element 48 is coupled to access transistor 40 and terminal and bit line 60. Similarly, the phase change element 50 is connected to the access transistor 42 without the extreme bit line 62, and the phase change element 52 is connected to the access terminal f of the f(4) 44 and the bit line 62. It should be noted that the above four memory cells are convenient for describing the memory array 12, and the memory_12 may include tens of millions to several million similar memory cells. Of course, other array structures can also be implemented, for example, by connecting phase change elements to the source terminals. 11 200847495TW3378pa ^ Please refer to FIG. 3, which is an explanatory diagram of a memory device 64 according to an embodiment of the present invention. The memory device 64 includes a memory cell access layer 66 (shown in Figure 5) and a memory cell layer 68 on the memory cell access layer 66. The electrically conductive buffer layer 70 is disposed between the bit line 72 and the memory cell layer 68. Memory cell access layer 66 has an upper surface 67, and memory cell access layer 66 includes a dielectric fill layer 74, which is preferably comprised of a dioxide dioxide layer. The memory cell access layer 66 also includes a stacked layer 76 that includes a dam 78 and a bottom electrode 80 that extends to the upper surface 67. The dam 78 is preferably tungsten (tungsten, W), and the bottom electrode 80 is preferably The electrically conductive material composition is, for example, titanium nitride (TiN), tungsten nitride (WN), titanium aluminum nitride (TiAIN) or tantalum nitride (TaN) for increasing contact with the memory element 82 of the memory cell layer 68. Note that the component 82 includes the memory material by the applied energy conversion electrical property. The heart is a phase change material such as; G: GST, which will be described in more detail later. The memory cell layer 68 has a top layer of y..., y has an upper surface 84, and the memory layer 68 includes a dielectric reed = and =: a member 88, a side electrode member 88 and a dielectric layer (four)' as shown in FIG. Having the memory element 82 side electrode element 88 both 圯k'7 element 82 and

二氧公功rm、: 伸 表面84。介電層%可以B 的氧化物(Α10χ),介電 :*化物(风)或以呂 緣體。在本配置中,底或:是,膨脹係數之電% 視為熱絕緣體,所加熱器而介電層“ 元件U内被介電房2之轉換區塊90位於Μ 包續%環繞的部分。 丨义' 請參照第4圖,其緣示第3圖之記憶裝置64之上規 12Dioxin rm,: Extension surface 84. The dielectric layer % can be an oxide of B (Α10χ), dielectric: * compound (wind) or a rim body. In this configuration, the bottom or: is, the electric % of the expansion coefficient is regarded as a thermal insulator, and the heater and the dielectric layer "the conversion block 90 of the dielectric chamber 2 in the component U is located at the portion surrounded by the 包 续 %.丨义' Please refer to Figure 4, which shows the memory device 64 on the third figure.

TW3378PA 200847495 圖,¾己憶元件82與底電極以虛線表示。 請參照第5〜15圖,其㈣依照本發明-實施例t己 憶裝置64製_呈之流程圖。在第5圖中,記憶胞存取 層66如圖所π包括堆疊層76以及介於第—與 之字元線94_共同源極㈣。在此實施例中,電=體 減是^裝置,其他如二_等躲裝置也 實施例中。 牡不 第6圖繪示沈積介電層86在侧電極材料層心 結果,沈積^電極材料層96是為了在後續形成侧電極元 =上=電層%通常是非㈣形成於電極材料層 第7圖繪示於輔助介電層%形成開口⑽的結果, 開口通常置於底電極8〇中心上。在第8圖中,材料層 102(通常是非㈣)沈積在第7圖之結構上,所以材· 102沈積在側電極材料層96及定義出開口 ι〇〇的輔助介田 層98上’而形成半徑較小的縮孔1〇4。用以沈積材料芦 102之紐例如是原子層沈積1&則啊出⑽,曰 ALD)製程。第9圖繪示微徑孔餃刻步驟後之結果。此步驟 移除大多數之材料層102並形成微徑孔1〇6,微徑孔1〇6 穿過縮孔104之底部並經過側電極材料層%及介電層 86’直到底電極8〇暴露出後停止。如第1()圖所示/利用 氫氧化钾(KO·㈣的方式移除剩餘的非晶⑧層辅助介 電層98及材料層1〇2後,留下由電極材料層%及介電層 86定義之開口 108。 曰 13TW3378PA 200847495 The figure, the element 82 and the bottom electrode are indicated by dashed lines. Please refer to Figures 5 to 15, which are (iv) a flow chart according to the present invention - an embodiment of the memory device 64. In Fig. 5, the memory cell access layer 66 includes a stacked layer 76 and a common source (four) between the first and the word line 94. In this embodiment, the electric = body reduction is a device, and the other devices such as the second and the like are also in the embodiment. Figure 6 shows that the deposited dielectric layer 86 is in the center of the side electrode material layer, and the electrode material layer 96 is deposited for the subsequent formation of the side electrode element = upper = the electric layer % is generally non-fourth formed in the electrode material layer 7th The figure shows the result of forming the opening (10) in the auxiliary dielectric layer %, and the opening is usually placed on the center of the bottom electrode 8 。. In Fig. 8, the material layer 102 (usually non-(iv)) is deposited on the structure of Fig. 7, so that the material 102 is deposited on the side electrode material layer 96 and the auxiliary meso layer 98 defining the opening ι" A shrinkage hole 1〇4 having a small radius is formed. The bond used to deposit the material Re 102 is, for example, an atomic layer deposition 1 & ah (10), ALD ALD) process. Figure 9 shows the results of the micro-diameter hole dumping step. This step removes most of the material layer 102 and forms a micro-diameter hole 1〇6 through which the micro-diameter hole 1〇6 passes and passes through the side electrode material layer% and the dielectric layer 86' until the bottom electrode 8〇 Stop after exposure. As shown in Figure 1() / after removing the remaining amorphous 8-layer auxiliary dielectric layer 98 and material layer 1〇2 by means of potassium hydroxide (KO·(四), leaving the electrode material layer % and dielectric The opening 86 defined by layer 86. 曰13

W3378PA 200847495W3378PA 200847495

記憶材料(例如是GST等相變化材料)沈積在開口 之内。此步驟之後接續的是平整化步驟,例如是化學機竹 研磨,用以形成記憶胞層68之記憶元件82與上表面料"。 請參照第11圖,每一記憶元件82包括第一區1〇7,第、 區107具有第-外表面層,侧電極元件88環繞並接觸記 憶元件82之第-外表面層。除此之外,每一記憶元件° 包括第二區1G9’第二區潜具有第二外表面層,介電爲 86環繞並接觸記憶元件82之第二外表面層。 " 在一些實施例中電傳導緩衝層70沈積在上表面料, 電傳導緩衝層7G,例如是氮化鈦(TiN)電性接觸沈積於電 傳導緩衝層70上之位元線層110 ’電傳導缓衝層用二 增加記憶元件82與位元線層11〇兩者間之接觸。第a 繪示第12圖之上視圖,^旦僅以虛線緣示記憶元件82用二 說明記憶元件82之位置與空間。f 14及15圖緣示溝槽 112貫穿位元線層11G、電傳導緩衝層7()及侧電極材料曰層 96 ’並結束於介電層86之頂端,以形成記憶裝置料,^ 一記憶裝置有位元線72及側電極元件88。 第16圖揭露出另一實施例之記憶裝置料。纪憶麥置 64之記憶元件82由侧電極元件δδ定義出上區線的幻: 橫斷面係大於記憶元件82由介電層86定義出的下區塊。 在其他實施射’側電極元件88卩不同方式連接至位元 線’例如側電極元件88係藉㈣4的介電層上 接至位元線。 ’ t性絕緣體包括 介電層74及86可包括電性絕緣體 14 200847495TW337spa •一個或多個選自下列群組的元素,群組包括矽(Si)、鈦 (丁〇、鈕(Ta)、氮(州、氧(0)及碳(〇)。在此實施例中,介 電層86的熱膨脹係數較低,大約低於0.014J/cm*K*sec。 在其他實施例中,當記憶元件82係由相變化材料組成時, 介電層86的熱膨脹係數低於相變化材料之非結晶態的熱 膨脹係數。當相變化材料包括GST時,介電層%之熱膨 脹係數大約低於0.003J/cm*K*sec,典型的熱絕緣性材料 包括矽(Si)、碳(C)、氧(0)、氟(F)及氫(H)等元素的組合物。 春 適用的熱絕緣材料包括二氧化矽(Si02)、矽碳氧化物 (SiCOH)、聚醯亞胺(polyiinide)、聚巯胺(polyamide)及碳氟 聚合化合物(fluorocarbon polymers)。其他適用的熱絕緣介 電材料之例子更包括氟化二氧化矽(fluorinated Si02)、倍半 矽氧烷(silsesquioxane)、聚芳基酯(p〇lyaryieneethers)、聚 對一曱本基(Parylene)、ll 聚合物(fluoro-polymers)、非晶 氟化碳(fluorinated amorphous carbon)、類鑽碳(diamond like Carb〇n)、多孔二氧化石夕@01*0113 311^)、介孔二氧化石夕 (mesoporous silica)、多孔倍半矽氧烷材料(p〇r〇us silsesquioxane)、多孔聚巯氨(p〇r〇us p〇lyamide)及多孔聚芳 基醋(porous polyarylene ethers)。在其他的實施例中,熱絕 緣結構包括充氣孔(gas-簡edv〇id)用以熱絕緣。介電層% 可由一層或多層結合以提供熱與電之絕緣性。 具有可程式電阻形式特徵之記憶材料(例如是相變化 材料)的有用特徵包括材料具有可程式之電阻,較佳地為可 逆過程,例如是材料具有至少兩固態相且可經由電流具有 15 200847495τ謂δΡΑ ,^反應。可逆過程至少兩固態相包括非結晶相與結晶 然而在運作過程中’可程式電阻材料可能不會完全都 ^非結^相或完全妓結晶相,過渡相歧混合相也可能 別徵上具有可偵測到的差異,兩固態相通常應該分 別為穩疋相且具有不同的電特性。可程式電阻材料可以是 硫屬化合物(chalC0genide)材料,硫屬化合物材料可以包括 。在以下揭露的章節中,相變化或是其他記憶材料通 ^得是GST,熟知此技藝者可知其他形式之相變化材料 也是可以被採用的。用以實現記憶胞之材料在此以化合物 鍺銻碲Ge2Sb2Te5來說明。. ,在此描述之記憶裝置64透過標準之微影與薄膜沈積 製程製造而成’不需要特別的次微影圖案化步驟,而可以 做出非常小尺寸的記憶胞,其於控制過程中電阻會有實際 的變化。在本發明之實施例中,記憶材料可以是可程式^ 阻材料,例如是鍺銻碲GQSb2!^之相變化材料或是下述 鲁之其他材料。在記憶元件82中相變化是一小區塊,因此, 施加以用於相變化之重置電流值也非常小。 本實施例所述之記憶裝置64包括相變化記憶材料, 相變化記憶材料包括硫屬化合物與其他材料用以構成記 憶元件82。硫屬金屬(chalcogens)包括任一下述四種元素 氧(〇)、硫(S)、砸(Se)及碲(Te),上述元素皆屬於元素週期 表第六族元素,硫屬化合物包括由琉屬金屬與正電性元素 或自由基產生的化合物。硫屬化合物合金(chalcogenide all〇y)包括硫屬化合物與其他如過渡金屬等材料的組合 16A memory material (e.g., a phase change material such as GST) is deposited within the opening. This step is followed by a planarization step, such as chemical machine bamboo polishing, to form memory element 82 and upper surface material of memory cell layer 68. Referring to Fig. 11, each memory element 82 includes a first region 1〇7, the first region 107 has a first outer surface layer, and the side electrode member 88 surrounds and contacts the first outer surface layer of the memory device 82. In addition, each memory element includes a second region 1G9' wherein the second region has a second outer surface layer and a dielectric 86 surrounds and contacts the second outer surface layer of memory element 82. " In some embodiments, the electrically conductive buffer layer 70 is deposited on the upper surface material, an electrically conductive buffer layer 7G, such as a titanium nitride (TiN) electrically contacted with a bit line layer 110' deposited on the electrically conductive buffer layer 70. The electrically conductive buffer layer is used to increase contact between the memory element 82 and the bit line layer 11 . The a is shown in the upper view of Fig. 12, and the memory element 82 is shown by the dotted line to describe the position and space of the memory element 82. f 14 and 15 illustrate the trench 112 extending through the bit line layer 11G, the electrically conductive buffer layer 7 () and the side electrode material layer 96' and ending at the top of the dielectric layer 86 to form a memory device. The memory device has a bit line 72 and side electrode elements 88. Figure 16 illustrates a memory device material of another embodiment. The memory element 82 of the memory module 64 defines the illusion of the upper line by the side electrode element δδ: the cross-section is larger than the lower block defined by the dielectric layer 86 of the memory element 82. In other embodiments, the side electrode element 88 is connected to the bit line in a different manner. For example, the side electrode element 88 is connected to the bit line by a dielectric layer of (4) 4. The t-type insulator including the dielectric layers 74 and 86 may include an electrical insulator 14 200847495TW337spa • one or more elements selected from the group consisting of bismuth (Si), titanium (butadiene, button (Ta), nitrogen) (State, Oxygen (0) and Carbon (〇). In this embodiment, the dielectric layer 86 has a lower coefficient of thermal expansion, less than about 0.014 J/cm*K*sec. In other embodiments, when the memory element When the 82 series is composed of a phase change material, the thermal expansion coefficient of the dielectric layer 86 is lower than the amorphous thermal expansion coefficient of the phase change material. When the phase change material includes GST, the thermal expansion coefficient of the dielectric layer % is less than about 0.003 J/ Cm*K*sec, a typical thermal insulating material comprising a combination of elements such as bismuth (Si), carbon (C), oxygen (0), fluorine (F) and hydrogen (H). Spring suitable thermal insulation materials include Cerium oxide (SiO 2 ), bismuth carbon oxide (SiCOH), polyiinide, polyamide, and fluorocarbon polymers. Other examples of suitable thermally insulating dielectric materials are more examples. Including fluorinated cerium oxide (fluorinated SiO 2 ), silsesquioxane, polyaryl ester (p〇lyar Yieneethers), Parylene, fluoro-polymers, fluorinated amorphous carbon, diamond like Carb〇n, porous sulphur dioxide 01*0113 311^), mesoporous silica, porous sesquioxane material (p〇r〇us silsesquioxane), porous polyaluminum (p〇r〇us p〇lyamide) and porous Porous polyarylene ethers. In other embodiments, the thermal insulation structure includes a gas-filled hole for thermal insulation. The dielectric layer may be combined by one or more layers to provide heat and electricity. Insulation. Useful features of a memory material (e.g., a phase change material) having a programmable resistance form feature include a material having a programmable resistance, preferably a reversible process, such as a material having at least two solid phases and passing current With 15 200847495τ δ ΡΑ , ^ reaction. Reversible process at least two solid phases including amorphous phase and crystallization However, during operation, the programmable resistance material may not be completely non-junction phase or complete 妓 crystal phase, transition Manifold mixed phase may not be detected having an intrinsic difference in the two solid phases should typically be stable for the respectively phase and piece goods having different electrical characteristics. The programmable resistive material may be a chalcogenide material, and the chalcogenide material may include. In the sections disclosed below, phase changes or other memory materials are known as GST, and it is well known to those skilled in the art that other forms of phase change materials can be employed. The material used to implement the memory cell is illustrated herein by the compound 锗锑碲Ge2Sb2Te5. The memory device 64 described herein is fabricated through standard lithography and thin film deposition processes. 'No special sub-lithographic patterning steps are required, and a very small size memory cell can be made, which is controlled during the process. There will be actual changes. In an embodiment of the invention, the memory material may be a moldable material such as a phase change material of 锗锑碲GQSb2!^ or other materials of the following. The phase change in memory element 82 is a block of cells, so the value of the reset current applied for phase change is also very small. The memory device 64 of the present embodiment includes a phase change memory material including a chalcogen compound and other materials to constitute the memory element 82. Chalcogens include any of the following four elements of oxygen (〇), sulfur (S), strontium (Se) and strontium (Te), all of which belong to the sixth group of elements of the periodic table, and the chalcogenides include A compound produced by a metal of a genus and a positively charged element or a radical. The chalcogenide alloy (chalcogenide all〇y) includes a combination of a chalcogenide compound and other materials such as transition metals.

200847495TW3378PA — 物,硫屬化合物合金通常包含一個或更多個週期表第六族 元素,例如是鍺(Ge)及錫(Sn)。通常,硫屬化合物合金包 括一種或多種如銻(Sb)、鎵(Ga)、銦(In)及銀(Ag)等金屬的 組合。許多相變化記憶材料在科技文獻裡都已經詳細描 述,包括下列合金:鎵銻(Ga/Sb)、銦銻(In/Sb)、銦硒 (In/Se)、銻碲(Sb/Te)、鍺碲(Ge/Te)、鍺銻碲(〇6/85/丁6)、 銦銻碲(In/Sb/Te)、鎵硒碲(Ga/Se/Te)、錫銻碲(Sn/Sb/Te)、 銦銻鍺(In/Sb/Ge)、銀銦銻碲(Ag/In/Sb/Te)、鍺錫銻碲 • (Ge/Sn/Sb/Te)、鍺銻硒碲(Ge/Sb/Se/Te)及碲鍺銻硫 (Te/Ge/Sb/S)。在鍺銻碲(Ge/Sb/Te)合金家族中,大多數的 合金組成都可適用。合金成分表示為TeaGebSb1G(Ka+b),其 中a及b表示原子百分比,化合物之各原子比例之總和為 100%。調查發現最常用之合金的平均比例中,蹄(Te)在沈 積材料中的濃度是低於70%,通常低於大約60%且介於 23%〜58%之間,較佳地是介於大約48%〜58%之間。鍺 (Ge)之濃度係大約高於5%,較佳地平均範圍大約介於8 ⑩ %至30%之間,並通常低於50%,最佳的鍺(Ge)之濃度範 圍大約介於8%至40%之間。研究發現化合物内其餘的主 成分為銻(Sb)(Ovshinsky,f112專利第1〇及11行)。由其 他研究者鑑定出的特定合金包含鍺銻碲Ge2Sb2Te5、 GeSb2Te4 及 GeSb4Te7(NoboruYamada 之「為 了高資料速率 記錄之光碟可用之Ge-Sb-Te相變化」(p〇|;ential Of Ge-Sb-Te Phase-Change Optical Disks for High-Data-Rate200847495TW3378PA — The chalcogenide alloy typically contains one or more elements of the sixth group of the periodic table, such as germanium (Ge) and tin (Sn). Generally, the chalcogenide alloy includes one or more combinations of metals such as bismuth (Sb), gallium (Ga), indium (In), and silver (Ag). Many phase change memory materials have been described in detail in the scientific literature, including the following alloys: gallium germanium (Ga/Sb), indium germanium (In/Sb), indium selenide (In/Se), germanium (Sb/Te),锗碲(Ge/Te), 锗锑碲(〇6/85/丁6), Indium/Sb/Te, Ga/Se/Te, Tin/Sb /Te), Indium/Sb/Ge, Ag/In/Sb/Te, 锗锡锑碲• (Ge/Sn/Sb/Te), 锗锑Selenium (Ge) /Sb/Se/Te) and bismuth sulphur (Te/Ge/Sb/S). In the family of germanium (Ge/Sb/Te) alloys, most of the alloy compositions are applicable. The alloy composition is represented by TeaGebSb1G (Ka+b), where a and b represent atomic percentages, and the sum of the atomic ratios of the compounds is 100%. The survey found that the average proportion of the most commonly used alloys, the concentration of hoof (Te) in the deposited material is less than 70%, usually less than about 60% and between 23% and 58%, preferably between Between about 48% and 58%. The concentration of germanium (Ge) is above about 5%, preferably the average range is between about 8 10% and 30%, and usually less than 50%, and the optimum concentration range of germanium (Ge) is approximately Between 8% and 40%. The study found that the remaining main component of the compound is bismuth (Sb) (Ovshinsky, f112 patent lines 1 and 11). The specific alloys identified by other researchers include Ge2Sb2Te5, GeSb2Te4, and GeSb4Te7 (NoboruYamada's "Ge-Sb-Te phase change available for high data rate recording discs" (p〇|;ential Of Ge-Sb- Te Phase-Change Optical Disks for High-Data-Rate

Recording),1997 年 SPIE 第 3109 期第 28 至 37 頁)。更常 17Recording), 1997 SPIE No. 3109, pp. 28-37). More often 17

TW3378PA 200847495 見地,鉻(Cr)、鐵(1^)、鎳(见)、鈮(仙)、鈀(?幻、鉑(?〇 等過渡金屬之合金或混合物可以與鍺銻碲(Ge/Sb/Te)混合 形成具有可程式電阻性質之相變化合金。在Ovshinsky, 112第11至13行之例子中,記憶材料較佳地藉由此參考 賓料結合而有报大的幫助。 相變化材料係可以在第一結構狀態及第二結構狀態 之間轉換。第一結構狀態通常是材料之非結晶固相,第二 結構狀悲通常是材料之結晶固相在主動通道區内使記憶 胞局部有序’這些相變化材料係至少是雙相穩態。「非結 晶」指得是相對沒有次序之結構(比單晶更沒有次序),其 可偵測的4寸性為比結晶相具有更高之電阻。「結晶相」指 传是比較有次序之結構(比非結晶結構更有次序),其可偵 測之特徵為比非晶相具有更低之電阻。 一般來說,相變化 材料可在不同局部次序狀態之間產 生電性轉換,而可轉換 之局。卩-人序頻猎係橫跨完全非結晶態與完全結晶態的之 ^其他會叉到結晶相與非結晶相變化而影響的材料特性 括原子排序、自由電子密度及活化能。經由揉合了完全 枘一日相/、70王結晶相的灰階狀態,相變化材料可以在兩 相變化材料7 相變化材料之電性質也會對應地變化。 化至另力,電脈衝自-相洲 傾向於使相變化材料變=硯:到較短及較大震幅之脈衝 心之脈衝傾向於使相變化材料變化至 18TW3378PA 200847495 See ground, chromium (Cr), iron (1^), nickel (see), 铌 (仙), palladium (? 幻, platinum (? 〇 and other transition metal alloys or mixtures can be combined with 锗锑碲 (Ge / Sb /Te) mixing to form a phase change alloy having a programmable resistance property. In the case of Ovshinsky, 112 lines 11 to 13, the memory material is preferably greatly assisted by the combination of the reference material. The first structural state is generally a non-crystalline solid phase of the material, and the second structural state is usually a crystalline solid phase of the material that causes the memory cell to be localized in the active channel region. Ordered 'these phase change materials are at least two-phase steady state. "Amorphous" refers to a relatively unordered structure (less order than a single crystal), and its detectable 4 inch is more than the crystalline phase. High resistance. "Crystal phase" refers to a more ordered structure (more ordered than an amorphous structure), which is detectable to have a lower electrical resistance than an amorphous phase. Generally, phase change materials Can be generated between different local order states Sexual transformation, and the convertible game. The 卩-human sequence hunting system spans the completely amorphous state and the completely crystalline state. Other materials that are affected by the change of the crystalline phase and the amorphous phase include atomic ordering and freedom. The electron density and activation energy. By combining the gray-scale state of the complete one-day phase/70 king crystal phase, the phase change material can change correspondingly in the electrical properties of the phase change material of the two-phase change material. Another force, the electric pulse from the -phase continent tends to make the phase change material change = 砚: pulse to the shorter and larger amplitude of the pulse heart tends to change the phase change material to 18

rW3378PA 200847495 -般地結晶態’稱之為控制脈衝。較短及較 能量夠大足岐供結晶結構之鍵結被_,紗^衝之 止原子再排列至結晶態。選擇適當的脈衝震幅波 經驗法則來判斷,不需要過度的實驗,特別是 的相變化材料與裴置結構更是如此。 寸疋的 以下簡短描述並整理四種形式之電阻記憶材料 1 ·硫屬化合物材料rW3378PA 200847495 - The general crystalline state ' is called a control pulse. The shorter and more energetic enough is sufficient for the bond of the crystalline structure to be _, and the atoms of the yarn are rearranged to the crystalline state. Choosing the appropriate pulse amplitude wave rule of thumb to judge, does not require excessive experimentation, especially the phase change material and the structure. The following brief description and finishing of four forms of resistive memory materials 1 · Chalcogenide materials

GexSbyTezGexSbyTez

x:y:z=2:2:5 或是其他的組成’ x:0〜5、y:〇〜5及ζ:〇〜ι〇 一 ▲ GeSbTe被例如是鎳基(Ni_)、矽基(Si_)及鈦基(Ti_) 的兀素摻雜,或是其他元素之摻雜也都是可以被使用的。 形成方法:藉由物理氣相沈 deposition,PVD)的濺鍍法或磁控式濺鍍法,透過反應氣體 例如疋氬(Ar)、氮(N2)以及或是氦(He)等等,並控制硫屬化 合物在壓力範圍為ImTorr〜l〇〇mT〇rr之間,沈積過程通常 在至溫下完成。由準直儀控制深寬比在i〜5之間可以用於 增加填入效果(fill-in perf〇mance),直流(direct current,DC) 偏壓施加數十至數百伏特也可使用以增進添加效果,換句 話說,準直儀與直流偏壓是可以同時被結合使用的。 沈積後有時需要在真空或氮氣(N2)環境之退火 處理用以增進硫屬化合物材料之結晶態,退火處理通常在 溫度範圍100°C至400°C之間與低於30分鐘退火時間的條 件進行。 19x:y:z=2:2:5 or other composition 'x:0~5, y:〇~5 and ζ:〇~ι〇一▲ GeSbTe is for example nickel-based (Ni_), sulfhydryl ( The doping of Si_) and titanium (Ti_), or the doping of other elements, can also be used. Forming method: by a physical vapor deposition (PVD) sputtering method or a magnetron sputtering method, a reaction gas such as helium argon (Ar), nitrogen (N2), or helium (He), etc. The chalcogenide is controlled to have a pressure in the range of ImTorr~l〇〇mT〇rr, and the deposition process is usually completed at a temperature. The depth-to-width ratio controlled by the collimator can be used to increase the fill-in perf〇mance between i and 5. The direct current (DC) bias can be applied to tens to hundreds of volts. The additive effect is enhanced, in other words, the collimator and the DC bias can be combined at the same time. After deposition, it is sometimes necessary to anneal in a vacuum or nitrogen (N2) environment to promote the crystalline state of the chalcogenide material. The annealing treatment is usually performed at a temperature ranging from 100 ° C to 400 ° C with an annealing time of less than 30 minutes. Conditions are carried out. 19

200847495TW3378PA • 4屬化合物材料之厚度取決於記憶胞結構之設 計。在-般的情況下,硫屬化合物材料之厚度在大於8疆 以上就可具有相變化特性,如此一來材料就可以至少展現 出兩種穩定不同的電阻狀態。 2·巨磁阻(c〇l〇ssal magnet〇 resistance,CMR)材料 PrxCayMn03 x:y=0.5:0.5 或疋其他的組成,x:0〜1及y:〇〜1 着 $ 一種巨磁阻材料包括短(Μη)之氧化物也可以 被使用 、、形成方法.藉由物理氣相沈積之濺鍍法或磁控 式歲鍍法:透過反應氣體例如是氮㈣、說㈣、氧㈣ 以及或是氦(He)等等,並控制壓力範圍在imt〇rr〜i〇〇mt〇rr 之間,沈積溫度可以是自室溫至6〇〇。〇,其範圍係取決於 沈積後之處理條件。由準直儀控制深寬比在卜5之間可以 癱帛於增加填入效果’直流偏壓施加數十至數百瓦特也可使 用乂〜加填入效果’換句話說,準直儀與直流偏壓是可以 同時被結合使用的。施加數十高斯至ι〇,〇〇〇高斯磁場可以 增加磁性結晶相。 ^ 、沈積後在真空、氣氣(队)或氮氧(02/N2)混合氣氛 衣兄之退火處理有日守用以增進巨磁阻材料之結晶態,退火 處理通常在溫度範圍臂C至之間與低於2小時退 火時間的條件進行。 巨磁阻材料之厚度取決於記憶胞結構之設計。 20200847495TW3378PA • The thickness of the 4-member compound material depends on the design of the memory cell structure. In the general case, the thickness of the chalcogenide material may have a phase change characteristic above about 8 Xinjiang, so that the material can exhibit at least two stable and different resistance states. 2. Giant magnetoresistance (CMR) material PrxCayMn03 x:y=0.5:0.5 or other composition, x:0~1 and y:〇~1 with $a giant magnetoresistive material Oxides including short (Μη) may also be used, forming methods. By physical vapor deposition sputtering or magnetron plating: the reactive gases such as nitrogen (four), (four), oxygen (four) and or It is 氦 (He) and so on, and the pressure range is controlled between imt〇rr~i〇〇mt〇rr, and the deposition temperature can be from room temperature to 6〇〇. 〇, the scope depends on the processing conditions after deposition. The depth-to-width ratio controlled by the collimator can be increased between the 5 and the filling effect. The DC bias can be applied tens to hundreds of watts. You can also use the 乂~ plus fill effect. In other words, the collimator and DC bias can be used in combination at the same time. Applying tens of Gauss to ι〇, the Gaussian magnetic field can increase the magnetic crystalline phase. ^, after deposition, in the vacuum, gas (team) or nitrogen oxide (02 / N2) mixed atmosphere, the annealing process has a daily maintenance to improve the crystal state of the giant magnetoresistive material, the annealing process is usually in the temperature range arm C to It was carried out with conditions of annealing time below 2 hours. The thickness of the giant magnetoresistive material depends on the design of the memory cell structure. 20

200847495rW3378PA • 巨磁阻材料之厚度在1 Onm至200nm可以當作核心材料。 緩衝層釔鋇銅氧YBC0(YBaCu03,其為一種高 溫超導體材料)常用以增加巨磁阻材料之結晶態,在沈積巨 磁阻材料之前沈積YBCC^YBCO之厚度範圍自30nm至 200nm 〇 3·兩元素化合 氧化鎳(NixOy)、氧化鈦(Tix〇y)、氧化鋁(Alx〇y)、200847495rW3378PA • The thickness of the giant magnetoresistive material can be regarded as the core material from 1 Onm to 200nm. The buffer layer bismuth copper oxide YBC0 (YBaCu03, which is a high temperature superconductor material) is commonly used to increase the crystalline state of the giant magnetoresistive material, and the thickness of YBCC^YBCO deposited before depositing the giant magnetoresistive material ranges from 30 nm to 200 nm 〇3·two Elemental compound nickel oxide (NixOy), titanium oxide (Tix〇y), aluminum oxide (Alx〇y),

氧化鎢(wxoy)、氧化鋅(Znx〇y)、氧化鍅(Zrx〇y)及氧化銅 (CuxOy)等等 x:y=0.5:0.5 或是其他的組成,X:〇〜1及yAq 形成方法: 沈積 、 稭由物理氣相沈積之濺鍍法或磁控式 濺,,’透過反應氣體例如是氬(Ar)、氮(D、氧(〇2)以及 或是氦(He)等等’控制壓力範圍為lmT〇rr〜i〇〇mT〇rr之 間並使用-金屬氧化物乾材,例如是见办、丁冰、 A X,WxOy、Znx〇y、Zrx〇y 或 CUx〇y 等等,沈積過程通 吊在室溫下完成。由準直儀控制深寬比在1〜5之間可以用 以人絲’錢偏壓施加數十至數百瓦特也可使用 同時被結合❹的。_,準絲射流偏壓是可以 環境之退錄合氣氛 , ,,、才而要用以增進元素氧在氧化金屬中 I U、&理通系在溫度範圍400°C至600°C之間與低 21 2〇〇847495TW3378pa • 於2小時退火時間的條件進行。 2·反應式沈積:藉由物理氣相沈積之濺鍍法或 反應式磁控濺鍍法,藉由反應性氣體例如是氬氧(Αγ/〇2)、 氬氮氧(Αγ/Ν^Ο2)、純氧(〇2)、氦氧(He/〇2)或氦氮氧 (He/N/O2)等等,控制壓力範圍為lmT〇rr〜1〇〇mT〇rr之間, 並使用一金屬靶材,例如是鎳(Ni)、鈦(Ti)、鋁(A1)、鎢(W)、 鋅(Zn)、結(Zr)或銅(cu)等等,沈積過程通常在室溫下完 成。由準直儀控制深寬比在1〜5之間可以用於增加填入效 # 果,直流偏壓施加數十至數百瓦特也可用以增加填入效 果,若需要的話,準直儀與直流偏壓是可以同時被結合使 用的。 沈積後有時需要在真空、氮氣(N2)或氮氧(N2/〇2) 混合氣氣ί衣丨兄之退火處理用以增進元素氧在氧化金屬中 擴散。退火處理通常在溫度範圍400°C至600°C之間與低 於2小時退火時間的條件進行。 3 ·氧化·精由南溫乳化糸統,例如是即時高溫 製程(rapid thermal process, RTP)爐系統,溫度範圍自200 °〇至700°C,並利用純氧(〇2)或氮氧(凡/〇2)混合氣氛,控 制在壓力為數微托爾(mtorr)至一大氣壓之間,製程的時間 範圍可以自數分鐘至數小時。另一氧化方法是電漿氧化, 射頻(radio frequency,RF)或是DC源電漿,透過純氧(〇2)、 氮氧(N2/〇2)混合或是氬氮氧(Ar/N2/〇2)混合氣氛,並控制 壓力在1 mtorr至1 OOmtorr之間氧化金屬表面層,例如是 鎳(Ni)、鈦(Ti)、銘(A1)、鎢(W)、辞(Zn)、錄(Zr)或銅(Cu) 22 200847495TW3378pa _ 等等’氧化時間範圍可以自數秒至數分鐘,氧化溫度範圍 自室溫至300°C,其係取決於電漿氧化之程度。 4.聚合物材料 四氰環己二稀二曱烷鹽 (Tetrazyanoguinodimethane,TCNQ)參雜銅(cu)、銅 60(Cu60) 或銀(Ag)等等。 石反 60(C60)之竹生物([6,6]_phenyl-C61-butyric acid methyl ester,PCBM)的 PCBM-TCNQ 混合聚合物 • 形成方法: 1·蒸鍍作用:藉由熱蒸鍍,例如是電子束蒸鍍 (e-beam evaporation)或分子束蠢晶(molecular beam epitaxy, MBE)系統。固態之TCNQ與參雜顆粒在單一腔體中一起 蒸發,先將固態之TCNQ與參雜顆粒放置於鎢鍍源 (W-boat)、組鍍源(Ta-boat)或陶瓷鐘源(ceramic boat),並透 過高電流或電子束施加,用以融化原料以致於將材料混合 並沈積於晶片上,在此並沒有化學反應或反應氣體,沈積 鲁 結束之壓力介於l(T4torr至l〇-1Qt〇rr之間,並控制晶片溫 度範圍自室溫至200°C之間。 沈積後有時需要在真空或氮氣(N2)氣氛環境之 退火處理用以增進聚合物材料之成分擴散。退火處理通常 在溫度範圍自室溫至300°C之間與低於1小時退火時間的 條件進行。 2·旋轉塗佈(spin coat):藉由旋轉塗佈機將已參 雜之TCNQ溶液置於轉速低於每分鐘一千轉(i〇〇〇rpm)之 23Tungsten oxide (wxoy), zinc oxide (Znx〇y), yttrium oxide (Zrx〇y) and copper oxide (CuxOy), etc. x:y=0.5:0.5 or other composition, X:〇~1 and yAq form Method: deposition, sputtering by physical vapor deposition or magnetron sputtering, 'transmission of reactive gases such as argon (Ar), nitrogen (D, oxygen (〇2) and or helium (He), etc. 'Control pressure range between lmT〇rr~i〇〇mT〇rr and use - metal oxide dry material, such as see, Ding Bing, AX, WxOy, Znx〇y, Zrx〇y or CUx〇y Etc., the deposition process is completed at room temperature. The depth-to-width ratio controlled by the collimator between 1 and 5 can be used to apply tens of tens to hundreds of watts to the human wire 'money bias. _, the quasi-wire jet bias is an environmentally retractable atmosphere, and, in order to enhance the elemental oxygen in the oxidized metal IU, &chemistry; in the temperature range of 400 ° C to 600 ° C Interval and low 21 2〇〇847495TW3378pa • Performed under the conditions of 2 hours annealing time. 2. Reactive deposition: by physical vapor deposition sputtering or reactive magnetron sputtering, by reaction The gas is, for example, argon oxygen (Αγ/〇2), argon nitrogen oxygen (Αγ/Ν^Ο2), pure oxygen (〇2), helium oxygen (He/〇2), or helium nitrogen oxide (He/N/O2). Etc., the control pressure range is between lmT 〇rr~1〇〇mT〇rr, and a metal target such as nickel (Ni), titanium (Ti), aluminum (A1), tungsten (W), zinc (for example) is used. Zn), junction (Zr) or copper (cu), etc., the deposition process is usually completed at room temperature. The aspect ratio of 1 to 5 controlled by the collimator can be used to increase the filling effect, DC bias Pressure application of tens to hundreds of watts can also be used to increase the filling effect. If necessary, the collimator and DC bias can be used simultaneously. Sometimes it is necessary to vacuum, nitrogen (N2) or nitrogen oxide after deposition. (N2/〇2) Mixed gas ί The annealing treatment of 丨衣丨 is used to promote the diffusion of elemental oxygen in the oxidized metal. Annealing is usually carried out at temperatures ranging from 400 ° C to 600 ° C and less than 2 hours annealing time. The conditions are carried out. 3 · Oxidation · Fine emulsification system from the South Temperature, such as the rapid thermal process (RTP) furnace system, the temperature range from 200 ° 〇 to 700 ° C, and the use of pure oxygen (〇 2) or Nitrogen Oxygen (Wan/〇2) The atmosphere is controlled at a pressure between several microtorres (mtorr) and one atmosphere. The time range of the process can range from a few minutes to several hours. Another oxidation method is plasma oxidation, radio frequency (RF) or DC. Source plasma, mixed with pure oxygen (〇2), nitrogen (N2/〇2) or argon nitrogen (Ar/N2/〇2), and controlled to oxidize metal between 1 mtorr and 1 00 mtorr The surface layer, for example, nickel (Ni), titanium (Ti), Ming (A1), tungsten (W), hexadium (Zn), recorded (Zr) or copper (Cu) 22 200847495TW3378pa _ etc. 'oxidation time range can be From a few seconds to a few minutes, the oxidation temperature ranges from room temperature to 300 ° C depending on the degree of plasma oxidation. 4. Polymer material Tetrazyanoguinodimethane (TCNQ) is doped with copper (cu), copper 60 (Cu60) or silver (Ag), and the like. PCBM-TCNQ mixed polymer of [6,6]_phenyl-C61-butyric acid methyl ester, PCBM) • Formation method: 1. Evaporation: by thermal evaporation, for example It is an electron beam evaporation (e-beam evaporation) or a molecular beam epitaxy (MBE) system. The solid TCNQ and the doped particles are evaporated together in a single cavity, and the solid TCNQ and the doped particles are first placed on a tungsten plating source (W-boat), a group plating source (Ta-boat) or a ceramic clock source (ceramic boat). And through a high current or electron beam application to melt the material so that the material is mixed and deposited on the wafer, there is no chemical reaction or reaction gas, and the pressure at the end of the deposition is between 1 (T4torr to l〇- Between 1Qt〇rr and controlling the wafer temperature range from room temperature to 200 ° C. After deposition, it is sometimes necessary to anneal in a vacuum or nitrogen (N 2 ) atmosphere to promote the diffusion of the composition of the polymer material. Annealing is usually The temperature range is from room temperature to 300 ° C and the annealing time is less than 1 hour. 2. Spin coating: the spin-coated coater is placed at a lower speed than the TCNQ solution. 23 thousand revolutions per minute (i〇〇〇rpm)

200847495rW3378PA 轉盤上。旋轉塗佈之後,將晶片放置在室溫下或是在溫度 低於20CTC的環境下等待成形為固態,等待時間取決於溫 度與成形條件,範圍自數分鐘至數天。 其餘在製造、組成材料、利用與操作相變化隨機存取 呂己憶裝置之資料,請參照美國應用專利Ν〇·,公 開於2005年七月17日,名為「薄膜融化相變化隨機存取 記憶體與其之製造方法」(Thin Film Fuse Phase Change Ram And Manufacturing) Attorney Docket No. MXIC • 1621-1 〇 較佳地底電極80及側電極元件⑽與記憶元件π接 觸之全部或部分區塊包括一電極材料,例如是氮化鈦 (TiN) ’或疋远擇適合化憶元件82相變化材料的其他導 體。在第3圖之實施例中當拾塞7 8包括鎢(w)時,側電極 元件88及底電極80皆由氮化鈦(TiN)生成。其他不同類型 導體可以被利用於拴塞結構、上電極及下電極結構。包括 ⑩ 例如是铭(A1)或銘合金、氮化鈦(TiN)、氮化鈕(TaN)、氮化 欽紹(TiAlN)或氮化组紹(TaAIN)。其他導體可能使用包括 一或多個選擇自下列族群的元素··鈦(丁丨)、鎢(w)、鉬(M〇)、 铭(A1)、组(Ta)、銅(Cu)、始(Pt)、銀(ir)、·(Ι^)、鎳(Ni)、 釕(Ru)及氧(Ο)。氮化鈦(TiN)因為在記憶元件82中與 GST(先前論及)之間有好的連接性而為較佳的選擇,且氮 化鈇(TiN)是一個半導體製造程序中常用的材料,氮化鈦 (TiN)在GST於高溫轉換,例如是在溫度6〇〇〜7〇〇。〇的範圍 中時提供一優良之擴散緩衝。 24200847495rW3378PA on the turntable. After spin coating, the wafer is placed at room temperature or waited to form a solid in an environment at a temperature below 20 CTC, and the waiting time depends on temperature and forming conditions, ranging from minutes to days. For the rest of the materials used in manufacturing, composition, and phase-change random access, please refer to the US Application Patent ,·, published on July 17, 2005, entitled “Thin Film Melting Phase Change Random Access” "Thin Film Fuse Phase Change Ram And Manufacturing" Attorney Docket No. MXIC • 1621-1 〇 preferably the bottom electrode 80 and the side electrode element (10) all or part of the block contact with the memory element π includes a The electrode material, for example, titanium nitride (TiN) or other conductors suitable for phase change materials of the memory element 82. In the embodiment of Fig. 3, when the plug 7 8 includes tungsten (w), the side electrode member 88 and the bottom electrode 80 are both formed of titanium nitride (TiN). Other different types of conductors can be utilized for the damming structure, the upper electrode, and the lower electrode structure. These include, for example, Ming (A1) or Ming alloy, TiN, NiN, TiAlN or TaAIN. Other conductors may use one or more elements selected from the following groups: titanium (butadiene), tungsten (w), molybdenum (M〇), Ming (A1), group (Ta), copper (Cu), (Pt), silver (ir), (Ι^), nickel (Ni), ruthenium (Ru), and oxygen (Ο). Titanium nitride (TiN) is a preferred choice because of good connectivity with GST (previously discussed) in memory element 82, and tantalum nitride (TiN) is a commonly used material in semiconductor fabrication processes. Titanium nitride (TiN) is converted at high temperatures in GST, for example at a temperature of 6 〇〇 to 7 〇〇. A good diffusion buffer is provided in the range of 〇. twenty four

200847495[W3378PA 雖然其他增加電性連接材料例如氮化鋁钽(TaA1N)、 氮化鋁鵁(WalN)或氮化鋁鈦(TiAIN)也可以被使用,但電傳 導緩衝層70較佳地是氮化鈦(TiN)。 本發明上述實施例所揭露之用詞例如是之上、之下、 頂、底、超過之上、之下或是中心。這些名稱用以幫助瞭 解本發明並非限制本發明。 綜上所述,雖然本發明已以一較佳實施例揭露如上, 然其並非用以限定本發明。本發明所屬技術領域中具有通 _ 常知識者,在不脫離本發明之精神和範圍内,當可作各種 之更動與潤飾。因此,本發明之保護範圍當視後附之申請 專利範圍所界定者為準。 上述任一與所有之專利、專利應用及出版物以參考文 獻之方式併入說明。 25200847495 [W3378PA Although other electrical connection materials such as aluminum nitride tantalum (TaA1N), aluminum nitride tantalum (WalN) or titanium aluminum nitride (TiAIN) may be used, the electrically conductive buffer layer 70 is preferably nitrogen. Titanium (TiN). The terms used in the above embodiments of the present invention are, for example, top, bottom, top, bottom, over, under, or center. These names are used to help the invention but not to limit the invention. In view of the above, the present invention has been disclosed in a preferred embodiment, and is not intended to limit the present invention. It will be appreciated by those skilled in the art that various changes and modifications can be made without departing from the spirit and scope of the invention. Therefore, the scope of the invention is defined by the scope of the appended claims. Any and all of the above patents, patent applications, and publications are incorporated by reference. 25

200847495rw3378PA ’ 【圖式簡單說明】 第1圖繪示依照本發明一較佳實施例的積體電路10 之方塊不意圖, 第2圖繪示第1圖之典型記憶陣列的部分概要圖; 第3圖繪示本發明一實施例之記憶裝置之橫截面示 意圖; 第4圖繪示第3圖之記憶裝置以虛線表示記憶元件與 與底電極之上視圖; • 第5〜15圖,其繪示依照本發明第3圖記憶裝置製造 過程之流程圖。 第16圖繪示與第3圖擇其一之記憶裝置的橫截面圖。200847495rw3378PA 'A Brief Description of the Drawings FIG. 1 is a block diagram of an integrated circuit 10 according to a preferred embodiment of the present invention, and FIG. 2 is a partial schematic view of a typical memory array of FIG. 1; 1 is a cross-sectional view of a memory device according to an embodiment of the present invention; and FIG. 4 is a view showing a memory device of FIG. 3 with a broken line indicating a top view of the memory element and the bottom electrode; • FIGS. 5-15, which are illustrated A flowchart of a manufacturing process of a memory device in accordance with a third embodiment of the present invention. Figure 16 is a cross-sectional view showing the memory device of the third embodiment.

2626

TW3378PA 200847495 ’ 【主要元件符號說明】 10 :積體電路 12 :記憶陣列 14 :字元線編碼器 16、56、58、94 :字元線 18 :位元線編瑪器 20、60、62、72 ··位元線 22、26 :匯流排 ⑩ 24 :方塊 28、32 :資料輸出線 30 :其他電路 34 :控制器 36 :電壓 38、40、42、44 :存取電晶體 46、48、50、52 :相變化元件 54 :源極線 ® 55 :源極線終止器 64 :記憶裝置 66 :記憶胞存取層 67、84 :上表面 68 :記憶胞層 70 :電傳導緩衝層 74 :介電填滿層 76 :堆疊 27 200847495TW3378pa 拴塞 底電極 記憶元件 介電層 侧電極元件 轉換區塊 共同源極線 電極材料層 辅助介電層 、108 :開口 :材料層 :縮孔 :微徑孔 :第一區 :第二區 :位元線層 :溝槽TW3378PA 200847495 ' [Main component symbol description] 10 : Integrated circuit 12 : Memory array 14 : Word line encoder 16 , 56 , 58 , 94 : Word line 18 : Bit line coder 20 , 60 , 62 , 72 · bit line 22, 26: bus bar 10 24: block 28, 32: data output line 30: other circuit 34: controller 36: voltage 38, 40, 42, 44: access transistors 46, 48, 50, 52: phase change element 54: source line® 55: source line terminator 64: memory device 66: memory cell access layer 67, 84: upper surface 68: memory cell layer 70: electrically conductive buffer layer 74: Dielectric fill layer 76: stack 27 200847495TW3378pa 拴 bottom electrode memory element dielectric layer side electrode element conversion block common source line electrode material layer auxiliary dielectric layer, 108: opening: material layer: shrinkage hole: micro-diameter hole : Zone 1: Zone 2: Bitline Layer: Trench

Claims (1)

2〇〇847495™α • 十、申請專利範圍: 1. 一種記憶胞,包括: 一記憶胞存取層,包括一底電極;以及 一記憶胞層位於該記憶胞存取層之上,該記憶胞層包 括: 一介電層; 一侧電極位於該介電層之上; 該側電極與該介電層至少有部分係定義出一開 ⑩ 口;及 一記憶元件位於該開口之内,該記憶元件包括 一記憶材料,該記憶材料係藉由施加之能量轉換電特性狀 態’該記憶元件係與該侧電極及該底電極電性連接。 2. 如申請專利範圍第1項所述之記憶胞,其中該記 憶元件係直接接觸該底電極之一上表面。 3. 如申請專利範圍第1項所述之記憶胞,其中該侧 電極至少部分地環繞並接觸該記憶元件之一第一區。 ® 4.如申請專利範圍第3項所述之記憶胞,其中該記 憶元件之該第一區包括一第一外表面層,該側電極環繞並 接觸該記憶元件之該第一外表面層。 5. 如申請專利範圍第1項所述之記憶胞,其中該介 電層至少部分地環繞並接觸該記憶元件之一第二區。 6. 如申請專利範圍第5項所述之記憶胞,其中該記 憶元件之該第二區包括一第二外表面層,該介電層環繞並 接觸該記憶元件之該第二外表面層。 29 200847495™ • 7,如申請專利範圍第6項所述之記憶胞,其中該記 憶元件之該第二區包括一相變化材料,該記憶元件於該第 二區内具有一相變化區塊。 8. 如申請專利範圍第1項所述之記憶胞,其中該記 憶元件具有一柱狀外型,其橫向寬度維持定值。 9. 一種記憶裝置,包括: 如申請專利範圍第1項所述之一記憶胞;以及 一位元線電性連接至該侧電極。 • 10.如申請專利範圍第9項所述之記憶裝置,更包括: 一電傳導緩衝電性連接該侧電極與該位元線。 11. 一種記憶胞,包括: 一記憶胞存取層,包括一底電極;以及 一記憶胞層位於該記憶胞存取層之上,該記憶胞層包 括: 一介電層; 一侧電極位於該介電層之上; ® 該侧電極與該介電層至少有部分係定義出一開 口;及 一記憶元件位於該開口之内,該記憶元件包括一記憶 材料,該記憶材料係藉由施加之能量轉換電特性狀態,該 記憶元件具有橫向寬度維持定值之一柱狀外型且係與該 側電極及該底電極電性連接; 該侧電極環繞並接觸該記憶元件之一第一區; 該介電層環繞並接觸該記憶元件之一第二區;及 30 200847495 FW3378PA 該第二區包括一相變化材料,該記憶元件於該第二區 内具有一相變化區塊。 12. —種記憶胞之製造方法,包括: 提供一記憶胞存取層,該記憶胞存取層係包括一底電 極; 沈積一第一介電層於該記憶胞存取層之上 沈積一侧電極材料於該第一介電層之上; 形成一開口穿過該侧電極材料及該第一介電層至暴 露出該底電極,藉此產生一側電極元件;以及 於該開口產生一記憶元件並電性連接於該侧電極元 件與該底電極,該記憶元件包括一記憶材料,該記憶材料 係藉由施加之能量轉換電特性狀態。 13. 如申請專利範圍第12項所述之製造方法,其中 該形成與該產生步驟完成後使得該記憶元件包括一外表 面層,該侧電極元件環繞並接觸該記憶元件之該外表面 層。 14. 如申請專利範圍第12項所述之製造方法,其中 形成該開口之步驟更包括: 沈積一輔助層於該侧電極材料之上; 形成一孔道於該輔助層内,該孔道係對準該底電極; 沈積一材料於該孔道之内,用以在該孔道内產生一縮 孔; 產生一微徑孔對準該縮孔,該微徑孔延伸至該侧電極 元件;以及 31 200847495 W3378PA 移除該辅助層。 15. —種記憶裝置之製造方法,包括: 如申請專利範圍第12項所述之製造方法;以及 形成一位元線在該侧電極元件之上並與該侧電極元 件電性連接。 16. 如申請專利範圍第15項所述之製造方法,更包 括形成一電傳導缓衝於該侧電極元件與該位元線之間。2〇〇847495TMα • X. Patent application scope: 1. A memory cell comprising: a memory cell access layer including a bottom electrode; and a memory cell layer located above the memory cell access layer, the memory The cell layer includes: a dielectric layer; one side electrode is located above the dielectric layer; the side electrode and the dielectric layer at least partially define an opening 10; and a memory component is located within the opening The memory component includes a memory material that is electrically coupled to the side electrode and the bottom electrode by an applied energy conversion electrical property state. 2. The memory cell of claim 1, wherein the memory element is in direct contact with an upper surface of the bottom electrode. 3. The memory cell of claim 1, wherein the side electrode at least partially surrounds and contacts a first region of the memory element. 4. The memory cell of claim 3, wherein the first region of the memory element comprises a first outer surface layer that surrounds and contacts the first outer surface layer of the memory element. 5. The memory cell of claim 1, wherein the dielectric layer at least partially surrounds and contacts a second region of the memory element. 6. The memory cell of claim 5, wherein the second region of the memory element comprises a second outer surface layer that surrounds and contacts the second outer surface layer of the memory element. The memory cell of claim 6, wherein the second region of the memory element comprises a phase change material having a phase change block in the second region. 8. The memory cell of claim 1, wherein the memory element has a columnar shape with a lateral width maintained at a constant value. A memory device comprising: one of the memory cells according to claim 1; and a one-dimensional wire electrically connected to the side electrode. 10. The memory device of claim 9, further comprising: an electrical conduction buffer electrically connecting the side electrode to the bit line. 11. A memory cell, comprising: a memory cell access layer comprising a bottom electrode; and a memory cell layer overlying the memory cell access layer, the memory cell layer comprising: a dielectric layer; Above the dielectric layer; the side electrode and the dielectric layer at least partially define an opening; and a memory component is located within the opening, the memory component includes a memory material, the memory material is applied by The energy conversion electrical characteristic state, the memory element has a columnar shape maintaining a lateral width and is electrically connected to the side electrode and the bottom electrode; the side electrode surrounds and contacts one of the first regions of the memory element The dielectric layer surrounds and contacts a second region of the memory element; and 30 200847495 FW3378PA The second region includes a phase change material having a phase change block in the second region. 12. A method of fabricating a memory cell, comprising: providing a memory cell access layer, the memory cell access layer comprising a bottom electrode; depositing a first dielectric layer to deposit a layer over the memory cell access layer a side electrode material over the first dielectric layer; forming an opening through the side electrode material and the first dielectric layer to expose the bottom electrode, thereby generating a side electrode element; and generating a The memory element is electrically connected to the side electrode element and the bottom electrode, and the memory element includes a memory material that converts an electrical property state by the applied energy. 13. The method of manufacture of claim 12, wherein the forming and the generating step are completed such that the memory element includes an outer surface layer that surrounds and contacts the outer surface layer of the memory element. 14. The method of claim 12, wherein the step of forming the opening further comprises: depositing an auxiliary layer over the side electrode material; forming a via in the auxiliary layer, the channel alignment a bottom electrode; a material is deposited in the tunnel to create a shrinkage hole in the tunnel; a micro-hole is formed to align with the shrink-hole, the micro-hole extends to the side electrode member; and 31 200847495 W3378PA Remove the auxiliary layer. A method of manufacturing a memory device, comprising: the method of manufacturing according to claim 12; and forming a one-dimensional line above the side electrode member and electrically connected to the side electrode member. 16. The method of manufacturing of claim 15 further comprising forming an electrical conduction buffer between the side electrode element and the bit line. 3232
TW96118446A 2007-05-23 2007-05-23 Memory cell with sidewall contacting side electrode TWI345324B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
TW96118446A TWI345324B (en) 2007-05-23 2007-05-23 Memory cell with sidewall contacting side electrode

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW96118446A TWI345324B (en) 2007-05-23 2007-05-23 Memory cell with sidewall contacting side electrode

Publications (2)

Publication Number Publication Date
TW200847495A true TW200847495A (en) 2008-12-01
TWI345324B TWI345324B (en) 2011-07-11

Family

ID=44823533

Family Applications (1)

Application Number Title Priority Date Filing Date
TW96118446A TWI345324B (en) 2007-05-23 2007-05-23 Memory cell with sidewall contacting side electrode

Country Status (1)

Country Link
TW (1) TWI345324B (en)

Also Published As

Publication number Publication date
TWI345324B (en) 2011-07-11

Similar Documents

Publication Publication Date Title
US7569844B2 (en) Memory cell sidewall contacting side electrode
US7397060B2 (en) Pipe shaped phase change memory
US7910906B2 (en) Memory cell device with circumferentially-extending memory element
TWI335662B (en) Memory cell with memory material insulation and manufacturing method
US7875493B2 (en) Memory structure with reduced-size memory element between memory material portions
TWI321357B (en) Programmable resistive ram and manufacturing method
CN100562985C (en) Make the autoregistration cavity of memory cell and the method for hearth electrode
US7879645B2 (en) Fill-in etching free pore device
US7919766B2 (en) Method for making self aligning pillar memory cell device
US8106376B2 (en) Method for manufacturing a resistor random access memory with a self-aligned air gap insulator
CN101197423B (en) Method for making a self-converged memory material element for memory cell
TWI323937B (en) Memory cell device and manufacturing method
US7910907B2 (en) Manufacturing method for pipe-shaped electrode phase change memory
TW200828517A (en) Method for making a keyhole opening during the manufacture of a memory cell
TW200913250A (en) Multi-level memory cell having phase change element and asymmetrical thermal boundary
US7956344B2 (en) Memory cell with memory element contacting ring-shaped upper end of bottom electrode
TW200822294A (en) Method for manufacturing a resistor random access memory with a self-aligned air gap insulator
TW200822295A (en) Method for manufacturing a resistor random access memory with reduced active area and reduced contact areas
TW200832678A (en) Multi-layer electrode structure
TWI400798B (en) Dielectric-sandwiched pillar memory device
TWI329356B (en) Multilevel-cell memory structures employing multi-memory layers with tungsten oxides and manufacturing method
TWI310237B (en) Methods of operating a bistable resistance random access memory with multiple memory layers and multilevel memory states
TW200847495A (en) Memory cell with sidewall contacting side electrode