TW200814145A - Method for forming a fine pattern of a semiconductor device - Google Patents

Method for forming a fine pattern of a semiconductor device Download PDF

Info

Publication number
TW200814145A
TW200814145A TW096125545A TW96125545A TW200814145A TW 200814145 A TW200814145 A TW 200814145A TW 096125545 A TW096125545 A TW 096125545A TW 96125545 A TW96125545 A TW 96125545A TW 200814145 A TW200814145 A TW 200814145A
Authority
TW
Taiwan
Prior art keywords
layer
pattern
photoresist pattern
forming
photoresist
Prior art date
Application number
TW096125545A
Other languages
Chinese (zh)
Other versions
TWI353626B (en
Inventor
Jae-Chang Jung
Original Assignee
Hynix Semiconductor Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hynix Semiconductor Inc filed Critical Hynix Semiconductor Inc
Publication of TW200814145A publication Critical patent/TW200814145A/en
Application granted granted Critical
Publication of TWI353626B publication Critical patent/TWI353626B/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02362Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment formation of intermediate layers, e.g. capping layers or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

A method for forming a fine pattern of a semiconductor device includes forming a first photoresist film pattern over a semiconductor substrate including an underlying layer. A cross-linking layer is formed on the sidewall of the first photoresist pattern. The first photoresist pattern is removed to form a fine pattern including a silicon polymer. A second photoresist pattern is formed that is coupled to the fine pattern. The underlying layer is etched using the fine pattern and the second photoresist pattern as an etching mask. As a result, the fine pattern has a smaller size than a minimum pitch.

Description

200814145 九、發明說明: 相關申請案之交互參照 本申請案主張韓國專利申請案第10_2006_0087854和 H)-2〇〇7-〇〇64136號之優先權,彼等分別是在2〇〇6年9月 I2曰和2007年6月28曰提申且其全文係併入為參考。 【發明所屬之技術領域】 本發明係關於一種用於形成半導體元件之微細圖案的 方法,该半導體兀件具有超越微影程序限制的間距(㈣^匕)。 【先前技術】 由於資訊媒體(例如電腦)的流行,半導體元件的技術 已經快速的提升。半導體元件需要在高速下操作且需要具 有高儲存容量。結果,半導體元件之製造技術需要能製造 具有改良積集度、可靠度和存取數據之特性的高容量記憶 體元件。 ^為了改良元件之積集度,已經發展了光學微影技術來 形成微細圖案。光學微影技術包括了使用化學放大的深紫 外線(DUV)光源,例如ArF(193nm)和VUV(157nm)的曝光 技術’以及適合曝光光源的顯影光阻劑材料之技術。 半導體元件之處理速度是取決於圖案的線寬。例如當 降低圖案線寬時,處理速度會被增加以改良元件性能。因 此,重要的是依半導體元件之尺寸而定來控制圖案線寬的 5 200814145 除了顯影光阻劑材料之外 史用又重曝光和韻刻程序 來形成具有經降低線寬之微細圖 八士、# _ 口茶的方法已經被利用在現 7半¥體元件之製造程序中。 參照圖1說明傳統的使用雙 件之微細㈣时法。^重曝絲㈣成半導體元 /在半導體基板上形成基底層和硬遮罩膜。進行第一微 影程序以形成第一光阻劑圖案 ' ^ 具中在早兀區域(cell reg_)上的寬度_及_間距圖案 疋X衢狀結構來與周邊區域 上之電路圖案連接。進行第- 圖安”… 進仃弟-微影程序以形成第二光阻劑 I /、中如圖1所示’在單元區域上的寬度·及-間距 圖案是以橋狀結構來與周邊區域上之電路圖案連接 光阻劑圖案i i在單元區域中 弟一先阻劑圖案13交替配 置。 然而’由於微影儀器的解析限制, 控制覆蓋均句度並形成具有小於 找⑨中 有困難的。 Ό間距之尺寸的圖案是 【發明内容】 本么明之各種不同具體態樣係關於提供 件之微細圖案之方法。 風牛V體兀 =發明之具體態樣,用於形成半導體元件之微細 方法包括在含有基底層之半導體基板的單元區域上 阻劑圖案。含石夕聚合物層係形成於第一 圖案和何體基板上㈣成所得結構。將料 6 200814145 =,以在第—光阻劑圖案和含石夕聚合物層之界面卜, 案之上部區域曝光。移除經曝光的第直:使弟-光阻劑圖 - r α 的弟一光阻劑圖幸以户⑽ 几區或上形成含有交聯層的微細 安' 早 第二光阻劑圖案是形成 :,圖案結合之 在單元區域上。使用微έ 週邊區域,而不是 、广十 '、田圖案和第二光阻劑圖案作為為以 遮軍來使基底層圖型化以形成基底層圖案。、…』 在一具體態樣中,用於渺忐坐、# α 方法包括於含有美底/ 成伞導體元件之微細圖案之 弟-光阻劑圖案形成於 罩膜。將 層係形成於第一光阻劑圖案之:% 微細圖宰作為韻,二:層之弟一微細圖案。使用第-罩膜圖案刻遮罩來使硬遮罩膜被圖型化以形成硬遮 安:單元區域中的硬遮罩膜圖案之間形成第二 木。:广交聯:係形成於第二光阻劑圖案之侧壁上。 二微細圖案。盘第=案以形成含有第二交聯層之第 、一 4、、、田圖案和硬遮罩圖荦结人之楚一 阻劑圖案係形成 ^卓㈡案…之弟二光 區域上。使用硬遮心Γ 域上,而不是單元 “乍=遮罩來使基底層圖型化以形成基底層圖案。圖 夕艰口物包括環氧基作為可交聯官能基。具體而言, 7 200814145 = 光程序而從第—光阻劑圖案中生成 八合物層,並使環氧基的鍵結斷裂 別環氧基之末端部分以烤程序 物中個 上谢 T疋與光阻劑材料之郵其 父%。不會牵涉到交聯層生成的切聚 ^ 影程序中被移除’但是與光阻劑圖案交聯之交聯:= 於光阻劑圖案上。 乂知層疋形成 【實施方式】200814145 IX. INSTRUCTIONS: Cross-reference to related applications This application claims the priority of Korean Patent Application No. 10_2006_0087854 and H)-2〇〇7-〇〇64136, which are respectively at 2〇〇6 years 9 The monthly I2曰 and June 28, 2007 are submitted and their full texts are incorporated by reference. BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a method for forming a fine pattern of a semiconductor element having a pitch ((4)) which is beyond the limit of the lithography program. [Prior Art] Due to the popularity of information media (such as computers), the technology of semiconductor components has rapidly increased. Semiconductor components need to operate at high speeds and require high storage capacity. As a result, the manufacturing technology of semiconductor elements requires the fabrication of high-capacity memory elements having improved integration, reliability, and access to data characteristics. In order to improve the integration of components, optical lithography has been developed to form fine patterns. Optical lithography includes techniques using chemically amplified deep ultraviolet (DUV) light sources, such as exposure techniques for ArF (193 nm) and VUV (157 nm), and developed photoresist materials suitable for exposure sources. The processing speed of the semiconductor element is dependent on the line width of the pattern. For example, when the line width of the pattern is lowered, the processing speed is increased to improve the performance of the element. Therefore, it is important to control the line width of the pattern according to the size of the semiconductor component. 5 200814145 In addition to the development of the photoresist material, the history uses a re-exposure and rhyme program to form a fine pattern with a reduced line width. _ The method of mouth tea has been used in the manufacturing process of the current body parts. A conventional micro (four) time method using a double piece will be described with reference to FIG. ^Heavy wire (4) into a semiconductor element / Form a base layer and a hard mask film on a semiconductor substrate. A first lithography process is performed to form a width _ and a _ pitch pattern 疋X衢-like structure in the first photoresist region ''cell reg_' to be connected to the circuit pattern on the peripheral region. Performing the first - An An"... Into the --- lithography program to form the second photoresist I /, as shown in Figure 1 'width on the cell area · and - spacing pattern is in a bridge structure and surrounding The circuit pattern connecting photoresist pattern ii on the area is alternately arranged in the cell region. However, due to the analytical limitation of the lithography apparatus, the control covers the uniformity of the sentence and forms a difficulty in finding that it is less than 9 The pattern of the size of the Ό spacing is [the content of the invention] The various specific aspects of the present invention are related to the method of providing the fine pattern of the piece. The snail V body 兀 = the specific aspect of the invention, the micro method for forming the semiconductor element A resist pattern is included on a cell region of the semiconductor substrate including the underlayer. The yttrium-containing polymer layer is formed on the first pattern and the substrate (4) to form the resultant structure. The material 6 200814145 =, in the first photoresist The interface pattern and the interface containing the stone polymer layer, the upper part of the case is exposed. Remove the exposed first straight: make the brother-resist agent map - r α of the brother-resistance map to the household (10) several districts Or formed on The layered micro-A' early second photoresist pattern is formed: the pattern is bonded on the cell region. The micro-peripheral region is used instead of the wide-pattern, the second pattern and the second photoresist pattern. Masking the base layer to form a base layer pattern.,... In a specific aspect, the method for squatting, #α is included in the younger brother of the fine pattern containing the beauty/panel conductor elements - The photoresist pattern is formed on the cover film. The layer is formed on the first photoresist pattern: % micro-grain is used as rhyme, and second: layer is a fine pattern. The mask is patterned using a first cover film to make hard The mask film is patterned to form a hard cover: a second wood is formed between the hard mask film patterns in the unit region.: Wide crosslink: is formed on the sidewall of the second photoresist pattern. Pattern. The disk = case to form the second cross-linking layer, the first, the fourth, the field pattern and the hard mask pattern 荦 之 之 一 一 一 一 一 一 一 一 ^ ^ ^ ^ ^ 二On the hard cover, instead of the unit "乍 = mask to map the base layer to shape Form a base layer pattern. The sturdy substance includes an epoxy group as a crosslinkable functional group. Specifically, 7 200814145 = light program to form an octaplex layer from the first photoresist pattern, and the epoxy group bond is broken at the end portion of the other epoxy group to bake the program. % of the post with the photoresist material. Crosslinking generated by the cross-linking layer is not involved but is cross-linked with the photoresist pattern: = on the photoresist pattern.乂 疋 layer formation [embodiment]

本發明將參照所附圖式更詳細的說明。 圖2a到2g是說明根據本發 辦-从 十奴η爻具體恶樣的形成半導 體儿件之微細圖案之方法的圖式。 圖2a到2是說明圖宰之一相 口系怎侧面的平面圖和另一個荽 圖2a之線③,的圖。圖 σ者 ^今 圖2M〇 2f為說明從圖2a的線③ 硯察的剖面圖。圖22 A约Ra山μ ^ 姓禮的“同# 為說明由说影程序獲得之具有橋狀 …構的兩個圖案的平面圖。 圖2a顯示形成於半導體基板21上的基底層η。基底 “ 23包括用於父替形成橋狀圖案的導電層 Μ層23可為字元線,位元線,金屬線或其組合^是 由夕晶矽或金屬層所形成。 第一光阻劑膜(未顯示)係塗覆於基底層23上。使用曝 =遮罩在光阻劑膜上進行曝光和顯影程序,以在單元區域 形成具有線寬W1的第一光阻劑圖案25。 ▲第-光阻劑膜包括化學放大光阻劑聚合物,光酸產生 劑和有機溶劑。可拈The invention will be explained in more detail with reference to the drawings. Figs. 2a to 2g are diagrams illustrating a method of forming a fine pattern of a semiconductor member according to the present invention. Figures 2a through 2 are diagrams showing a plan view of one side of the phase of the figure and another line 3 of Figure 2a. Figure σ = 2 Figure 2M 〇 2f is a cross-sectional view illustrating the line 3 from Figure 2a. Fig. 22 A is a plan view showing two patterns having a bridge shape obtained by a photographic process. Fig. 2a shows a base layer η formed on the semiconductor substrate 21. The substrate " 23 includes a conductive layer for the parent to form a bridge pattern. The layer 23 may be a word line, a bit line, a metal line or a combination thereof formed of a wafer or a metal layer. A first photoresist film (not shown) is applied to the base layer 23. An exposure and development process is performed on the photoresist film using an exposure mask to form a first photoresist pattern 25 having a line width W1 in the cell region. ▲ The first photoresist film comprises a chemically amplified photoresist polymer, a photoacid generator and an organic solvent. Cute

T使用任何光阻劑聚合物,例如USPN 8 200814145 6,051,678(2000 年 4 月 18 日),USPN 6,132,926 (2000 年 10 月 17 曰),USPN 6,143,463(2000 年 11 月 7.曰),USPN 6,150,069(2000 年 11 月 21 日),USPN 6,180,316 Bl(2001 年 1 月 30 日),USPN 6,225,020 Bl(2001 年 5 月 1 日),USPN 6,235,448 Bl(2001 年 5 月 22 日),及 USPN 6,235,447 B 1(2001年5月22曰)所揭示者。具體而言,聚合物包括 下列之一 ·聚(l-ϊ哀己炸-1-弟二-丁基竣酸g旨/馬來野/2 -環己 稀-1-醇);聚(1-環己烯-1-第三-丁基魏酸酯/馬來酐/3_環己 烯-1-甲醇);聚(1-環己烯-1-第三-丁基羧酸酯/馬來酐/3_環 己細一曱醉),聚(3 -ί哀己細-1-第三-丁基叛酸醋/馬來 野/2- ί衣己卸-1 -酵),聚(3 - ί哀己炸_ 1 -第二-丁基魏酸g旨/馬來 酐/3-環己烯-1-曱醇);聚(3-環己烯-1-乙氧基丙基羧酸酯/ 馬來酐/3 -環己烯-1 -甲醇);聚(3 -環己烯-1 -第三-丁基魏酸 酯/馬來酐/3-環己烯-1,1-二甲醇);聚(3-(5-雙環[2.2.1]-庚 烯-2-基)-1,1,1-(三氟甲基)丙烷_2_醇/馬來酐/2-甲基-2-金剛 烧基甲基丙稀酸酯/2-經乙基-甲基丙烯酸酯);聚(3-(5-雙環 [2.2.1]、-庚稀-2-基)-1,1,1-(三氟甲基)丙烧-2-醇/馬來針/2-甲 基-2-金剛烷基甲基丙烯酸酯/2-羥乙基曱基丙烯酸酯/亞降 冰片烯);聚(3-(5-雙環[2.2·1]-庚烯-2-基三氟曱基) 丙烷-2-醇/馬來酐/第三-丁基曱基丙烯酸酯/2_羥乙基甲基丙 烯酸酯);聚(第三-丁基雙環[2.2.1]庚-5-烯-2-鲮酸酯/2-經 乙基雙環[2.2.1]庚-5-烯-2·羧酸酯/雙環[2·2_1]庚_5_烯-2-綾 酸/馬來酐/2-羥乙基雙環[2·2·1]庚-5-烯-2-羧酸酯);及聚 (第三-丁基雙環[2·2· 1]庚-5-烯-2-羧酸酯/2-羥乙基雙環 9 200814145 [2,2.1]庚-5_烯_2_羧酸醋/雙環[2.2.1]庚-5-烯-羧酸/馬來針 /2-經乙基雙環[2.2.2]辛峰2,酸醋卜每—個上述化合 物包含的單體每個均具有_美 工土 U改良基板的黏著性和交 性能。T uses any photoresist polymer, such as USPN 8 200814145 6,051,678 (April 18, 2000), USPN 6,132,926 (October 17, 2000), USPN 6,143,463 (November 7, 2000) ), USPN 6,150,069 (November 21, 2000), USPN 6,180,316 Bl (January 30, 2001), USPN 6,225,020 Bl (May 1, 2001), USPN 6,235,448 Bl (May 22, 2001) (Sunday), and USPN 6,235,447 B 1 (May 22, 2001). Specifically, the polymer includes one of the following: poly (l- ϊ 己 己 -1- -1- 弟 弟 弟 弟 / / / 马 马 马 马 马 马 马 马 ; ; ; ; ; ; ; ; ; ; ; ; ; ; -cyclohexene-1-tris-butylweilate/maleic anhydride/3_cyclohexene-1-methanol); poly(1-cyclohexene-1-third-butyl carboxylate/ Maleic anhydride / 3_cyclohexene fine drunk), poly (3 - 哀 己 细 -1- -1- -3- butyl glutamate / Malay wild /2 - ί 衣 卸 -1 - yeast), Poly (3 - 哀 己 _ _ 1 - 2 - butyl wei acid g / maleic anhydride / 3-cyclohexene-1- decyl alcohol); poly (3-cyclohexene-1-ethoxyl) Propyl carboxylate / maleic anhydride / 3 - cyclohexene-1 -methanol); poly(3-cyclohexene-1 -tert-butylferrylate /maleic anhydride / 3-cyclohexene - 1,1-dimethanol); poly(3-(5-bicyclo[2.2.1]-hepten-2-yl)-1,1,1-(trifluoromethyl)propan-2-ol/Malay Anhydride/2-methyl-2-adamantylmethyl acrylate-2-(ethyl-methacrylate); poly(3-(5-bicyclo[2.2.1], -g-lean-2) -yl)-1,1,1-(trifluoromethyl)propan-2-ol/Malay needle/2-methyl-2-adamantyl methacrylate/2-hydroxyethyl methacrylate Ester/norbornene); poly(3-(5) -bicyclo[2.2.1]-hepten-2-yltrifluoromethyl)propan-2-ol/maleic anhydride/tertiary-butyl methacrylate/2_hydroxyethyl methacrylate); Poly(T-butylbicyclo[2.2.1]hept-5-ene-2-nonanoate/2-ethylethylbicyclo[2.2.1]hept-5-ene-2.carboxylate/bicyclo[ 2·2_1]hept-5-ene-2-nonanoic acid/maleic anhydride/2-hydroxyethylbicyclo[2·2·1]hept-5-ene-2-carboxylate); and poly(third -butylbicyclo[2·2·1]hept-5-ene-2-carboxylate/2-hydroxyethylbicyclo 9 200814145 [2,2.1]hept-5-ene-2-carboxylic acid vinegar/bicyclo[ 2.2.1] hept-5-ene-carboxylic acid/malay needle/2-ethyl bicyclo [2.2.2] xinfeng 2, vinegar vinegar, each of the above compounds contains monomers each having _artisan Soil U improves the adhesion and cross-linking properties of the substrate.

可使用任何光酸產生劑以藉由光產生酸。光酸產生劑 可包括下列各物之一:鄰苯二甲醯亞胺基三氟甲烧谱酸 鹽’二石肖基节基甲苯續酸鹽’正-癸基二楓,萘基亞胺基三 鼠甲烧續酸鹽,二苯基硪六氟磷酸鹽,二苯基埃六氣石申酸 鹽:二苯基埃六氟錄酸鹽,二苯基對·甲氧基苯基鏡三敦甲 ,續酸鹽’二苯基對甲苯基疏三氟甲糾酸鹽,二苯基對 異丁基苯基綺三氟甲烧績酸鹽,三苯基六氟坤酸鹽,三苯 基六I録酸鹽,三苯基統三氟甲烧績酸鹽,或二丁基蔡基 綺三氟甲烷石黃酸鹽。 X 1 0 0重里伤的光阻劑聚合物為基礎,光酸產生劑是 T範圍從約O.i到10重量份的數量存在。當光酸產生劑 疋以小於0,1重量份的數量存在時,光阻劑對光的敏感度 變弱。當光酸產生劑是以超過O.i重量份的數量存在時, 光阻劑吸收大量的深紫外線並產生許多酸以獲得具有不利 剖面的圖案。 有機溶劑可包括下列其中之一:二乙二醇二乙醚,3_ 甲氧基丙酸甲酯,3_乙氧基丙酸乙酯,丙二醇甲醚乙酸酯, 環己酮,2-庚_及其混合物。以100重量份的光阻劑聚合 物為基礎,有機溶劑是以範圍從約1〇〇到2〇〇〇重量份的 數量存在’以獲得具有所欲厚度的光阻劑膜。 200814145 圖2b,示塗覆於含第一光阻劑圖案25之所得結構上 的含矽聚合物層27。 含石夕聚合物包括了石夕,在以聚合物和作為可交聯官能 基之環氧基的總重量為基礎下,矽是以範圍從約1〇到4〇 重量%的數量存在。當石夕分子是以小於1〇 ^量%的數量存 ^時,在進行用於使光阻劑圖案25之頂部區域曝光的全 覆式蝕刻(blanket-etching)程序後,在交聯層29上生成數 個孔隙。當石夕分子是以超過4〇重量%的數;存在時,是报 難以均勻塗覆含矽聚合物27到第一光阻劑圖案上。 藉由提供-種含石夕聚合物及溶劑(例如I、〜〜烧類溶 劑或C5〜C10醇)之組成物,旋塗聚合物組成物,再棋烤之, 可獲得含矽聚合物層。具體而言, 夕艰合物包括聚矽氧 心化合物,聚倍半石夕氧烧化合物及其混合物。 房广…7〜Cl°燒類溶劑是選自由下列所組成之群組:Any photoacid generator can be used to generate acid by light. The photoacid generator may include one of the following: o-xylylenediamine-trifluoromethanesulfate; 'Ditrite-based keto-toluate'-n-nonyldifluoride, naphthylimidotrile Rat alkaloids, diphenylphosphonium hexafluorophosphate, diphenyl hexahydrate, acid salt: diphenyl hexafluoroantimonate, diphenyl-p-methoxyphenyl mirror A, a phthalate, a diphenyl-p-tolyl-trifluoromethyl acid salt, a diphenyl-p-isobutylphenyl fluorene trifluoromethane acid salt, a triphenyl hexafluoro Kunate salt, a triphenyl group Hexa acid salt, triphenyl trifluoromethane acid salt, or dibutyl ketone fluorene trifluoromethane. The X 1 0 0 is a photoresist polymer based on heavy damage, and the photoacid generator is present in an amount ranging from about 0.1 to 10 parts by weight. When the photoacid generator 存在 is present in an amount of less than 0,1 parts by weight, the sensitivity of the photoresist to light becomes weak. When the photoacid generator is present in an amount of more than 0.1 part by weight, the photoresist absorbs a large amount of deep ultraviolet rays and generates a plurality of acids to obtain a pattern having an unfavorable cross section. The organic solvent may include one of the following: diethylene glycol diethyl ether, methyl 3-methoxypropionate, ethyl 3-ethoxypropionate, propylene glycol methyl ether acetate, cyclohexanone, 2-glycol _ And mixtures thereof. The organic solvent is present in an amount ranging from about 1 Torr to about 2 Å by weight to obtain a photoresist film having a desired thickness based on 100 parts by weight of the photoresist polymer. 200814145 Figure 2b shows the germanium-containing polymer layer 27 applied to the resulting structure containing the first photoresist pattern 25. The cerium-containing polymer comprises stellite, and the cerium is present in an amount ranging from about 1 Torr to about 4% by weight based on the total weight of the polymer and the epoxy group as the crosslinkable functional group. When the Shixi molecule is stored in an amount of less than 1% by weight, after performing a blanket-etching procedure for exposing the top region of the photoresist pattern 25, in the crosslinked layer 29 Several pores are formed on the surface. When the Shixi molecule is a number of more than 4% by weight; it is reported that it is difficult to uniformly coat the ruthenium-containing polymer 27 onto the first photoresist pattern. By providing a composition containing a ceramyl polymer and a solvent (for example, I, ~~ burning solvent or C5~C10 alcohol), the polymer composition is spin-coated, and then baked to obtain a cerium-containing polymer layer. . Specifically, the complex includes a polyoxyphthalocytic compound, a polysulfide compound, and a mixture thereof. Fang Guang...7~Cl° burning solvent is selected from the group consisting of:

庚貌,辛焼,壬烧,癸餘 W 由T W Β物。C5〜C1D醇類為選自 其混合物。 $庚^,切,壬醇,癸醇及 斤仟、構被曝光亚烘烤’以致於交聯 -先阻劑圖案和含❹合物層之間的界面上。/成於弟 曝光方法是使用範圍從 到心⑽的曝光能量來進行。〇到1〇0—且較佳為40 藉由曝光方法而從弁阳 聚合物層27中的環氧爲:圖案25中生成的酸會使含石夕 的環氧美之束維邱、分開。在烘烤方法中,分開 〜分是和包含在光阻劑聚合物中的經基交 200814145 父知層29的厚度可取決於烘烤條件作調整。 烘烤方法是在約130 μ 200〇c的溫度 士’虽 鱼笙 ϊ W #,具有 〃乐一光阻劑圖案相同寬度和厚度的交聯層29 、 光阻劑圖案和含矽聚合物層之間的界面上。 ,' ^成於 入2影所得結構以去除沒有和光阻劑圖案交聯之含 27。結果,如圖2c所示,交聯層29係 二Geng appearance, Xin Xin, 壬 癸, 癸 W W by T W Β 。. The C5 to C1D alcohol is selected from the group consisting of. $g^, cut, sterol, sterol and 仟, the structure is sub-baked to the extent of cross-linking - at the interface between the first resist pattern and the chelating layer. / Cheng Yudi The exposure method is performed using exposure energy ranging from the heart to the heart (10). 〇1〇0—and preferably 40. The epoxy in the solar polymer layer 27 by the exposure method is: the acid formed in the pattern 25 causes the enamel-containing epoxy beauty bundle to be separated. . In the baking method, the separation is divided into and the base contained in the photoresist polymer. The thickness of the parent layer 29 can be adjusted depending on the baking conditions. The baking method is at a temperature of about 130 μ 200 〇c, although the fish 笙ϊ W #, has a cross-linked layer 29 of the same width and thickness of the 〃-a photoresist pattern, a photoresist pattern and a ruthenium-containing polymer layer. Between the interfaces. , '^ is formed into a 2 image structure to remove the 27 which is not crosslinked with the photoresist pattern. As a result, as shown in FIG. 2c, the crosslinked layer 29 is two.

先阻劑圖案25之側壁上。 ;弟一 在顯影程序中,形成微細圖案於 X ^ I极疋浸清於 正·戊醇中歷時約50到70秒。 又,貝於 圖2d顯示在目2c之所得結構上 以移除;〇;聯昆。 T王復式餘刻程序 *又如層29,直到曝露第—光阻劑 域來獲得結構。 一 之頂部區 _使用含有氟之m刻氣體來進 Τρ: ίη Λ4- Φ ^ a 覆式養虫刻程序。 電4蝕刻氣體包括CF4, chf 及其組合。 3 C2F6 > C3F8 , c4f8 圖2e顯示在移除經曝光之第一 交聯層29徭辦磁…a 阻知彳圖案25以保留 層29後所獲得的所得結構。結果山上 的微細圖宰俦形出於企、” ’由父聯層29組成 口茶係形成於半導體基板21 圖案具有線寬W2(W1>W2)。 早兀區域上。微細On the sidewall of the first resist pattern 25. In the development process, a fine pattern is formed in the X ^ I crucible for about 50 to 70 seconds in n-pentanol. Further, Fig. 2d shows the structure obtained in the object 2c to be removed; 〇; Liankun. T-Wave Residue Procedure * Again as layer 29 until the first photoresist area is exposed to obtain the structure. The top area _ uses the gas containing fluorine to enter Τρ: ίη Λ4- Φ ^ a Overlay entanglement procedure. The electric 4 etching gas includes CF4, chf and a combination thereof. 3 C2F6 > C3F8, c4f8 Fig. 2e shows the resulting structure obtained after removing the exposed first crosslinked layer 29, the magnetic ... a hindrance pattern 25 to retain the layer 29. As a result, the fine figure of the mountain is shaped by the enterprise, and the composition of the parent layer 29 is formed on the semiconductor substrate 21. The pattern has a line width W2 (W1 > W2).

使用利用〇2和N 圖案25。且體而▲你2此口氣體氛園來移除第-光阻劑 八體而吕,使用含有〇2和 (%)十15%:85_99%(較佳為〇 χτ 2且〇2 ··沁之流速 圍來移除第一光阻劑圖索' 2 · 2 = ί0··90)的混合氣體氛 12 200814145 在移除第一光阻劑圖案後,該方法可進—步包括浸潰 晶圓於正.戊醇中歷時約5G到7g秒,以清洗晶圓。 圖2f顯"F塗覆於所得結構上的第二光阻膜3 1。第二 光阻劑可包括用於第一光阻膜的相同物質。 如圖2g所示,在第二本卩赠 一先阻膜M上進行曝光和顯影程 序以在週邊區域(㊆和@ ), 光阻劑圖案3〜 不…區軌形成第二 敝單70區域之遮蔽單元和♦露周邊區域而 2早凡區域的穿透單S之曝光遮罩來進行曝光程序。第二 光阻劑圖案31_1之一側 么 面係、、、口 a至由交聯層29形成之微 、、、田圖木29的一側面上。纟士果,报n 狀構造之圖案。 、、。果$成如圖丨所示之具有橋 栋田^旦了要克服現今由於半導體元件之高積集度所導致之 # =影儀器的限制,使用切的聚合物27的微細圖案29 於槽部分⑷上,且第二光阻劑圖案係形成於除了單 ==以外的區域(b)和⑷上。使用單元區域⑷之微細 顯(b)和⑷之第二光阻劑圖案31純刻基底層 铁、果基底層圖案(未顯示)是以橋狀形式被交 曰配置,以克服微影程序的限制。 =明之具體態樣中,用於形成半導體元件之微細 圖木之方法可進行圖2afJ2e之程序至少兩次。 於含=ΓΓ硬遮罩膜(未顯示)之無晶碳層係形成 基底層之半導體基板上。第一光阻劑圖案係形成於 〜膜之早70區域上。第一交聯層係形成於第-光阻劑 13 200814145 圖案之側壁卜。# , ^ 上弟一光阻劑圖案然後被移除,以形成含第 一父聯層之第一微細圖案。 吏用第#細圖案作為韻刻遮罩來使硬遮罩膜被圖型 化,以形成硬遮罩膜圖案。 第一光阻劑圖案係形成於硬遮罩膜 於第二光阻劑圖案之側壁上。然後,移除第一: _ 一 /成3有弟二交聯層之第二微細圖案。與 弟二微細圖案和硬遮罩圖荦 ^ 於半導體μ間一之弟二先阻劑圖案係形成 、牛V體基板之週邊區域,而非單元區域上。 使料有《區域(其巾硬料料制第三光阻劑圖 图及具遮蔽區域(其中第二微細圖案係連結到第三光阻劑 2)之曝光遮罩或藉由位移曝光遮罩(其中連接部位之一 子遮敝)來形成第三光阻劑圖案。 使用更遮罩圖案’第二微細圖案和第三光阻劑圖案作 為餘刻遮罩來使基底層圖型化,以形成基底層圖案。” 本發明前述具體態樣係說明用而非限制性。可能有各 h同改變和等效物。本發明不被此處所述的微影步驟所 艮制。本發明也不限於任何特定形式的半導體元件。例如, 發明可以在動悲隨機存取記憶體(DRAM)裝置中戋在非 :發性記憶體裝置中進行。由本揭示内容觀點,其他添加、 :去或改變是顯而易知,且意圖在所附申請專利範圍之 奪内。 祀 【圖式簡單說明】 200814145 圖1為說明用於形成半導體元件之微細圖 平面圖式。 圖2a到2g是說明根據本發明之具體態樣 體凡件之微細圖案之方法的剖面和平面圖式。Use the 〇2 and N patterns 25 to use. And body ▲ you 2 this mouth gas atmosphere to remove the first - photoresist eight body and Lu, use 〇 2 and (%) ten 15%: 85_99% (preferably 〇χτ 2 and 〇 2 · · The flow rate of the crucible is removed to remove the first photoresist pattern '2 · 2 = ί0 · · 90) mixed gas atmosphere 12 200814145 After removing the first photoresist pattern, the method can further include impregnation The wafer was held in n-pentanol for about 5G to 7g seconds to clean the wafer. Figure 2f shows "F applied to the second photoresist film 31 on the resulting structure. The second photoresist may comprise the same material used for the first photoresist film. As shown in FIG. 2g, an exposure and development process is performed on the second film of a first resist film M to form a second region 70 in the peripheral region (seven and @), the photoresist pattern 3 to the ... The shielding unit and the exposed peripheral area and the exposure mask of the single area S through the single S are used for the exposure process. One side of the second photoresist pattern 31_1 is on the side, and the port a is formed on the side surface of the micro- and/or the cross-linked layer 29. Gentleman fruit, reported the pattern of the n-shaped structure. ,,. If the $ is as shown in Fig. 具有, it is necessary to overcome the limitation of the current device due to the high degree of integration of the semiconductor components, and the fine pattern 29 of the cut polymer 27 is used in the groove portion. (4) Upper, and the second photoresist pattern is formed on regions (b) and (4) other than single ==. Using the second photoresist pattern 31 of the fine display (b) and (4) of the cell region (4), the base layer iron and the fruit base layer pattern (not shown) are arranged in a bridge form to overcome the lithography process. limit. In the specific aspect of the description, the method for forming the fine wood of the semiconductor element can be performed at least twice by the procedure of Fig. 2afJ2e. The amorphous carbon layer containing a hard mask film (not shown) is formed on the semiconductor substrate on which the underlayer is formed. The first photoresist pattern is formed on the early 70 region of the film. The first crosslinked layer is formed on the sidewall of the pattern of the first photoresist 10 200814145. # , ^ The upper-one photoresist pattern is then removed to form the first fine pattern containing the first parent layer. Using the #th fine pattern as a rhyme mask, the hard mask film is patterned to form a hard mask film pattern. The first photoresist pattern is formed on the sidewall of the second photoresist pattern on the hard mask film. Then, the first: _ 1 / 3 has a second fine pattern of the second cross-linking layer. The second fine pattern and the hard mask pattern 与 ^ between the semiconductor μ and the second resistive pattern are formed on the peripheral region of the bovine V-body substrate, not the unit region. The material has an area (the third photoresist pattern of the towel hard material and the exposure mask with the shadow area (where the second fine pattern is attached to the third photoresist 2) or by the displacement exposure mask (where one of the connection sites is concealed) to form a third photoresist pattern. The base layer is patterned using a more mask pattern 'the second fine pattern and the third photoresist pattern as a residual mask to The substrate layer pattern is formed. The foregoing specific aspects of the invention are intended to be illustrative and not restrictive. The invention may be modified and equivalent. The invention is not limited by the lithographic steps described herein. It is not limited to any particular form of semiconductor component. For example, the invention can be performed in a non-volatile memory device in a singular random access memory (DRAM) device. From the perspective of this disclosure, other additions, changes or changes are made. It is obvious and is intended to be within the scope of the appended claims. 祀 [Simple Description of the Drawings] 200814145 Figure 1 is a plan view showing a fine pattern for forming a semiconductor element. Figures 2a to 2g are diagrams illustrating the present invention. Specific aspect A cross-sectional and plan view of a method of finely patterning a body.

案之方法的 的形成半導 【主要元件符號說明】 11:第一光阻劑圖案 13:第二光阻劑圖案 21:半導體元件 23:基底層 25:第一光阻劑圖案 27:含矽聚合物 29:交聯層 31:第二光阻劑圖案 31:第二光阻劑圖案Forming semi-conductive method of the method [main element symbol description] 11: first photoresist pattern 13: second photoresist pattern 21: semiconductor element 23: base layer 25: first photoresist pattern 27: containing germanium Polymer 29: Crosslinked layer 31: Second photoresist pattern 31: Second photoresist pattern

1515

Claims (2)

200814145 十、申請專利範圓: 1. 一種用於形成半導體元件之微細圖案之方法,該方 法包括: 一形成第一光阻劑圖案於含有基底層之半導體基板的單 元區域(cell regi〇n)上; 形成父聯層於第一光阻劑圖案之側壁上; 移除第一光阻劑圖案以在單元區域上形成含有交聯層 之微細圖案; 形成與微細圖幸社人夕榮_ 土 十丨 口杀、、、口 σ之弟一光阻劑圖案,其中第二光 阻劑圖案係形成於丰墓 乂、千V體基板之週邊區域上,但並非單元 區域上;及 使用微細圖案及第二光阻劑圖案作為钱刻遮罩來使基 底層圖型化。 2.如申請專利_ i項之方法,其中基底層為選自 由字兀m線,金屬線和其組合所組成之群組中。 3·如申請專利範圍第 ^ ^ y ^200814145 X. Patent application: 1. A method for forming a fine pattern of a semiconductor device, the method comprising: forming a first photoresist pattern on a cell region of a semiconductor substrate including a substrate layer (cell regi〇n) Forming a parent layer on the sidewall of the first photoresist pattern; removing the first photoresist pattern to form a fine pattern containing the crosslinked layer on the cell region; forming and micrographs The photoresist pattern of the 丨 丨 、 , , , , , , , , , , , , , , , , , , , , , , , , , 第二 σ σ σ σ σ σ σ σ σ σ σ σ σ σ σ σ σ σ σ σ σ σ σ σ σ σ σ σ σ σ σ And the second photoresist pattern is used as a money mask to pattern the base layer. 2. The method of claim 1, wherein the substrate layer is selected from the group consisting of a word line, a metal line, and a combination thereof. 3. If the patent application scope is ^ ^ y ^ 貝之方去,其中形成交聯層包 括: 物; 提供一種含有含矽聚合物和有 機溶劑之聚合物組成 塗覆聚合物組成物於第 形成含矽聚合物層; 光阻劑圖案和基底層上 以 光阻劑 •,及 對含石夕聚合物層進形曝光和洪烤程序以在第 圖案和切聚合物層之間的界面上形成交聯声; 移除沒有涉及交聯層生成之殘餘含石夕聚合物) 200814145 m 王後式蝕刻(blanket-etching)交聯層,直到曝露第一 阻劑圖案之上部區域。 〃弟光 4·如申請專利範圍第3^ ^ , 弟項之方法,其中有機溶劑包括The method of forming a crosslinked layer comprises: providing a polymer composition comprising a cerium-containing polymer and an organic solvent to form a polymer composition for forming a cerium-containing polymer layer; a photoresist pattern and a substrate layer a photoresist and a paste exposure process and a bake process to form a crosslinked sound at the interface between the first pattern and the cut polymer layer; the removal does not involve the formation of a crosslinked layer Residual inclusion of stellite polymer) 200814145 m Blank-etching of the crosslinked layer until the upper region of the first resist pattern is exposed. 〃弟光4·If you apply for patent scope 3^^, the method of the younger brother, in which the organic solvent includes 7-Cig烷類溶劑及c5_CiG醇。 自2如中請專利範圍第4項之方法,其中烧類溶劑是選 由庚燒,辛垸,壬烧,癸烧及其混合物所組成之心且。 6.如中請專利範圍第4項之方法,其中醇類為選自由 • ”庚醇,辛醇,壬醇,癸醇及其混合物所組成之群组。 括了矽,/、人 方法’其中含石夕聚合物包 從約w至“〇重量%的範圍。 礎下,石夕之數量是 8·如申請專利範圍第3項之方法,盆中入 括可交聯官能基。 -中a夕來合物包 9.如申請專利範圍第3項之 甘士 為環氧基。 、 A,八中可交聯官能基 鲁 10·如申請專利範圍第3項之 聚矽氧烷化合物,聚倍半 ’ /、3矽聚合物為 干7虱烷化合物及其混合物。 h如申請專利範圍第3 能使交护Μ > r由 方法,其中烘烤程序是在 又耳外層之厚度均勻的溫度下進行。 疋在 12·如申請專利範圍第u 在咖到咖。。之溫度下進行。方法,其中供烤程序是 U·如申請專利範圍第3項之 聯層的程序是使用含有氟之 、中全覆式蝕刻交 刻虱體來進行的。 14.如申請專利範圍第n項之方法 /、中Μ刻氣體是 17 200814145 選自由 , r V 2 6 3 8 ’ C4F8及其組合所組成之 君羊組中。 15.如申請專利範圍第 ..„ R ^ 員之方法,其中使用含有氧氣 和氣氣且〇2 : Ν’夕、、六、由也1 a 桃逮為1_15% : 85-99。/。的混合物蝕刻 氣體來進行第一光阻劑圖案的移除。 16 ·如申請專利範圚篆 固弟1項之方法,其進一步在移除光 阻劑圖案後,浸潰晶圓於正·戊醇溶液中。 于先7-Cig alkane solvent and c5_CiG alcohol. The method of claim 4, wherein the burning solvent is selected from the group consisting of gargene, octopus, simmering, simmering and mixtures thereof. 6. The method of claim 4, wherein the alcohol is selected from the group consisting of: "heptanol, octanol, decyl alcohol, decyl alcohol, and mixtures thereof. 矽, /, human method' It contains a range of from about w to "% by weight". Under the circumstance, the number of Shi Xizhi is 8. The method of claim 3, the cross-linkable functional group is included in the pot. - 中a 来 来 包 9. 9. As for the third item of the patent application, the gans is an epoxy group. , A, octagonal crosslinkable functional group Lu 10 · As described in the third paragraph of the patented polyoxane compound, the poly 1/2 ', 3 矽 polymer is a dry 7 decane compound and a mixture thereof. h, as claimed in claim 3, can be used to carry out the method of 交 > r, in which the baking procedure is carried out at a temperature at which the thickness of the outer layer of the ear is uniform.疋在12· If you apply for a patent, u will be in the coffee. . The temperature is carried out. The method in which the baking process is U. The process of joining the third layer of the patent application is carried out using a fluorine-containing, medium-overlap etching etching body. 14. The method of applying the patent scope item n /, the medium engraving gas is 17 200814145 free, r V 2 6 3 8 ' C4F8 and its combination of the Jun sheep group. 15. For example, the method of applying for the patent scope: .. R ^ member, which uses oxygen and gas, and 〇 2 : Ν ' 夕, 、, 、, also 1 a 5% of the peach caught 1_15%: 85-99. The mixture etch gas to remove the first photoresist pattern. 16 · As claimed in the patent specification, the method further comprises: after removing the photoresist pattern, immersing the wafer in n-pentanol In solution #用於形成半導體元件之微細圖案之方法,該方 法包括·· 形成硬遮罩膜於含有基底層之半導體基板上; 形成f一光阻劑圖案於硬遮罩膜之單元區域上; 幵:成第-父聯層於第一光阻劑圖案之側壁上; ”第《阻劑圖案’以形成含有第一 微細圖案; ”曰心弟 化; 使用第微細圖案作為韻刻遮罩來使硬遮罩膜圖型 在硬遮罩膜之間形成第二光阻劑圖案; 形成第二交聯層於第二光阻劑圖案之側壁上; 移除第三光阻劑圖案,以形成含有第二交聯 微細圖案; 昂一 在迥化區域上形成與第二微細圖案和第三硬遮罩 之弟二光阻劑圖案;及 -、 使用硬遮罩圖案,第二微细圄宏 為蝕刻^ ^ 弟二光阻劑圖案作 ,、、、罩來使基底層圖型化,以形成基底層圖案。 18 200814145 18. 如申請專利範圍第i7 括無晶碳層。 19. 如申請專利範圍第i 7 項之方法,其中 硬遮罩 膜包 項之方法,其中 形成第一交 物; 石夕聚合物和有機溶劑之聚合物 塗覆聚合物組成物於第一 聯層包括: 提供一種含有含 以形成第一含矽聚合物層; 紐成 阻劑圖案和硬遮罩膜上 對第一含矽聚合物層進形暖 光阻劑圖案和第一含矽聚合物層、、烤程序,以在第 之間的界面上 形成交 聯 移除沒有涉及交聯層峰 全产…- 成之殘餘含矽聚合物層.月 王覆式蝕刻弟一交聯層,— a ,及 上部區域。 20·如申請專利範圍第 聯層包括: 庶沾- *路弟一光阻劑圖索之 其中形成第 17項之方法, 交 提供一種含有含矽聚 物; 物和有機溶劑之聚合物組成 塗覆聚合物組成物於筮一 以形成第二含矽聚合物層;-㈣圖案和硬遮罩膜上, 光阻劑圖案和第二含矽取/暴光和烘烤程序,以在第 對弟一含碎聚合物層進带 層; 聚合物層之間的界面上形成交聯 移除沒有涉及交聯層生 生成之殘餘含矽聚合物層;及 19 200814145 m 全覆式蝕刻第二交聯層,直到曝露第二光阻劑圖案之 上部區域。 Η•一、國式: 如次頁a method for forming a fine pattern of a semiconductor element, the method comprising: forming a hard mask film on a semiconductor substrate including a base layer; forming a photoresist pattern on the unit region of the hard mask film; Forming the first-parent layer on the sidewall of the first photoresist pattern; "the "resist pattern" to form the first fine pattern; "the heart is smothered; using the fine pattern as a rhyme mask to make the hard The mask film pattern forms a second photoresist pattern between the hard mask films; forming a second crosslinked layer on the sidewall of the second photoresist pattern; removing the third photoresist pattern to form the first a two-crosslinked fine pattern; Ang is formed on the deuterated region with a second fine pattern and a third hard mask of the second photoresist pattern; and -, using a hard mask pattern, the second fine macro is etched ^ ^ The second photoresist pattern is made up of , , and a cover to pattern the base layer to form a base layer pattern. 18 200814145 18. If the scope of patent application is i7, the amorphous carbon layer is included. 19. The method of claim i, wherein the method of the hard mask film comprises forming a first cross; the polymer coating of the polymer and the organic solvent is in the first The layer includes: providing a first ytterbium-containing polymer layer to form a first cerium-containing polymer layer; a neodymium resist pattern and a hard mask film on the first cerium-containing polymer layer to form a warm photoresist pattern and the first cerium-containing polymer Layer, baking process, to form a cross-linking at the interface between the first and the cross-linking layer does not involve the full production of the cross-linking layer ... - into the residual yttrium-containing polymer layer. Moon King-covered etching a cross-linking layer, a , and the upper area. 20. The scope of the patent application includes: 庶 - - * 路 一 光 光 光 之 之 之 之 之 之 之 之 * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * The polymer composition is formed on the first layer to form a second germanium containing polymer layer; the (iv) pattern and the hard mask film, the photoresist pattern and the second containing extraction/exposure and baking process are used in the first pair a layer containing a broken polymer layer; a cross-linking at the interface between the polymer layers to remove residual ruthenium-containing polymer layer which does not involve cross-linking; and 19 200814145 m full-cover etching second cross-linking The layer is exposed until the upper region of the second photoresist pattern is exposed. Η•1, country style: as the next page 2020
TW096125545A 2006-09-12 2007-07-13 Method for forming a fine pattern of a semiconduct TWI353626B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR20060087854 2006-09-12
KR1020070064136A KR100861172B1 (en) 2006-09-12 2007-06-28 Method for Forming Fine Patterns of Semiconductor Devices

Publications (2)

Publication Number Publication Date
TW200814145A true TW200814145A (en) 2008-03-16
TWI353626B TWI353626B (en) 2011-12-01

Family

ID=39207929

Family Applications (1)

Application Number Title Priority Date Filing Date
TW096125545A TWI353626B (en) 2006-09-12 2007-07-13 Method for forming a fine pattern of a semiconduct

Country Status (3)

Country Link
KR (1) KR100861172B1 (en)
CN (1) CN101145514B (en)
TW (1) TWI353626B (en)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101439394B1 (en) 2008-05-02 2014-09-15 삼성전자주식회사 Method for forming fine patterns by double patterning process using acid diffusion
KR100953036B1 (en) * 2008-05-26 2010-04-14 주식회사 하이닉스반도체 Method for forming fine patterns of a semiconductor device
CN101685765B (en) * 2008-09-25 2011-04-20 南亚科技股份有限公司 Method for manufacturing element pattern
CN102265221B (en) * 2008-12-26 2014-03-19 富士通株式会社 Method for forming pattern, method for manufacturing semiconductor device, and material for forming coating layer of resist pattern
CN102023476B (en) * 2009-09-15 2013-06-12 中芯国际集成电路制造(上海)有限公司 Semiconductor photoetching process method for forming micro-sized structure
JP5871720B2 (en) * 2011-06-16 2016-03-01 株式会社ダイセル Solvent for printing or solvent composition
CN104425225A (en) * 2013-09-04 2015-03-18 中芯国际集成电路制造(上海)有限公司 Forming method for triple graphs
KR102377568B1 (en) * 2015-11-27 2022-03-22 에스케이하이닉스 주식회사 Method for forming fine pattern including line portion and pad portion

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR0140485B1 (en) * 1994-12-27 1998-07-15 김주용 A method manufacturing fine pattern of semiconductor device
JP3924910B2 (en) 1998-03-31 2007-06-06 三菱電機株式会社 Manufacturing method of semiconductor device
JP2004179254A (en) * 2002-11-25 2004-06-24 Renesas Technology Corp Method for manufacturing semiconductor device

Also Published As

Publication number Publication date
KR20080024054A (en) 2008-03-17
CN101145514A (en) 2008-03-19
TWI353626B (en) 2011-12-01
CN101145514B (en) 2011-07-06
KR100861172B1 (en) 2008-09-30

Similar Documents

Publication Publication Date Title
TW200814146A (en) Method for forming a fine pattern of a semiconductor device
TW200814145A (en) Method for forming a fine pattern of a semiconductor device
TWI344672B (en) Method of lithography patterning
US7790357B2 (en) Method of forming fine pattern of semiconductor device
US7959818B2 (en) Method for forming a fine pattern of a semiconductor device
TWI268950B (en) Antireflective SiO-containing compositions for hardmask layer
JP5232155B2 (en) Method for manufacturing photolithographic structure having hard mask trimmed with developer
TWI362689B (en) Method for forming fine pattern of semiconductor device
TW200815920A (en) Photoresist
JP3440122B2 (en) Antireflection film and method of manufacturing semiconductor device using the same
CN101971102B (en) On-track process for patterning hardmask by multiple dark field exposures
TWI364781B (en) Method for forming fine pattern of semiconductor device
JP2951504B2 (en) Silylated flattening resist, flattening method, and integrated circuit device manufacturing method
JP2008524382A (en) Low refractive index polymer as the base layer for silicon-containing photoresists
WO2011065207A1 (en) Radiation-sensitive composition and method for forming resist pattern
CN106226998A (en) Photoetching method
TW201030805A (en) Methods of forming a masking pattern for integrated circuits
TWI297711B (en) Anti-reflective coatings and dual damascene fill compositions comprising styrene-allyl alcohol copolymers
JP7445583B2 (en) Resist underlayer film material, pattern forming method, and resist underlayer film forming method
JP4870622B2 (en) Fluorinated half ester of maleic anhydride polymer for top antireflection dry coating application at 193nm wavelength light
JP2002198283A (en) Resist pattern formation method
TWI324793B (en) Method for forming fine pattern of semiconductor device
JP3994270B2 (en) Method for forming antireflection film
KR101037528B1 (en) Method for Forming Pattern of Semiconductor Device
JP2001174983A (en) Photoresist composition for tips step

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees