TW200540937A - Wafer heater assembly - Google Patents

Wafer heater assembly Download PDF

Info

Publication number
TW200540937A
TW200540937A TW094110009A TW94110009A TW200540937A TW 200540937 A TW200540937 A TW 200540937A TW 094110009 A TW094110009 A TW 094110009A TW 94110009 A TW94110009 A TW 94110009A TW 200540937 A TW200540937 A TW 200540937A
Authority
TW
Taiwan
Prior art keywords
wafer
heating
carbon
heater
tube
Prior art date
Application number
TW094110009A
Other languages
Chinese (zh)
Other versions
TWI305656B (en
Inventor
David L O'meara
Gerrit J Leusink
Stephen H Cabral
Anthony Dip
Cory Wajda
Raymand Joe
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW200540937A publication Critical patent/TW200540937A/en
Application granted granted Critical
Publication of TWI305656B publication Critical patent/TWI305656B/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/2001Maintaining constant desired temperature

Abstract

A wafer heating assembly is described having a unique heater element for use in a single wafer processing systems. The heating unit includes a carbon wire element encased in a quartz sheath. The heating unit is as contamination-free as the quartz, which permits direct contact to the wafer. The mechanical flexibility of the carbon 'wire' or 'braided' structure permits a coil configuration, which permits independent heater zone control across the wafer. The multiple independent heater zones across the wafer can permit temperature gradients to adjust film growth/deposition uniformity and rapid thermal adjustments with film uniformity superior to conventional single wafer systems and with minimum to no wafer warping. The low thermal mass permits a fast thermal response that enables a pulsed or digital thermal process that results in layer-by-layer film formation for improved thin film control.

Description

200540937 九、發明說明: 【發明所屬之技術領域】 係關於—基板支座’尤其是關於—具有低孰質量以及 快速反應㈣之基板支座中的單晶κ加熱器 … 【先前技術】 、 =:便降低成本。為了提供較佳的產出== 声中’一基板支座可用來控制晶圓/基板的溫 Ξ:自使單一晶圓加熱系統所產生的問 之來自70件的金屬污染;有限之熱獨立區,(受限於加埶哭 ^熱應^破裂的考量);因邊緣缺熱的影響而導致均勻性°的 ^ ^的熱質量而導致有限的熱回應時間;由於晶圓上過度—’ 之熱梯度而導致形成晶圓扭曲以及滑動的缺點。隨著 Ξϊΐϊ型變小,吾人便需要—較佳之單—晶圓加熱器以改善 製柱性能。 士因此,吾人便需要一具有更均勻之加熱特性以及更快之反應 時間的加熱器。 ^ 【發明内容】 本發明係關於一種裝置,用以控制位於處理室中之基板支座 上之晶圓/基板之溫度,以及其控制方法。 本發明提供一基板支座,其包含一具有更一致之加熱特性以 及更快之反應時間之加熱單元。根據本發明之一實施態樣,乃發 f出一?特之加熱器元件,其包含一以石英披覆之高純度碳線: 该加熱裔元件乃設計成可發射出類似傳統金屬線加熱元件之電磁 輻射,且該加熱器元件具有低熱質量以加速熱傳導。 改良式單一晶圓加熱器的配置以及對於前述加熱器元件的典 5 200540937 型污杂源的 >肖除均可擴大製程應 溫旋轉塗佈玻璃砀介fςηΓ 靶w新應用的耗圍可從低 anneals)。低埶質量以及快高速高溫尖峰退火(_e 層層生長機制的反應而提 【實施方式】 恳理方式,俾強化薄膜生成的控制。 供-改良之支座,1包含—之—實施例中,係提 加熱器元件。^3 〃扣石碰覆之高純度碳線的獨特200540937 IX. Description of the invention: [Technical field to which the invention belongs] It is about—substrate supports', especially about—single-crystal κ heaters in substrate supports with low 孰 mass and fast response… [prior art], = : Reduce costs. In order to provide better output == 'A substrate holder can be used to control the temperature of the wafer / substrate: since the single wafer heating system generates metal pollution from 70 pieces; limited thermal independence Area, (limited by the consideration of the increase in thermal stress, thermal stress, and cracking); the thermal mass of the thermal uniformity of ^ ^ caused by the lack of heat on the edge results in a limited thermal response time; due to excessive on-wafer- ' The thermal gradient causes the defects of wafer distortion and sliding. As the size becomes smaller, we need—a better option—wafer heaters to improve column performance. Therefore, we need a heater with more uniform heating characteristics and faster response time. ^ [Summary of the Invention] The present invention relates to a device for controlling the temperature of a wafer / substrate on a substrate support in a processing chamber, and a control method thereof. The present invention provides a substrate support that includes a heating unit with more consistent heating characteristics and faster response time. According to one embodiment of the present invention, is f? A special heater element including a high-purity carbon wire covered with quartz: The heating element is designed to emit electromagnetic radiation similar to a traditional metal wire heating element, and the heater element has a low thermal mass to accelerate heat conduction . The configuration of the improved single-wafer heater and the above-mentioned heater element can be extended by applying the 200540937-type contamination source > Shaw to expand the process temperature. Spin-coated glass substrates can be used for new applications. Low annexes). Low 埶 quality and high-speed high-temperature high-temperature spike annealing (_e layer-by-layer growth mechanism response [Embodiment] method, 恳 strengthen the control of thin film formation. Supply-improved support, 1 contains-of-in the embodiment, The heater element is lifted. ^ 3 The uniqueness of high-purity carbon wire covered by sapphire

^顯示-解說性方塊示意圖,顯示根據本發明之—實施例中 理3。例如:處理系、龍G可包含—例如賴侧機的餘 巧、、先。或者,處理系統100也可包含一抗光刻塗佈系統、一圖案 化系統、-娜彡統以及/或其組合。在其他實施射,處理系統 忉〇>可包含例如一快速熱處理(RTP)系統、一塗佈系統、一化學 蒸氣沈積(CVD)系統、一物理蒸氣沈積(pVD、ipvD)系統、一 原子層沈積(ALD)系統以及/或其組合之熱處理系統。 處理系統100可包含控制處理室壁溫度之元件。如圖,一壁溫 控制元件166係麵合至一壁溫控制單元ΐβ〇上,而壁溫控制元件Mg 可耦合至處理室110中。溫度控制元件可包含一加熱器元件以及/ 或一冷卻元件。例如,加熱器元件可包含一電阻式加熱器或一碳 加熱器元件。處理室110之溫度可利用例如熱電偶(例如一^型熱 電偶、Pt感測器等等)之溫度感應裝置來監控。此外,控制器可 將溫度測量值反饋至壁溫控制單元160,以便控制處理室no之溫 度0 此外,處理系統100更包含一耦合至處理室Π0之壓力控制系 統150以控制處理室110中的壓力。壓力控制系統15〇可包含一真空 泵152以及一閘閥154以控制處理室壓力以及一壓力感測器(未顯 示)。例如,真空泵152可包含一可每秒抽吸速度高達5〇〇〇公升(或 更多)的渦輪分子真空泵(TMP)。該TMP可以是一SeikoSTP-A803 6 200540937 真空泵或是一Ebara ET1301W真空泵。TMPs對於低壓處理,尤其是 低於50 mTorr特別有用。對於高壓(也就是說高於1〇〇 mTorr)或 低產出處理(也就是沒有氣體流動),則可使用機械式增壓泵以及 乾式初步泵。雖然壓力控制系統15〇乃顯示耦合於處理室11〇的底 部,但這並非必須。在另一實施例中,壓力控制系統150可耦合至 處理室110的頂部以及/或其側面。且,一控制器可將一壓力測量 值反饋至壓力控制系統150以控制處理室壓力。 例如,處理室110可促成於鄰接於基板145之處理空間112中處 理電漿的形成。或者,處理室11〇亦可促成鄰接於基板145之處理 - 空間1丨2中處理氣體的形成。處理系統1〇〇可用於處理2〇〇 mm基板、 _ 300麵基板或更大的基板。在另一實施例中,處理系統1〇〇也可包 含夕個處理至,且该處理糸統亦可在一或多個處理室中產生電聚 而運作。 處理系統100可更包含一耦合至處理室110之上部組件12〇。例 如,上部組件120可包含一耦合至一氣體分配系統17〇之氣體分配 板175 ’以便將一處理氣體引進處理室no中之處理空間η?。氣體 分配板175更可包含複數之孔洞(未顯示),用以自氣體分配系統 170配送一或多個氣體至處理室11〇之處理空間112中。該處理氣體 了包δ祖3、HF、H2、〇2、CO、C〇2、Ar、He或N2至少其中之一。此處 •所用之「A、B、C··或x至少其中之一」表示包含所列元件之任何 .一,,或是包含一個以上所列元件之任意組合。例如,在聚化物 或氛化物製程中,處理氣體可包含DCS、TCS、SiH4、Si2H6、HCD或 NH3至少其中之一;在一CVD氧化物製程中,處理氣體則可包含TE〇s 或BTBAS至少其中之一;在一ALD製程中,處理氣體則可包含H2〇、 TMA、HTB、NO或他0至少其中之一;而在一金屬cvd製程中,處理氣 體則可包含鶴毅基、鍊魏基或Taimata (商標)至少其中之一。 此外,上部組件120之構成可執行下列功能至少其中之一:提 供一電容耦合電漿(ccp)源、提供一電感耦合電漿、(icp)源、 挺供麦壓器搞合電漿(TCP)源、提供一微波功率電漿來源、提 7 200540937 供一電子迴旋加速器共振(ECR)電漿源、提供一赫利孔波(Helicon wave)電漿源或提供一表面波電漿源。 例如’上部組件12〇可包含rf構件(未顯示)以及/或電磁系 統構件(未顯示)。此外,上部組件12〇包含供應線、喷射裝置以 及/或其他氣體供應系統構件(未顯示)。且,上部組件12〇可包含 一殼體、一蓋、密封裝置以及/或其他機械構件(未顯示)。^ Display-illustrative block diagram showing the third embodiment according to the present invention. For example: the processing system, Dragon G can include-such as the left side machine's leisure, first. Alternatively, the processing system 100 may include an anti-lithographic coating system, a patterning system, a nano-system, and / or a combination thereof. In other implementations, the processing system may include, for example, a rapid thermal processing (RTP) system, a coating system, a chemical vapor deposition (CVD) system, a physical vapor deposition (pVD, ipvD) system, and an atomic layer Deposition (ALD) systems and / or combinations thereof. The processing system 100 may include elements that control the temperature of the wall of the processing chamber. As shown in the figure, a wall temperature control element 166 is planarly connected to a wall temperature control unit ΐβ〇, and the wall temperature control element Mg can be coupled to the processing chamber 110. The temperature control element may include a heater element and / or a cooling element. For example, the heater element may include a resistive heater or a carbon heater element. The temperature of the processing chamber 110 can be monitored using a temperature sensing device such as a thermocouple (such as a thermocouple, a Pt sensor, etc.). In addition, the controller may feedback the temperature measurement value to the wall temperature control unit 160 so as to control the temperature of the processing chamber no. In addition, the processing system 100 further includes a pressure control system 150 coupled to the processing chamber Π0 to control the temperature in the processing chamber 110. pressure. The pressure control system 150 may include a vacuum pump 152 and a gate valve 154 to control the process chamber pressure and a pressure sensor (not shown). For example, the vacuum pump 152 may include a turbo molecular vacuum pump (TMP) that can pump up to 5000 liters (or more) per second. The TMP can be a SeikoSTP-A803 6 200540937 vacuum pump or an Ebara ET1301W vacuum pump. TMPs are particularly useful for low pressure processing, especially below 50 mTorr. For high pressure (that is, higher than 100 mTorr) or low-throughput processing (that is, no gas flow), mechanical booster pumps as well as dry preliminary pumps can be used. Although the pressure control system 150 is shown coupled to the bottom of the processing chamber 110, this is not required. In another embodiment, the pressure control system 150 may be coupled to the top and / or sides of the processing chamber 110. Moreover, a controller may feed back a pressure measurement value to the pressure control system 150 to control the pressure in the processing chamber. For example, the processing chamber 110 may facilitate the formation of a processing plasma in a processing space 112 adjacent to the substrate 145. Alternatively, the processing chamber 110 may facilitate the formation of a processing gas in the processing-space 1 丨 2 adjacent to the substrate 145. The processing system 100 can be used to process 200 mm substrates, 300 substrates or larger substrates. In another embodiment, the processing system 100 may also include a plurality of processing units, and the processing system may also be operated by generating electricity in one or more processing chambers. The processing system 100 may further include an upper component 120 coupled to the processing chamber 110. For example, the upper assembly 120 may include a gas distribution plate 175 'coupled to a gas distribution system 170 to introduce a processing gas into a processing space η? In the processing chamber no. The gas distribution plate 175 may further include a plurality of holes (not shown) for distributing one or more gases from the gas distribution system 170 to the processing space 112 of the processing chamber 110. The processing gas includes at least one of δ zu 3, HF, H2, 02, CO, Co2, Ar, He, or N2. Here, "at least one of A, B, C, or x" is used to mean any .1 or any combination of more than one of the listed elements. For example, in a polymer or atmosphere process, the process gas may include at least one of DCS, TCS, SiH4, Si2H6, HCD, or NH3; in a CVD oxide process, the process gas may include TE0s or BTBAS at least One of them; in an ALD process, the processing gas may include at least one of H2O, TMA, HTB, NO, or other 0; and in a metal cvd process, the processing gas may include He Yiji and Lian Wei Base or Taimata (trademark) at least one of them. In addition, the structure of the upper component 120 can perform at least one of the following functions: providing a capacitively coupled plasma (ccp) source, providing an inductively coupled plasma, (icp) source, and supporting a plasma pressure transformer (TCP ) Source, providing a microwave power plasma source, providing an electron cyclotron resonance (ECR) plasma source, providing a Helicon wave plasma source, or providing a surface wave plasma source. For example, the 'upper component 120' may include rf components (not shown) and / or electromagnetic system components (not shown). In addition, the upper assembly 120 includes a supply line, an injection device, and / or other gas supply system components (not shown). And, the upper component 120 may include a housing, a cover, a sealing device, and / or other mechanical components (not shown).

一在另一實施例中,處理室11〇可例如更包含一處理室襯管(未 顯示)或處理管以保護處理室11〇遠離處理空間112中的處理電 漿。此外,處理室110可包含一監控埠(未顯示)。例如,一監控 璋可容許處理空間112之光學監控。 基板145可例如透過由閘閥組件190控制之開口 194而傳送進 士處理至11〇。此外’基板145可利用一機械基板傳送系統(未顯 示)而被傳送上下該基板支座。此外,基板145可由裝設於基板支 座140内的升降梢(未顯示)接收而由裝設於其中之裝置進行機械 式傳送。一旦基板145由基板傳送系統被接收到之後,便可降低至 基板支座140之一上表面。 、基板145可透過一靜電夾持系統而固定至基板支座14〇上,但 被動晶圓限制通常是足夠的。且,基板支座也可包含一冷卻系 統,該冷卻系統包含一再循環冷卻劑流,其接收來自基板支座140 的熱能^將熱能傳導至—熱交換祕(未顯示),或是#加熱時, 自=熱交換系統傳導熱能。此外,氣體可透過―背面氣體系統而 被傅达至基板145的背面以改善基板145以及基板支座14〇之p气名 订土板/皿度控制的場合。在其他的實施例中,則可包含一例如 阻性加熱元件的加熱元件或熱電加熱器/冷卻器。 ,另外之實施例中,幻反支座140可例如更包含一由搞合至美 以及處理室iig之伸縮囊(未顯示)繞之直立式ί ’ ΐ伸縮囊並可密封該直立式傳送系統而與處理 至中之降低的大氣壓力隔絕。此外,一伸縮囊罩(未顯示)可 8 200540937 耦合^基板支座140以保護該伸縮囊。基板支座14〇可例如提供一 聚焦環(未顯示)、一罩環(未顯示)以及一擔板(未顯示)。 在圖1所示之實施例中,基板支座140可包含一電極144,透過 該電極,射頻源則可耦合至處理空間112中的處理氣體。例如,基 板支座140可透過來自射頻系統185的射頻功率傳送而在一射頻電 壓文到電性偏壓。在某些情況下,射頻偏壓可用來加熱電子以形 成並維持電漿。射頻偏壓通常的頻率範圍在丨MHz〜1〇〇 MHz之間。 例如,對熟習此項技藝者來說,使用13·56Μζ來處理電漿的半導 體處理糸統是眾所皆知的。 • 如圖1所示,基板支座14〇可包含一加熱單元142以加熱基板 —145。f源180可提供DC電源至加熱單元144,而該加熱單元則可提 供幸§射能至基板145。 此外,在其他實施例中,基板支座14〇可包含一形成於基板支 座140之一或多個外顯表面上的保護障壁(未顯示)。在另一實施 例中,保護障壁(未顯示)則可形成於上部組件12〇之一或多個内 部表面上。保護障壁可包含例如氮化鋁的氮化合物以及/或聚醯亞 胺化合物。 ^立在另外之實施例中,當用於保護處理系統100中的元件時,保 ,障壁可以數種不同方式產生。在其中一種情況下,保護障壁可 •藉由陽極處理一金屬並將該經陽極處理之表面填以鐵氟龍而產 . 生。例如,一保護障壁可藉由硬性陽極處理鋁或硬性陽極處理一 鋁合金,再將該經硬性陽極處理之表面填充以TFE而形成。在其他 情況下,保護障壁可利用 Ala、Yttria(Y2〇3)、Sc2〇3、Sc2F3、YF3、 Laa、Ce〇2、Eu2〇3或Dy〇3至少其中一而產生。此外,保護障壁可包 含一第二列元素(週期表中之第三列)以及一鑭元素至少其中之 一;第二列元素包含釔、銃或鑭至少其中之一,而鑭元素則包含 鈽、鏑或銪至少其中之一。 此外’保護障壁可形成於處理室中當作一部份之預處理塗 佈,例如在所需處理薄膜之前的或si沈積。 9 200540937 处理系統100可包含一控制器13〇。控制器13〇可耦合至處理室 10、上部組件120、基板支座14〇、遷力控制系統15〇、泵系統16〇 以及SIA 180。該控制器可用來提供控制資料至系統構件中,並接 收來自系統構件之處理以及/或狀態資料。例如,控制器13〇可包 3锨處理态、一記憶體(例如依電性或非依電性記憶體)、以及 一可產生足夠控制電壓的數位1/〇埠以聯繫並啟動至處理系統1〇〇 =輸入並監控來自處理系統1〇〇之輸出。且,控制器13〇可與處理 至L10、上部組件120、基板支座、壓力控制系統、壁溫控 制單元160、氣體供應系統170、基板支座溫度控制單元(TCU) 18〇 以及閘閥組件190交換資訊。此外,儲存在記憶體中之程式可根據 ψ 處理配方而用以控制處理系統1〇〇之上述元件。此外,控制器13〇 可用以分析處理以及/或狀態資料、比較該處理以及/或狀態資料 與標的處理以及/或狀態資料並利用該項比較結果來變更處理以 及/或控制系統元件。且,該控制器亦可分析處理以及/或狀態資 料、將該處理以及/或狀態資料與歷史處理以及/或狀態資料相比 較,並利用该比較結果而預測、預防以及/或宣告一默認值。 ,此外,處理室110可被加熱或冷卻至30〜150°C的範圍,例如 通系為40 C。此外,氣體分配系統可被加熱或冷卻至〜i5〇°c的 範圍,例如通常為50°C。基板則可維持在250〜lOOOt:的範圍内, φ 例如基板溫度通常為500°C。 _ 圖2A顯示一簡略橫剖面圖,顯示根據本發明之一實施例中之 二基板支座。如圖2A所示,基板支座200實質為熱獨立於該處理 室。一定心環215可耦合至該基板支座。例如,定心環215可包含 鐵氟龍。 在另一實施例中,基板支座200可包含一形成於基板支座之上 表面的保護障壁(未顯示),而該保護障壁可包含一含有鐵氣龍之 化合物。 如圖2A所示,基板支座200包含一加熱組件22〇,其含有一加 熱單元210、一熱障壁230、一冷卻單元240以及一耦合單元250。 200540937 冷卻單元240可包含一再循環冷卻劑(未顯示),其可接收來 自基板支座的熱能並將其傳導至一熱交換系統(未顯示)。In another embodiment, the processing chamber 110 may, for example, further include a processing chamber liner (not shown) or a processing tube to protect the processing chamber 110 from the processing plasma in the processing space 112. In addition, the processing chamber 110 may include a monitoring port (not shown). For example, a monitoring frame may allow optical monitoring of the processing space 112. The substrate 145 may be transferred to the substrate 110 through the opening 194 controlled by the gate valve assembly 190, for example. In addition, the substrate 145 may be transferred to and from the substrate support using a mechanical substrate transfer system (not shown). In addition, the substrate 145 may be received by a lifter (not shown) installed in the substrate support 140 and mechanically transferred by a device installed therein. Once the substrate 145 is received by the substrate transfer system, it can be lowered to an upper surface of one of the substrate supports 140. The substrate 145 can be fixed to the substrate support 14 through an electrostatic clamping system, but passive wafer restrictions are usually sufficient. Moreover, the substrate support may also include a cooling system, which includes a recirculated coolant stream, which receives the thermal energy from the substrate support 140 and conducts the thermal energy to a heat exchange secret (not shown), or # Since the heat exchange system conducts thermal energy. In addition, the gas can be passed through the back gas system to the back of the substrate 145 to improve the p / name control of the substrate 145 and the substrate support 140. In other embodiments, a heating element such as a resistive heating element or a thermoelectric heater / cooler may be included. In another embodiment, the magic counter-support 140 may, for example, further include an upright type '' ΐ retractable bladder wrapped by a retractable bladder (not shown) that is adapted to the beauty and the processing chamber and may seal the upright transfer system. It is isolated from the reduced atmospheric pressure of the process. In addition, a telescoping cover (not shown) may be coupled to the substrate support 140 to protect the telescoping. The substrate support 14 may, for example, provide a focusing ring (not shown), a cover ring (not shown), and a carrier (not shown). In the embodiment shown in FIG. 1, the substrate support 140 may include an electrode 144 through which an RF source may be coupled to the processing gas in the processing space 112. For example, the substrate support 140 may transmit a radio frequency voltage to an electrical bias through radio frequency power transmission from the radio frequency system 185. In some cases, RF bias can be used to heat electrons to form and maintain a plasma. The frequency range of RF bias voltage is usually between 丨 MHz ~ 100 MHz. For example, it is well known to those skilled in the art that semiconductor processing systems using 13.56 Mζ for plasma processing are well known. As shown in FIG. 1, the substrate support 14 may include a heating unit 142 to heat the substrate -145. The f source 180 can provide DC power to the heating unit 144, and the heating unit can provide radiation energy to the substrate 145. In addition, in other embodiments, the substrate support 140 may include a protective barrier (not shown) formed on one or more exposed surfaces of the substrate support 140. In another embodiment, a protective barrier (not shown) may be formed on one or more internal surfaces of the upper component 120. The protective barrier may include a nitrogen compound such as aluminum nitride and / or a polyimide compound. In other embodiments, when used to protect components in the processing system 100, the barriers can be created in several different ways. In one case, the protective barrier can be produced by anodizing a metal and filling the anodized surface with Teflon. For example, a protective barrier can be formed by hard anodized aluminum or hard anodized aluminum alloy, and then filling the hard anodized surface with TFE. In other cases, the protective barrier can be generated using at least one of Ala, Yttria (Y2O3), Sc2O3, Sc2F3, YF3, Laa, Ce02, Eu2O3, or Dy03. In addition, the protective barrier may include at least one of a second column of elements (third column in the periodic table) and a lanthanum element; the second column of elements includes at least one of yttrium, thorium or lanthanum, and the element of lanthanum contains thorium , 镝 or 铕 at least one of them. In addition, a 'protective barrier' may be formed in the processing chamber as part of a pre-treatment coating, such as before the required processing film or si deposition. 9 200540937 The processing system 100 may include a controller 13. The controller 13o may be coupled to the processing chamber 10, the upper assembly 120, the substrate support 14o, the displacement control system 15o, the pump system 16o, and the SIA 180. The controller can be used to provide control data to the system components and receive processing and / or status data from the system components. For example, the controller 13 may include a 3 processing state, a memory (such as electrical or non-electrical memory), and a digital 1/0 port that can generate sufficient control voltage to contact and start to the processing system. 100 = Input and monitoring of output from processing system 100. In addition, the controller 13 can process to L10, upper component 120, substrate support, pressure control system, wall temperature control unit 160, gas supply system 170, substrate support temperature control unit (TCU) 18, and gate valve assembly 190. Exchange information. In addition, the program stored in the memory can be used to control the above-mentioned components of the processing system 100 according to the ψ processing recipe. In addition, the controller 13 can analyze the process and / or status data, compare the process and / or status data with the target process and / or status data and use the comparison result to change the process and / or control system components. Moreover, the controller can also analyze the processing and / or status data, compare the processing and / or status data with historical processing and / or status data, and use the comparison result to predict, prevent, and / or declare a default value. . In addition, the processing chamber 110 may be heated or cooled to a range of 30 to 150 ° C, for example, the general system is 40 C. In addition, the gas distribution system can be heated or cooled to a range of ~ i50 ° C, for example typically 50 ° C. The substrate can be maintained in the range of 250 ~ 1000t: φ, for example, the substrate temperature is usually 500 ° C. Fig. 2A shows a schematic cross-sectional view showing a base plate support in accordance with an embodiment of the present invention. As shown in FIG. 2A, the substrate support 200 is substantially thermally independent of the processing chamber. A centering ring 215 may be coupled to the substrate support. For example, the centering ring 215 may include Teflon. In another embodiment, the substrate support 200 may include a protective barrier (not shown) formed on the upper surface of the substrate support, and the protective barrier may include a compound containing iron gas dragon. As shown in FIG. 2A, the substrate support 200 includes a heating unit 22, which includes a heating unit 210, a thermal barrier 230, a cooling unit 240, and a coupling unit 250. 200540937 Cooling unit 240 may include a recirculated coolant (not shown) that can receive thermal energy from the substrate support and conduct it to a heat exchange system (not shown).

此外’熱能傳導氣體可透過一背面氣體系統而被傳送至基板 145的背面以改善基板145以及基板支座140之間氣體間隙的熱傳 導。例如,供應至基板145背面之熱能傳導氣體可包含例如氦J氬、 氙、氪的惰性氣體,以及例如〇匕、(^8、(:而、(^6等等的處理氣體, 或是例如氧、氮、沁0、N0或氫的其他氣體。此種系統可使用於須 要在升高或降低之溫度施行基板溫度控制的場合。例如,背面氣 ,系統可包含一例如兩區域(中心—邊緣)系統的多區域氣體分配 系統,其中背面氣體間隙壓力可在基板145的中心及邊緣之間不受 影,而獨立變動。在其他實施例中,例如電阻式加熱元件之加熱/ 冷卻元件或熱電式加熱器/冷卻器均可包含於基板支座24〇以及處 理室110之處理室壁内。 —例如,加熱組件220可由一非導電性但導熱性材質製成,例如 石英,而加熱單元21〇中之加熱器則可由例如高純度碳線之導電性 材質製成。 、例如,熱障壁230可由一具有可變動熱傳導性之熱變動材質製 成,例如石英、氧化鋁、鐵氟龍等等。 冷卻單元240可例如由可導電導熱的材質製成,例如鋁、不銹 鋼、鎳等等。 耦合單元25〇可例如由一具有相對較低熱傳導性之耐熱材質 $成’例如石英、氧化鋁、鐵氟龍等等。或者,耦合單元25〇也可 由例如鋁、不銹鋼、鎳等等之可導電導熱的材質製成。 发在另一實施例中,元件22()、23〇、24〇以及25〇均包含形成於 二中之一或多個外部表面的保護障壁(未顯示)。例如,一保護障 壁可如同上述方式形成。 嘴例如,冷卻單元Mo可包含一位於冷卻單元mo内之冷卻劑通 2士顯示)而可容納例如水、Fluorinert、Galden HT-135等等 、令卻劑的流速以便提供基板支座14〇之傳導對流冷卻。或者,溫 11 200540937 度冷卻單元240也可根據電流流經相關元件的方向而包含一陣列 之可加熱或冷卻基板支座之熱電元件。一解說性之熱電元件為In addition, the 'thermal energy conduction gas' can be transmitted to the back surface of the substrate 145 through a back gas system to improve the heat conduction of the gas gap between the substrate 145 and the substrate support 140. For example, the thermal energy-conducting gas supplied to the back surface of the substrate 145 may include an inert gas such as helium, argon, xenon, krypton, and a processing gas such as dagger, (^ 8, (: and, (^ 6, etc.), or, for example, Oxygen, nitrogen, Qin0, N0, or other gases of hydrogen. This type of system can be used in applications that require substrate temperature control at elevated or reduced temperatures. For example, for back gas, the system can include a two-zone (center- Multi-zone gas distribution system in which the backside gas gap pressure can be independently changed between the center and the edge of the substrate 145, and can vary independently. In other embodiments, such as the heating / cooling element of a resistive heating element or Both thermoelectric heaters / coolers can be included in the substrate holder 24 and the processing chamber wall of the processing chamber 110. For example, the heating assembly 220 can be made of a non-conductive but thermally conductive material, such as quartz, and the heating unit The heater in 21 may be made of a conductive material such as high-purity carbon wire. For example, the thermal barrier 230 may be made of a heat-varying material with variable thermal conductivity, such as stone. , Alumina, Teflon, etc. The cooling unit 240 may, for example, be made of a material that can conduct heat and heat, such as aluminum, stainless steel, nickel, etc. The coupling unit 250 may be, for example, a heat-resistant material with relatively low thermal conductivity. For example, quartz, alumina, Teflon, etc. Alternatively, the coupling unit 25 can also be made of a conductive and thermally conductive material such as aluminum, stainless steel, nickel, etc. In another embodiment, the element 22 (), 23, 24, and 25 each include a protective barrier (not shown) formed on one or more external surfaces of the second. For example, a protective barrier may be formed as described above. For example, the cooling unit Mo may be Contains a coolant in the cooling unit mo (shown in Figure 2) and can accommodate, for example, water, Fluorinert, Galden HT-135, etc., the flow rate of the coolant to provide conductive convection cooling of the substrate support 14. Or, warm 11 200540937 Degree cooling unit 240 can also include an array of thermoelectric elements that can heat or cool the substrate support according to the direction of current flowing through the relevant elements. An illustrative thermoelectric element is

Advanced Thermoelectric市售之Model ST-127-1. 4-8. 5M (—最 大熱傳導功率為72 W之40 mm*40 mm*3.4 mm之熱電裝置)。 此外,基板支座140更可包含一靜電夾頭(ESC)(未顯示), 其包含-具有-後人其中之夾持電極的非傳導性材y。此等爽頭 之設計及安裝對於熟習靜電夾持系統的人而言是眾所皆知的。 此外,基板支座140可更包含-背面氣體供應系'统(未顯示), 用以將例如包含氦、氨、氤、氪的惰性氣體,以及例如⑶W、 • 等Ϊ的處理氣體,或是例如氧、氮或氫的其他氣體之熱 =亂體’透過至少-氣體供應線342以及至少複數之孔洞或通道 ,、中之-供應至基板242的背面。背面氣體供應純糊可以是一 ?如=域(中心-邊緣)系統的多區域供應系統,其中該背面壓 力可由中心到邊緣放射性地變動。 ^板支座140可更包含-熱障壁23〇以便在加熱組件22〇及其 I方〜部兀件240之間提供-額外的熱絕緣體。在一實施例中,埶 一位於基板支座中的熱防護罩。例如,該熱防護罩;、 匕3 -圓盤,而-反射表面可位於其上表面。該 中英玻璃材料、碳化簡_夕化碳梦 反射表面可包含-絕緣包覆薄膜,其包含—雜 ^以及氧化鈦細粉末至少其巾之—。該反射表面财敎絕緣特 ί;=ΪΓ,。該熱防護罩可藉由反射來自加熱‘件之孰 輻射而改善加熱單元之熱效率。 τ<"、、 在1實施例中’該反射熱絕緣包覆薄膜之石夕 2之間的混合比例約為3 :卜3 : 7,而該包覆薄膜可更== Ϊ粉末。例如,雜粉末、|3崎末以及氧化銥細粉末之平 ^粒^寸約為G.1〜測微米。#在混和氧化鈦細粉末時,係以 50〜⑽份的比例與⑽份的銘作混合。該反射熱絕緣包覆薄= 12 200540937 ,膜厚度約為3〇〜300微米。當反射熱絕緣包覆薄膜的厚度低於3〇 微米時,其熱絕緣特性以及遮蔽的特性就會變差,但當厚度高於 300微米時,則可能發生斷裂。 、 此外,一高純度熱絕緣材料可用於填充熱防護罩下方的空 間。當使用高純度熱絕緣材料時,則可獲得一具有優異熱絕緣特 性、優異遮蔽特性以及優異熱效率之基板加熱裝置。 在另一實施例中,反射熱絕緣包覆薄膜可施加於圓盤之上表 面及。下表面。例如,可應用一厚度為3〇〜2〇〇微米之材質並將其以 1000 C烘烤以形成該反射熱絕緣包覆薄膜。即使將此薄膜長時間 放置於高於1200°C的高溫下,仍然很難將此薄膜分層、剝皮或是 _ 去色。且,當薄膜厚度約為100微米時,波長為2· 5微米之埶輻射 線則可有高於45%的反射。 … 在另一實施例中,熱障壁230可包含一熱絕緣間隙(未顯示), 邊熱絕緣間隙可利用一泵系統(未顯示)或一耦合至壓力控制系 統以及/或耦合至一氣體供應器(未顯示)之真空線(未顯示)而 排空以便變動其熱導性。該氣體供應器可以當作是用以將熱能傳 導氣體耦合至基板145背面之背面氣體供應器。在一另一實施例 中,也可不需要一熱障壁。 、 在一貫施例中,基板支座的上表面為扁平狀,且基板是利用 鲁一組的升降梢而下降至基板支座的上表面或自基板支座的上表面 • 上升。例如,基板可透過石英梢而上升或下降,而基板支座則可 包含可讓石英梢通過碳線加熱器組件之位於石英碳線加熱器組件 中的洞。當基板與基板支座的表面密切相接時,輻射能傳導之傳 導率便會增加。在基板支座之上表面部分使用石英可減少背面污 染的問題。例如,金屬污染可實質地消除。 圖2B顯示一簡略方塊橫剖面圖,顯示根據本發明之另一實施 例中之一基板支座。在本說明實施例中,係顯示一加熱器組件22臥 以及一基板145。加熱器組件220A可包含一加熱單元210A,其可包 含至少一碳線加熱器以及支撐裝置212A。 ’、 13Model ST-127-1. 4-8. 5M (—thermoelectric device with a maximum heat conduction power of 40 W * 40 mm * 3.4 mm with 72 W) is commercially available from Advanced Thermoelectric. In addition, the substrate support 140 may further include an electrostatic chuck (ESC) (not shown), which includes a non-conductive material y having a clamping electrode therein. The design and installation of these cool heads is well known to those familiar with electrostatic clamping systems. In addition, the substrate support 140 may further include a back-surface gas supply system (not shown) for inert gases such as helium, ammonia, krypton, and krypton, and process gases such as WW, •, and the like, or The heat of other gases such as oxygen, nitrogen, or hydrogen = chaos' passes through at least-the gas supply line 342 and at least a plurality of holes or channels, and among them-is supplied to the back surface of the substrate 242. The back-side gas supply pure paste can be a multi-zone supply system such as a = domain (center-edge) system, where the back pressure can be changed radioactively from center to edge. The plate support 140 may further include a thermal barrier 23o to provide an additional thermal insulator between the heating assembly 22o and its components 240. In one embodiment, a thermal shield is located in the substrate support. For example, the thermal shield; 3, the disc, and the reflective surface may be on its upper surface. The Sino-British glass material, carbonized carbon, carbonized carbon dream reflective surface may include an insulating coating film, which contains-impurities and at least fine titanium oxide powder. The reflective surface is very insulated; The thermal shield can improve the thermal efficiency of the heating unit by reflecting the radiation from the heating element. τ < " In the first embodiment, the mixing ratio between Shixi 2 of the reflective thermal insulation coating film is about 3: 3: 7, and the coating film can be more equal to powder. For example, the average particle size of the mixed powder, | 3 Sakizaki, and the fine powder of iridium oxide is about G.1 to micrometer. #When mixing titanium oxide fine powder, mix it with 50 parts by weight of the product with the weight of the parts. The reflective thermal insulation coating is thin = 12 200540937, and the film thickness is about 30 ~ 300 microns. When the thickness of the reflective thermal insulation coating is less than 30 micrometers, its thermal insulation properties and shielding properties will deteriorate, but when the thickness is more than 300 micrometers, fracture may occur. In addition, a high-purity thermal insulation material can be used to fill the space under the thermal shield. When a high-purity thermal insulation material is used, a substrate heating device having excellent thermal insulation characteristics, excellent shielding characteristics, and excellent thermal efficiency can be obtained. In another embodiment, a reflective thermal insulation coating film may be applied to the surface and above the disc. lower surface. For example, a material with a thickness of 30 to 200 microns can be applied and baked at 1000 C to form the reflective thermal insulation coating film. Even if the film is left at a temperature higher than 1200 ° C for a long time, it is still difficult to delaminate, peel, or decolorize the film. In addition, when the thickness of the film is about 100 micrometers, the holmium radiation with a wavelength of 2.5 micrometers can reflect more than 45%. … In another embodiment, the thermal barrier 230 may include a thermal insulation gap (not shown), and the side thermal insulation gap may use a pump system (not shown) or a coupling to a pressure control system and / or a gas supply The vacuum line (not shown) of the device (not shown) is evacuated to change its thermal conductivity. The gas supplier can be regarded as a back-side gas supplier for coupling a thermal energy-conducting gas to the back of the substrate 145. In an alternative embodiment, a thermal barrier may not be needed. In a consistent embodiment, the upper surface of the substrate support is flat, and the substrate is lowered to or from the upper surface of the substrate support by using a set of lifting tips. For example, the substrate may be raised or lowered through the quartz tip, and the substrate support may include a hole in the quartz carbon wire heater assembly that allows the quartz tip to pass through the carbon wire heater assembly. When the substrate is in close contact with the surface of the substrate support, the conductivity of radiant energy conduction increases. The use of quartz on the upper surface portion of the substrate holder can reduce back surface contamination problems. For example, metal contamination can be substantially eliminated. Fig. 2B shows a simplified block cross-sectional view showing a substrate support according to another embodiment of the present invention. In the illustrated embodiment, a heater unit 22 and a substrate 145 are shown. The heater assembly 220A may include a heating unit 210A, which may include at least one carbon wire heater and a supporting device 212A. ’, 13

200540937 如圖,加熱器組件之上表面可包含基板所在之上升部225。上 升部225的高度乃足夠使一晶圓傳送機制(叉子)可在基板以及基 板支座的上表面之間傳送,並可將基板舉離基板支座或將基板下 降至基板支座上。 支撐裝置212A '加熱單元210A以及上升部225均可包含石英。 加熱單元210A可製成此處描述之配置其中之一。 在所述實施例中,加熱單元210A係顯示出裝設於支撐裝置 212A之上部,但這並非必要。或者,該加熱單元也可以不同方式 裝設。 、在另一實施例中,溫度感測器可裝設於上升部225之内以及/ 或支撐裝置212A之内。溫度感測器可用來測量加熱器組件溫度以 及/或基板溫度。此外,來自溫度感測器的資料可用來決定例如彎 曲率之其他晶圓的特性。 且,具有一石英基板支座可改善處理系統的維護。經處理的 產品=及副產品較不骑基板支座之石英表面敍應或黏著上。 且丄§基板支座含有石英時,可執行更進一步以及/或更頻繁的處 理室清潔。 由於基板支座有著廣泛的操作溫度範圍,即使不開啟處理室 ^可清潔。例如,基板支座之溫度可在一潔淨程序時升高至一較 ^的溫度。此潔雜序可分職行或也可#作處理室清潔程序的 一部Y分。 摔作有碳線加熱器之基板支座可有—非常廣泛的 ‘2=1溫度反應从優異之傳導特性;因此可使用 (CVD—)單加件(基板支座)可用_如化學蒸氣沈積 、、少穑/pvnf H 化學蒸氣沈積(PECVD)系統、物理基氣 ;穑γ(α3糸統、離子化物理蒸氣沈積(iPVD)系統以及原子層 沈積(ALD)纽之沈積系統巾。 $卞禮 此外,-單晶圓加熱器組件(基板支座)可用於例如快速熱 14 200540937 =(RTP)系統、快速熱退火⑽)系統、乾燥系統、顯影系 、、先以及尖峰退火系統之熱處理統。 土 晶圓加熱15組件(基板支座)亦可用於侧系統以及 去除化學氧化物(C〇R)系統。 就其本Μ言,單晶κ加熱驗件必須與許乡具有各種不同 ΐΐίϊ,態之晶圓共同運作。在程序發展循環之初的晶圓與 循fi束之後的晶圓在結構上是非常不同。晶圓在前 ίϋίΓ1與同—晶圓在後段製程的熱回應也不同。單晶圓 加熱恭組件對前段及後段製程均可有效運作。 制Γιί區Ϊ單晶圓加熱器組件可對晶圓之選定區域作獨立控 =。例如’中心區域可與外部區域有不同的控制。如此可補償晶 == 的ΐ輻射差異。多區域單晶圓加熱器組件可藉由提 同、ίηί準”於許多不同處理配方、不同處理壓力、不 fU予ί:不同處理氣體流、不同晶圓型態以及不同處理時 間之複數之錢加熱器,以維持—致的晶圓溫度。 具有不_膜包襲之晶圓可具林_ 晶圓之熱特性可因參雜的量而不同 二、專,特14 程式設計而補償晶圓之熱躲上υ%θθ®加熱⑽件可經由 在另一實施例中,晶圓的均勻性可藉由旋 ^的加熱n組件而加赠善。絲村 f 差異便可力辦均。達成-最佳 =則用於當此項控制仰賴於處理的= 由於單晶圓加熱器組件可在离、、w 高溫度,故可用於生產氧化声短時間内加 之漏電流、較低應力以及較高之可靠^_度乳化物可能有較低 15 200540937 由於單晶®加熱n組件可在高溫下 薄層。氮物層== 高溫:於===製高=以在短_加 :乃逆較超=所=200540937 As shown, the upper surface of the heater assembly may include a rising portion 225 where the substrate is located. The height of the lifting portion 225 is sufficient for a wafer transfer mechanism (fork) to be transferred between the substrate and the upper surface of the substrate support, and the substrate can be lifted off the substrate support or lowered onto the substrate support. The support device 212A ′, the heating unit 210A, and the rising portion 225 may each include quartz. The heating unit 210A may be made into one of the configurations described herein. In the illustrated embodiment, the heating unit 210A is shown as being mounted on the support device 212A, but this is not necessary. Alternatively, the heating unit can be installed in different ways. In another embodiment, the temperature sensor may be installed inside the rising portion 225 and / or inside the supporting device 212A. Temperature sensors can be used to measure heater assembly temperature and / or substrate temperature. In addition, data from temperature sensors can be used to determine characteristics of other wafers, such as curvature. Moreover, having a quartz substrate support can improve the maintenance of the processing system. Treated products = and by-products that are less etched or adhered to the quartz surface of the substrate support. Furthermore, when the substrate support contains quartz, further and / or frequent cleaning of the processing chamber can be performed. Since the substrate holder has a wide operating temperature range, it can be cleaned even without opening the processing chamber. For example, the temperature of the substrate support can be raised to a relatively high temperature during a cleaning process. This cleaning order can be divided into separate branches or can be used as a part of the cleaning process of the processing room. The substrate holder with a carbon wire heater can have a very wide '2 = 1 temperature response from excellent conduction characteristics; therefore, it can be used (CVD—) single addition (substrate holder) is available _ such as chemical vapor deposition 、, 穑 / pvnf H Chemical vapor deposition (PECVD) system, physical base gas; 穑 γ (α3 糸 system, ionized physical vapor deposition (iPVD) system, and atomic layer deposition (ALD) deposition system. $ 卞In addition, -Single wafer heater assembly (substrate support) can be used for heat treatment systems such as rapid thermal 14 200540937 = (RTP) system, rapid thermal annealing⑽) system, drying system, developing system, first and peak annealing system . 15 wafer heating (substrate support) can also be used in side systems and chemical oxide (COR) removal systems. For its part, the single-crystal κ heating test piece must work together with Xu Xiang's various wafers. The wafer at the beginning of the program development cycle is very different in structure from the wafer after the fi-beam. Wafers in front ϋ ϋΓΓ1 and the same—The thermal response of wafers in the later stages is also different. The single wafer heating module can effectively operate both the front-end and back-end processes. The single-wafer heater module can independently control selected areas of the wafer. For example, the 'central area may have different controls than the outer area. This compensates for the difference in thoron radiation of the crystal ==. Multi-zone single-wafer heater modules can be used in many different processing recipes, different processing pressures, and fUs: different processing gas flows, different wafer types, and multiple processing times. Heater to maintain consistent wafer temperature. Wafers with non-film envelopes can have forests_ The thermal characteristics of wafers can vary depending on the amount of incorporation. Special, special 14 program design to compensate the wafer The heat can be escaped from the υ% θθ® heating element. In another embodiment, the uniformity of the wafer can be improved by the heating of the n-component. The difference of the silk village f can be achieved evenly. -Best = is used when this control depends on processing = Since the single wafer heater assembly can be at high temperature from ionization, w, it can be used to produce oxidizing sound in a short time plus leakage current, lower stress and more Highly reliable ^ _ degree emulsifiers may have lower 15 200540937 Because single crystal® heating n modules can be thin at high temperature. Nitrogen layer == high temperature: at === make high = to increase in short _ plus: Nain More than = so =

度以ίΞίίϊίίίΐ步驟可提供較低薄片電阻、較低接合深 —位於石英基板支座中之碳加熱元件可提供一個控制處理 至中雜貝的良好方法’以及提供—個改良接合的方法, 加熱器組件可用於製程上。 矽化物係用於深次微米CM0S技術中以降低源極、汲極以及閘 巧區之薄片電阻還有接觸及源極一汲極系列電阻。隨著CM〇s製程的 縮小,石夕化模組便產生許多問題。 退火溫度以及處理動能均視使用的金屬而定,而本發明則適 用於數種例如NiSi、TiSh、以及CoSh之不同金屬。單晶圓加熱器 組件可在退火處理之前、中、後控制污染物。 ^將一或多個碳加熱器併入一基板支座中可提供較低之熱能預 ^、較快之產出以及較低之擁有成本。單晶圓加熱器組件可提供 高縱橫比處理所需之較佳處理性能,並可用於超淺接面形成、自 行對準矽化(salicidation)、氧化物生長、BPSG稠化以及金屬退 火。 基板支座200可更包含一可升高或降低三個或更多升降稍之 升降梢組件(未顯示)’以便垂直傳送基板145來去基板支座之一 上表面以及一處理系統之傳送板。 16 200540937 經溫度控制之基板支座200的溫度可利用例如一熱電偶(例如 K型熱電偶、Pt感測器等)之溫度感應裝置來加以監控。且,抑 制器可將溫度測量值反饋至基板支座以便控制基板支座之溫度。二 ,如,流體流速、流體溫度、熱能傳導氣體型式、熱能傳導£體 壓力、夾持力、加熱器元件電流以及/或電壓、熱電裝置電流或極 性等等至少其中之一均可加以調整以影響基板支座之溫度。The steps can provide lower sheet resistance and lower bonding depth—the carbon heating element in the quartz substrate support can provide a good method for controlling the processing of impurities, and provide an improved bonding method, heater Components can be used in the process. Silicide is used in deep sub-micron CMOS technology to reduce the sheet resistance of the source, drain, and gate regions, as well as contact and source-drain series resistors. With the shrinking of the CM0s process, many problems have arisen in the Shixihua module. The annealing temperature and the kinetic energy of the process depend on the metal used, and the present invention is applicable to several different metals such as NiSi, TiSh, and CoSh. Single wafer heater assemblies control contamination before, during, and after the annealing process. ^ Incorporating one or more carbon heaters into a substrate support provides lower thermal energy pre- ^, faster output, and lower cost of ownership. Single wafer heater assemblies provide the best processing performance required for high aspect ratio processing and can be used for ultra shallow junction formation, self-aligned salicidation, oxide growth, BPSG thickening, and metal annealing. The substrate support 200 may further include a lifting tip assembly (not shown) which can raise or lower three or more lifts so as to vertically transfer the substrate 145 to and from one of the upper surfaces of the substrate support and a transfer plate of a processing system. 16 200540937 The temperature of the temperature-controlled substrate holder 200 can be monitored using a temperature sensing device such as a thermocouple (such as a K-type thermocouple, a Pt sensor, etc.). Moreover, the suppressor can feed back the temperature measurement value to the substrate support to control the temperature of the substrate support. Second, for example, at least one of fluid flow rate, fluid temperature, type of thermally conductive gas, thermal energy transfer, body pressure, clamping force, heater element current and / or voltage, thermoelectric device current or polarity, etc. can be adjusted to Affects the temperature of the substrate support.

光學監控系統(未顯示)可監控處理空間中之光輻射。例如, 光電二極體、光電倍增管、CCD、CID或其他固態的探測器均可使 用。然而,其他可分析光輻射之光學裝置亦可使用。該監控系统 可提供資訊至控制器以便在處理之前、中、後調整例如晶圓溫度 之處理室狀況。在另一實施例中,光學監控系統也可包含一 雷射之光源。 一此外,一光學監控系統可用來監控加熱單元的效率。例如, 光學監控系統可在包含碳纖維加熱器元件之波長的一頻帶中運 =:此外,光學監控系統可用來監控基板支座之潔淨處理。例如, 當潔淨處理時,光輻射高且穩定,便可感應出為一清潔的基板支 座。 本發明具有比傳統加熱器系統更快速的熱梯度而可容許更高 溫的操作範圍。這項優點在溫度高於250°C更加明顯,且加熱元件 在溫度高達950〜l〇〇〇°c亦可運作。 圖3A〜3C顯示解說性示意圖,顯示根據本發明之一實施例中 j加熱器單元。在所示之實施例中,一圓形加熱器單元3〇〇A具有 了圓形中心區域31〇以及數個圓形環狀區域(32〇、330、34〇、35〇 以及360)。圖3A中顯示有六個區域,但對本發明並非必須。加埶 了匕&不同數置的區域’且各區域可有不同形狀。例如, 圓形環也可具有不同厚度。在所示實施例中,加熱器單元包含一 加熱元件(315、325、335、345、355以及365),且每一加敎元件 均可獨立控制。 ” 在圖3Β中,係顯示一圓形加熱器單元300Β,其具有一圓形中 17 200540937 心區域以及數個圓形環90度區域(a、B、C以及D區域)。所示實施 例係顯示有相同厚度之區域,但在本發明並非必須。加熱器單元 可包含不同數量的區域,且各區域可有不同形狀。在所示實施例 中,每一區域均包含一可獨立控制之加熱元件。 在圖3C中,係顯示一圓形加熱器單元3〇〇c,其具有一圓形中 心區域以及數個圓形環45度區域(Al、A2、Bl、B2、Cl、C2 以及D2區域)。所示實施例係顯示有相同厚度之區域,但在本發明 並非必須。加熱器單元可包含不同數量的區域,且各區域可有不 同形狀。在所示實施例巾,每-區域均包含—可獨立控制之加献 元件。An optical monitoring system (not shown) monitors light radiation in the processing space. For example, photodiodes, photomultiplier tubes, CCDs, CIDs, or other solid-state detectors can be used. However, other optical devices that can analyze optical radiation can also be used. The monitoring system provides information to the controller to adjust the condition of the processing chamber, such as wafer temperature, before, during, and after processing. In another embodiment, the optical monitoring system may also include a laser light source. In addition, an optical monitoring system can be used to monitor the efficiency of the heating unit. For example, the optical monitoring system can be operated in a frequency band containing the wavelength of the carbon fiber heater element. In addition, the optical monitoring system can be used to monitor the clean processing of the substrate support. For example, when cleaned, the light radiation is high and stable, and it can be sensed as a clean substrate support. The present invention has a faster thermal gradient than conventional heater systems and can tolerate higher temperature operating ranges. This advantage is even more pronounced at temperatures above 250 ° C, and the heating element can also operate at temperatures up to 950 ~ 1000 ° C. 3A to 3C are explanatory diagrams showing a j heater unit according to an embodiment of the present invention. In the illustrated embodiment, a circular heater unit 300A has a circular central region 31o and several circular annular regions (32o, 330, 34o, 35o, and 360). Six areas are shown in FIG. 3A, but are not necessary for the present invention. The areas with different numbers are added, and each area may have a different shape. For example, circular rings can also have different thicknesses. In the illustrated embodiment, the heater unit includes a heating element (315, 325, 335, 345, 355, and 365), and each plus element can be controlled independently. In FIG. 3B, a circular heater unit 300B is shown, which has a center region of 17 200540937 and a number of circular ring 90-degree regions (a, B, C, and D regions). The embodiment shown The regions are shown with the same thickness, but are not necessary in the present invention. The heater unit may include a different number of regions, and each region may have a different shape. In the illustrated embodiment, each region includes an independently controllable region. Heating element In Figure 3C, a circular heater unit 300c is shown, which has a circular central area and several 45-degree areas of circular rings (Al, A2, Bl, B2, Cl, C2, and D2 area). The illustrated embodiment shows areas with the same thickness, but is not necessary in the present invention. The heater unit may contain a different number of areas, and each area may have a different shape. In the illustrated embodiment, each- Areas contain—additional components that can be controlled independently.

或者,圖3A〜3C所示之加鮮元之每—區域也可不需加敎元 件。在其他實施射,隔離元件(未顯示)可时將區域彼&隔 離0 在-實施例中,-或多個溫度感測器(未顯示)可位於_ 〜3C中顯示之加熱單元的-❹個區域中。或者,也 光學技術來測量。 抑。圖4顯示一示意圖,顯示根據本發明之一實施例中之另一加熱 =70。在所示實施例中,係顯示一正方形之加熱器單元棚,其 有數個正方形區域41G。圖4巾顯示出25個區域,但對本發明並 不必要。加熱料元400可包含不同數量之區域,且該區域可且有 =同,。例如,長方形亦可使用。在所示之實施例中,加熱、器 元件420 ’且每一加熱元件均可獨立 tii-在 1中’—或多個溫度感測器(未顯示)可位於圖4 加熱H单福-衫個區域巾。或者,溫度也可利用光 :技^來測量。此外,圖4之加熱||單元的每—區域並非 離在其他實施财,β離元件(絲幻可絲將各區域 _顯示-簡圖,顯示根據本發明之—實施例中之—加埶 7L。在所不實施例巾’加熱器單元5⑼包含一加熱元件51G、轉換 200540937 元件512A及512B、密封端部519以及連接端517A和517B。 加熱元件510可包含一環形管511,其中係密封一含有一碳纖 維束之碳線加熱器515。環形管511之末端則耦合至轉換元件512A 及512B。在一實施例中,碳線加熱器515係裝設於環形管511内, 而轉換元件512A及512B並不包含加熱器。例如,如此可讓來自加 熱器之輻射能更有效的控制。在另一實施例中,一或多個轉換元 件512A及512B的一部份可包含一部份之加熱器。 在一實施例中,環形管511以及轉換元件512A及512B可利用一 例如石英玻璃之單一片材料製成。在另一實施例中,環形管 ‘ 及轉換元件512Α及512Β則可利用不同片材料製成,且在製造過程 ® 中熔接在一起。或者,也可不需要轉換元件512Α及512Β,且將環 形管511密封,而連接端可在製造過程中位於環形管的末端。 此外,密封端部519可麵合至轉換元件512Α及512Β之末端部。 密封端部519可包含密封轉換元件512Α及512Β的末端部。例如,一 歧蓋可用來當作密封工具。此外,也可利用壓縮密封。此外,也 可使用一分級密封部而可包含不同之玻璃材質。 碳線加熱器515可插入環形管511之中,且可延伸於末端元件 513Α以及513Β之間。且,末端元件513Α以及513Β可包含壓縮之線 碳構件516,如圖6Α及6Β所示。碳線加熱器515可埋入本身也是壓 φ 縮狀態之壓縮線碳構件516中,如圖6Α及6Β所示。線碳構件516二 • 及碳線加熱器515之構成可實質與末端元件513Α以及513Β之軸線 平行伸展。 在圖5中,係顯示一環形形狀,但對本發明並非必要。或者, 也可使用例如實質橢圓形狀、一實質正方形狀、以及一實質長方 形狀皆可。在一實施例中,環形管可包含一石英玻璃材料。在另 外之實施例中,則可使用不同的材料。 例如,碳線加熱器515可包含由300〜350條碳纖維結合在一起 而製成之碳線,其中每一碳纖維均為直徑為5〜15微米而成束。之 後’約為9個之複數束的碳纖維以繩索或髮辮的方式編織成直徑約 19 200540937 為2 mm而成為一碳線。 —碳線加熱器515以及線碳構件516可包含3〇〇〜咖條碳纖维, 為7微米而集結成—纖維束,而9條此種纖維 =編織成一條直杻2 _繩索或織帶,此繩索或織帶在室溫下 具有10 ohms/meter的電阻,或在溫度約1〇〇〇。〇的情況下具有5 ohms/meter的電阻。此外,五條此種碳線在結合時,室溫/下具有2 ohms/meter的電阻,但在溫度約丨〇〇(rc的情況下具有丨的吐 的電阻。目此’此種線碳構件516所產生之熱能比起碳線加熱器515 所產生之熱能要少多了。Alternatively, each of the regions of the freshening element shown in Figs. 3A to 3C may not need the additional element. In other implementations, the isolation element (not shown) can sometimes isolate the area & 0 In the-embodiments,-or multiple temperature sensors (not shown) can be located in the heating unit shown in _ ~ 3C- In an area. Alternatively, also optical technology to measure.抑. FIG. 4 shows a schematic diagram showing another heating = 70 in one embodiment of the present invention. In the embodiment shown, a square heater unit shed is shown, which has several square areas 41G. Figure 4 shows 25 regions, but is not necessary for the present invention. The heating element 400 may include different numbers of regions, and the regions may have the same value. For example, rectangles can also be used. In the embodiment shown, the heating elements 420 'and each heating element can be independently tii-in 1'-or multiple temperature sensors (not shown) can be located in Figure 4 Area towels. Alternatively, the temperature can also be measured using optical techniques. In addition, each area of the heating || unit of FIG. 4 is not separated from other implementations, and the β-off element (the magic area shows each area _ is displayed-a simplified diagram showing that according to the present invention-in the embodiment-plus) 7L. In the present embodiment, the heater unit 5 ′ includes a heating element 51G, conversion 200540937 elements 512A and 512B, a sealed end portion 519, and connection ends 517A and 517B. The heating element 510 may include an annular tube 511, which is sealed. A carbon wire heater 515 containing a carbon fiber bundle. The end of the ring tube 511 is coupled to the conversion elements 512A and 512B. In one embodiment, the carbon wire heater 515 is installed in the ring tube 511, and the conversion element 512A And 512B does not include a heater. For example, this allows the radiation energy from the heater to be controlled more effectively. In another embodiment, a part of the one or more conversion elements 512A and 512B may include a part of In one embodiment, the annular tube 511 and the conversion elements 512A and 512B may be made of a single piece of material such as quartz glass. In another embodiment, the annular tube ′ and the conversion elements 512A and 512B may be advantageous. Made of different pieces of material and welded together during the manufacturing process®. Alternatively, the conversion elements 512A and 512B may not be required and the annular tube 511 is sealed, and the connection end may be located at the end of the annular tube during the manufacturing process. In addition, The sealing end portion 519 may face to the end portions of the conversion elements 512A and 512B. The sealing end portion 519 may include the end portions of the sealing conversion elements 512A and 512B. For example, a manifold cover can be used as a sealing tool. In addition, it can also be used Compression seal. In addition, a graded seal can be used to include different glass materials. Carbon wire heater 515 can be inserted into the ring tube 511 and can extend between the end elements 513A and 513B. And, the end element 513A And 513B may include a compressed wire carbon member 516, as shown in FIGS. 6A and 6B. A carbon wire heater 515 may be embedded in the compressed wire carbon member 516, which is also in a compressed state, as shown in FIGS. 6A and 6B. The structure of the carbon member 516a and the carbon wire heater 515 can extend substantially parallel to the axes of the end elements 513A and 513B. In FIG. 5, a ring shape is shown, but the invention is not It is not necessary. Alternatively, for example, a substantially oval shape, a substantially square shape, and a substantially rectangular shape may be used. In one embodiment, the annular tube may include a quartz glass material. In other embodiments, it may be Different materials are used. For example, the carbon wire heater 515 may include carbon wires made by combining 300 to 350 carbon fibers, each of which is bundled with a diameter of 5 to 15 micrometers. A plurality of 9 carbon fibers are woven into ropes or braids to a diameter of about 19 200540937 to 2 mm to form a carbon wire. -The carbon wire heater 515 and the wire carbon member 516 may contain 300 ~ 80 carbon fibers, which are aggregated into 7 micrometers-fiber bundles, and 9 such fibers = woven into a straight reed 2 _ rope or ribbon, This rope or webbing has a resistance of 10 ohms / meter at room temperature, or about 1000 at a temperature. In the case of 〇, it has a resistance of 5 ohms / meter. In addition, five such carbon wires have a resistance of 2 ohms / meter at room temperature / at the time of bonding, but have a resistance of spitting at a temperature of about 010 (rc.) The thermal energy produced by 516 is much less than the thermal energy produced by carbon wire heater 515.

在碳線中,碳線之編織跨度約為2〜5麵,而碳線之表面絨毛 (圖7之曰518)。則約為〇·5〜2.5刪高。例如,如圖7所示,該表面絨 毛可以是由碳線之外表面凸出之斷裂碳纖維的一部份。碳線加埶 器可製成使絨毛與環形管之内壁相接而碳線加熱器本身則不會^ 環=管f内壁相接。依此方式,石英玻璃(Si〇2)以及碳線加熱器 之石反含量(C)之反應在高溫下便可降至最低,如此便可避免石英 玻璃的減少情形以及碳線耐用度的降低情況。 、 為了實現此種配置,環形管之内部直徑必須根據碳線加熱器 中之碳纖維的直徑及數量而定。此外,碳纖維以及碳線加熱器中 之雜質量(灰含量)係低於l〇ppm。或者,灰含量也可低於3ppm。 線碳構件516可插入於碳線加熱器5丨5以及内部連接線5 j 4A和Among the carbon wires, the weaving span of the carbon wires is about 2 to 5 sides, and the surface of the carbon wires is fluffed (518 in FIG. 7). The height is about 0.5 ~ 2.5. For example, as shown in FIG. 7, the surface fluff may be a part of the broken carbon fiber protruding from the outer surface of the carbon wire. The carbon wire heater can be made so that the fluff is connected to the inner wall of the annular tube, but the carbon wire heater itself will not be connected. The ring = the inner wall of the tube f. In this way, the reaction of the quartz glass (Si02) and the inverse content (C) of the carbon wire heater can be minimized at high temperature, so that the reduction of the quartz glass and the reduction of the durability of the carbon wire can be avoided Happening. To achieve this configuration, the internal diameter of the annular tube must be determined based on the diameter and number of carbon fibers in the carbon wire heater. In addition, the amount of impurities (ash content) in carbon fiber and carbon wire heaters is less than 10 ppm. Alternatively, the ash content may be less than 3 ppm. The wire carbon member 516 can be inserted into the carbon wire heater 5 and 5 and the internal connection wires 5 j 4A and

514B之間以降低由碳線加熱器515傳導至内部連接線514A和514B 之熱能,如此則可避免因密封端519之高溫而導致之品質降低。 如同碳線加熱器515的情況,石英玻璃(Si〇2)與線碳構件516 之碳(C)之反應在高溫下可降至最低,如此便可避免石英玻璃的 減少情形以及碳線耐用度的降低情況。Between 514B to reduce the thermal energy conducted by the carbon wire heater 515 to the internal connecting wires 514A and 514B, so as to avoid the quality degradation caused by the high temperature of the sealed end 519. As in the case of the carbon wire heater 515, the reaction between the quartz glass (SiO2) and the carbon (C) of the wire carbon member 516 can be minimized at high temperature, so that the reduction of the quartz glass and the durability of the carbon wire can be avoided The reduction situation.

内部連接線514A和514B可位於形成一部份轉換元件(512A及 512B)之玻璃管内。内部連接線514A和514B可分別I禺合至末端元 件513A及513B,如圖6A及6B所示。例如,内部連接線514A和514B 可壓縮至末端元件513A及513B内。此外,内部連接線514A和514B 200540937 可耦合至密封端519 〇 外。卩連接線517Α及517Β可用來將加熱元件轉合至一電源(未 顯示)。密封端可包含將内部連接耦合至外部連接的一種方法。例 如,銦(M。)(未顯示)可用來將内部連接線51姉51犯麵合 至外部連接線517Α及517Β。此外,密封端519可包含一或多個插塞 構件(未顯示)以封閉石英玻璃管之末端。 在另一實施例中,可提供一具有比環形管511更大直徑之額外 玻璃管,如此環形管511可插入該大直徑石英玻璃管,這些管子可 利用溶接或焊接工具而整合。 一 内部連接線514Α和514Β以及外部連接線517Α及517Β可包含直 擊徑1〜3刪之銦(Mo)或鎢(W)棒。内部連接線5遍和5權以及外 部連接線517A及517B之直徑可視需要而選擇,但太小的直徑可導 致不想要的較高電阻。另一方面,太大的直徑也不需要,因為端 點的尺寸會變更大。 ”為了確保内部連接線514A和514B可輕易連接至破線,也就是 壓縮於環形管511内之線碳構件516,可以對準内部連接線514A和 514B之末端。 密封端519可更包含具有粉化銘(Al2〇3)或粉化Si〇2之膠合劑。 在一實施例中,製造環形加熱單元的程序可包含:產生含有 • 轉換元件512A及512B之環形管511;在環形管511内組裝碳線加熱 構件510;在轉換元件512A及512B中組裝末端元件;將末端元件耦 合至碳線加熱構件510之相反兩端;組裝連接内部連接線514A和 514B以及外部連接線517A及517B之密封端部519;以及在密封之前 將加熱器之内部壓力降至低於1 Torr以下。 圖6A顯示一縱向橫剖面圖,顯示根據本發明之一實施例中, 用以耦合一連接線以及碳線加熱器之末端元件;圖6B顯示一侧剖 面圖,顯示根據本發明之一實施例中之端點元件。 在所示實施例中,係顯示一末端元件513A及513B。末端元件 是用來將碳線加熱器515以及一連接線514A和514B以壓縮的狀態 21 200540937 耦合至複數之線碳材料516。末端元件係透過複數線碳材料而電性 連接碳線加熱器以及連接線。如此而提供在一廣大溫度範圍下之 優異電性連^接。此外,複數之碳材料可幫助降低導線之氧化效應。 圖7顯示一平面圖,顯示根據本發明之一實施例中之碳線加熱 ^。在所示之實施例中,碳線加熱器515及516係藉由集結複數之 石反纖維束而形成,其中超細碳纖維乃以編織類似繩索或髮辮的方 ^而束在一起。比其傳統以金屬或Sic製成之加熱元件,本發明之 碳線加熱器具有小熱能容量、優異之溫度特性以及在高溫下具優 異之耐用性。此外,由於加熱器係以將複數條纖細之碳單纖維束 ^ 集結而製成,比起以實心碳材料所製之加熱元件,本發明之碳線 Ψ 加熱器具有優異彈性、形狀改變之適應性以及可執行性。 例如,一碳線加熱器可藉由集結10條纖維束而形成,每條纖 維束均包含約3000〜3500條直徑7微米之碳纖維。碳纖維可以以繩 索或髮辮狀的方式束在一起。此線束的跨度可以是約2〜5 mm。此 外,此繩索狀或髮辮狀之碳線加熱器在其表面具有碳纖維之絨毛 (豎起)。絨毛(豎起)可以是來自碳線之外部周圍表面凸出之斷 裂碳線的一部份。由於碳纖維的關係,表面之絨毛(豎起)約為 0· 5〜2· 5 mm。 當碳線加熱器插入之後,只有絨毛(豎起)518與石英玻璃管 φ或凹槽之内壁相接觸,而加熱器本身並不與内壁相接觸。依此方 • 式’便可降低以及/或消除石央玻璃(Si〇2)與碳線加熱器中碳(c) 之間在高溫下的反應。此外,石英玻璃之減少以及碳線之耐用性 的降低的情形便可減少以及/或消除。 以加熱性、耐用性、穩定性以及污染的均勻性來說,碳纖維 的確是高純度。此外,碳纖維以及碳線加熱器中之雜質(灰成分) 乃低於10 ppm。在另一實施例中,碳纖維中之灰成分不超過3 ppm。 線碳構件516可包含一與碳線加熱器515中之材質大致相同或 至少類似的材質。例如,此材質可以是具有編織之繩索或髮辮的 形狀,且具有大致相同的碳纖維直徑,相同數量之纖維綁成一束; 22 200540937 相同數量之纖維束,相同編織方法,相同之編織跨度,相同的絨 毛,相同之材質以及相同之灰成分(低於10 ppm)。之後,位於末 端元件513A及513B内之線碳構件的數量可等於或多於在碳線加熱 器515中的數量。在一實施例中,每一碳線加熱器515中可有五個 或更多個線碳構件516。 圖8顯示一簡圖,顯示根據本發明之一實施例中之多區域加熱 單元。在所示實施例中,加熱器單元8〇〇包含四個加熱元件(81〇、 820、830以及840),轉換元件(812A、812B、822A、822B、832A、 832B、842A以及842B),密封端部(819、829、839以及849),以 -及連接端(817A、817B、827A、827B、837A、837B、847A以及847B)。 _ 加熱元件(810、820、830以及840)可包含彎曲管(8Π、821、 831以及841),其中含有一碳纖維束之碳線加熱器(815、825、835 以及845)係密封於彎曲管中。彎曲管(811、821、831以及841) 的末端可稱合至轉換元件(812A、812B、822A、822B、832A、832B、 842A以及842B)中。在一實施例中,碳線加熱器(815、825、835 以及845)可設置於彎曲管(81卜82卜831以及841)内,而轉換 元件(812A、812B、822A、822B、832A、832B、842A以及842B) 則不包含加熱器。例如,如此可使來自加熱器之輻射更能有效控 制。在一另一實施例中,一或多個轉換元件(812a、812B、822A、 鲁822B、832A、832B、842A以及842B)之一部分則可包含一部份的 加熱器。 在一實施例中,彎曲管(811、821、831以及841)以及轉換 元件(812A、812B、822A、822B、832A、832B、842A以及842B) 可使用例如石英玻璃之一單片材料來形成。在另一實施例中,彎 曲管(811、821、831 以及841)以及轉換元件(8i2A、812B、822A、 822B、832A、832B、842A以及842B)則可利用不同片材料製成, 且在製造過程中熔接在一起。或者,也可不需要轉換元件,且將 彎曲管(811、821、831以及841)密封,而連接端可在製造過程 中位於彎曲管的末端。 23 200540937 此外,密封端部(819、829、839以及849)可耦合至轉換元 件(812A、812B、822A、822B、832A、832B、842A以及842B)的 末端部。密封端部(819、829、839以及849)可包含密封轉換元 件(812A、812B、822A、822B、832A、832B、842A以及842B)之 末端部的一種方法。例如,一歧蓋可用來當作密封工具。此外, 也可利用壓縮密封。此外,也可使用一分級密封部而可包含不同 之玻璃材質。The internal connection lines 514A and 514B may be located in a glass tube forming a part of the conversion element (512A and 512B). The internal connecting wires 514A and 514B can be coupled to the end elements 513A and 513B, respectively, as shown in FIGS. 6A and 6B. For example, the internal connection lines 514A and 514B can be compressed into the end elements 513A and 513B. In addition, internal connection wires 514A and 514B 200540937 can be coupled to the sealed end 519 °.卩 Connecting wires 517A and 517B can be used to transfer the heating element to a power source (not shown). The sealed end may include a method of coupling an internal connection to an external connection. For example, indium (M.) (not shown) can be used to close the internal connection lines 51 to 51 to the external connection lines 517A and 517B. In addition, the sealed end 519 may include one or more plug members (not shown) to close the end of the quartz glass tube. In another embodiment, an extra glass tube having a larger diameter than the annular tube 511 may be provided so that the annular tube 511 can be inserted into the large-diameter quartz glass tube, and these tubes can be integrated using a welding or welding tool. An internal connection line 514A and 514B and external connection lines 517A and 517B may include indium (Mo) or tungsten (W) rods with a diameter of 1 to 3 mm. The diameter of the internal connection lines 5 times and 5 weights and the diameter of the external connection lines 517A and 517B can be selected as needed, but too small diameters can lead to undesirably high resistance. On the other hand, too large a diameter is not needed because the size of the end point will change greatly. "In order to ensure that the internal connecting wires 514A and 514B can be easily connected to the broken wires, that is, the wire carbon member 516 compressed in the annular pipe 511, can be aligned with the ends of the internal connecting wires 514A and 514B. The sealed end 519 can further include powder Ming (Al203) or powdered Si02 adhesive. In one embodiment, the procedure for manufacturing the ring-shaped heating unit may include: generating a ring-shaped tube 511 containing • conversion elements 512A and 512B; assembled in the ring-shaped tube 511 Carbon wire heating member 510; assembling end elements in conversion elements 512A and 512B; coupling the end elements to opposite ends of carbon wire heating member 510; assembling sealed ends connecting internal connecting wires 514A and 514B and external connecting wires 517A and 517B 519; and reducing the internal pressure of the heater to less than 1 Torr before sealing. Figure 6A shows a longitudinal cross-sectional view showing the use of a connecting wire and carbon wire heating in accordance with an embodiment of the present invention Fig. 6B shows a side cross-sectional view showing an end element according to an embodiment of the present invention. In the embodiment shown, an end element 513A and 513B are shown. The element is used to couple the carbon wire heater 515 and a connecting wire 514A and 514B in a compressed state 21 200540937 to a plurality of wire carbon materials 516. The terminal element is electrically connected to the carbon wire heater through the plurality of wire carbon materials and the connection In this way, excellent electrical connection is provided over a wide temperature range. In addition, a plurality of carbon materials can help reduce the oxidation effect of the wire. FIG. 7 shows a plan view showing carbon in one embodiment according to the present invention Wire heating ^. In the illustrated embodiment, the carbon wire heaters 515 and 516 are formed by aggregating a plurality of stone anti-fiber bundles, in which the ultra-fine carbon fibers are bundled by weaving square ropes similar to ropes or braids. Together. Compared with its traditional heating element made of metal or Sic, the carbon wire heater of the present invention has a small thermal energy capacity, excellent temperature characteristics, and excellent durability at high temperatures. In addition, since the heater Slender carbon single fiber bundles ^ are assembled and assembled. Compared with heating elements made of solid carbon material, the carbon wire heater of the present invention has excellent flexibility and adaptability to shape changes. For example, a carbon wire heater can be formed by aggregating 10 fiber bundles, each of which contains about 3000 ~ 3500 carbon fibers with a diameter of 7 microns. The carbon fibers can be rope or braided The wire harness can have a span of about 2 to 5 mm. In addition, the rope-shaped or braided carbon wire heater has carbon fiber fluff (upright) on its surface. The down (right) can be Part of the broken carbon wire protruding from the outer surface of the carbon wire. Due to the carbon fiber, the fluff on the surface (upright) is about 0.5 mm to 2.5 mm. When the carbon wire heater is inserted, only The fluff (upright) 518 is in contact with the inner wall of the quartz glass tube φ or groove, and the heater itself is not in contact with the inner wall. In this way, the reaction at high temperature between Shi Yang glass (SiO2) and carbon (c) in a carbon wire heater can be reduced and / or eliminated. In addition, reductions in quartz glass and reductions in carbon wire durability can be reduced and / or eliminated. Carbon fiber is indeed of high purity in terms of heatability, durability, stability and uniformity of pollution. In addition, the impurities (ash content) in carbon fiber and carbon wire heaters are less than 10 ppm. In another embodiment, the ash content in the carbon fibers does not exceed 3 ppm. The carbon wire member 516 may include a material that is substantially the same as or at least similar to the material in the carbon wire heater 515. For example, this material can be in the shape of a braided rope or braid, with approximately the same carbon fiber diameter, and the same number of fibers are bundled into a bundle; 22 200540937 The same number of fiber bundles, the same weaving method, the same weaving span, the same Fluff, same material and same ash content (less than 10 ppm). Thereafter, the number of wire carbon members located in the end elements 513A and 513B may be equal to or more than that in the carbon wire heater 515. In one embodiment, there may be five or more wire carbon members 516 in each carbon wire heater 515. Fig. 8 shows a schematic diagram showing a multi-zone heating unit according to an embodiment of the present invention. In the illustrated embodiment, the heater unit 800 includes four heating elements (81, 820, 830, and 840), conversion elements (812A, 812B, 822A, 822B, 832A, 832B, 842A, and 842B), and is hermetically sealed. Ends (819, 829, 839, and 849), and-and connection ends (817A, 817B, 827A, 827B, 837A, 837B, 847A, and 847B). _ The heating elements (810, 820, 830, and 840) can include curved tubes (8Π, 821, 831, and 841). Carbon wire heaters (815, 825, 835, and 845) containing a carbon fiber bundle are sealed in the curved tubes. in. The ends of the curved tubes (811, 821, 831, and 841) can be said to fit into the conversion elements (812A, 812B, 822A, 822B, 832A, 832B, 842A, and 842B). In one embodiment, the carbon wire heaters (815, 825, 835, and 845) may be disposed in the curved tubes (81, 82, 831, and 841), and the conversion elements (812A, 812B, 822A, 822B, 832A, 832B) , 842A, and 842B) do not include heaters. For example, this makes the radiation from the heater more effectively controlled. In another embodiment, a part of the one or more conversion elements (812a, 812B, 822A, 822B, 832A, 832B, 842A, and 842B) may include a part of the heater. In one embodiment, the curved tubes (811, 821, 831, and 841) and the conversion elements (812A, 812B, 822A, 822B, 832A, 832B, 842A, and 842B) may be formed using a single piece of material such as quartz glass. In another embodiment, the curved tube (811, 821, 831, and 841) and the conversion element (8i2A, 812B, 822A, 822B, 832A, 832B, 842A, and 842B) can be made of different sheet materials and are being manufactured Welded together during the process. Alternatively, the conversion element may not be needed, and the bent tubes (811, 821, 831, and 841) may be sealed, and the connecting end may be located at the end of the bent tube during the manufacturing process. 23 200540937 In addition, sealed ends (819, 829, 839, and 849) can be coupled to the end of conversion elements (812A, 812B, 822A, 822B, 832A, 832B, 842A, and 842B). The sealed ends (819, 829, 839, and 849) may include a method of sealing the ends of the conversion elements (812A, 812B, 822A, 822B, 832A, 832B, 842A, and 842B). For example, a manifold can be used as a sealing tool. Alternatively, a compression seal may be used. Alternatively, a graded seal may be used and may include different glass materials.

碳線加熱器(815、825、835以及845)可插入彎曲管(811、 821、831 以及841)中而在末端元件(813A、813B、823A、823B、 巍833A、833B、843A以及843B)之間延伸。且,末端元件(813A、 _ 813B、823A、823B、833A、833B、843A以及843B)可包含如圖6A 及6B所示之壓縮狀線碳構件516。碳線加熱器515可埋入如圖6A及 6B所示之本身也是壓縮狀態之壓縮線碳構件516中。線碳構件516 以及碳線加熱器515之構成可實質與末端元件之軸線平行伸展。 在圖8中,四個彎曲的區域形成一大致環形的形狀,但在本發 明中並非必須。或者,也可使用例如實質橢圓形狀、一實質正方 形狀、以及一實質長方形狀皆可。在一實施例中,彎曲管可包含 一石英玻璃材料。在另外之實施例中,則可使用不同的材料。 例如,碳線加熱器(815、825、835以及845)可包含由300〜 參350條碳纖,結合在一起而製成之碳線,其中每一碳纖維均為直徑 -為5〜15微米而成束。之後,約為9個之複數束的碳纖維以繩索或 髮辮的方式編織成直徑約為2刪而成為一碳線。 、石反線加熱為以及線碳構件可包含3〇〇〜35〇條碳纖維,每一碳 纖維的直徑約為7微米而集結成一纖維束,而9條此種纖維束則編 織成一條直徑2 mm的繩索或織帶,此繩索或織帶在室溫下且有1〇 ,s/meter的電阻,或在溫度約麵。c的情況下具有5 ―金你 =阻。此外,五條此種碳線在結合時,室溫下具有2池肥/呢你 =電阻,但在溫度_〇〇。(:的情況下具有i ―細的電阻。 因此,此種線碳構件516所產生之熱能比起碳線加熱器515所產生 24 200540937 之熱能要少多了。 在石厌線中,石反線之編織跨度約為2〜5麵,而石炭線之表面 (圖7之5=)則約為〇· 5〜2· 5麵高。例如,如圖7所示,該表面 絨^可以是由碳線之外表面凸出之斷裂碳纖維的一部份。碳線加 熱态可f成使絨毛與彎曲管之内壁相接而碳線加熱器本身則不I 與4曲官^内壁相接。依此方式,石英玻璃(si〇2)以及碳線加熱 ^之碳含量(C)之反應在高溫下便可降至最低,如此便可降低 英玻璃的減少情形以及碳線耐用度的降低情況。 為了實現此種配置,彎曲管之内部直徑必須根據碳線加熱器 赢中之碳,維的直徑及數量而定。此外,碳纖維以及碳線加熱器中 ®之雜質量(灰含量)係低於10 ppm。或者,灰含量也可低於3 ppm。 内部連接線(814A、814B、824A、824B、834A、834B、844A 以及844B)可位於形成一部份轉換元件(8i2A、812B、822A、822B、 832A、832B、842A以及842B)之玻璃管内。如圖6A及6B所示,内 部連接線(814A、814B、824A、824B、834A、834B、844A以及844B) 可分別耦合至末端元件(813A、813B、823A、823B、833A、833B、 843A以及843B)。例如,内部連接線(814a、814B、824A、824B、 834A、834B、844A以及844B)可壓縮至末端元件(813A、813B、 823A、823B、833A、833B、843A以及843B)内。 φ 如圖,内部連接線(814A、814B、824A、824B、834A、834B、 844A以及844B)可耦合至密封端(819、829、839以及849)。此外, 外部連接線(817A、817B、827A、827B、837A、837B、847A以及 847B)也可耦合至密封端(819、829、839以及849)。密封端可包 含將内部連接線搞合至外部連接線的一種方法。例如,I目(M〇) 箔(未顯示)可用來將内部連接線(814A、814B、824A、824B、 834A、834B、844A以及844B)耦合至外部連接線(817A、817B、 827A' 827B、837A、837B、847A以及847B)。此外,密封端(819、 829、839以及849)可包含一或多個插塞構件(未顯示)以封閉彎 曲管之末端。例如,密封端可更包含具有粉化鋁(Al2〇3)或粉化Si〇2 25 200540937 之膠合劑。Carbon wire heaters (815, 825, 835, and 845) can be inserted into curved tubes (811, 821, 831, and 841) while at the end elements (813A, 813B, 823A, 823B, 833A, 833B, 843A, and 843B) Between extensions. And, the end elements (813A, _813B, 823A, 823B, 833A, 833B, 843A, and 843B) may include a compression-shaped carbon member 516 as shown in FIGS. 6A and 6B. The carbon wire heater 515 may be embedded in the compressed wire carbon member 516 which is itself in a compressed state as shown in FIGS. 6A and 6B. The configuration of the wire carbon member 516 and the carbon wire heater 515 can extend substantially parallel to the axis of the end element. In Fig. 8, the four curved regions form a substantially annular shape, but are not necessary in the present invention. Alternatively, for example, a substantially elliptical shape, a substantially square shape, and a substantially rectangular shape may be used. In one embodiment, the curved tube may include a quartz glass material. In other embodiments, different materials may be used. For example, carbon wire heaters (815, 825, 835, and 845) can include carbon wires made of 300 to 350 carbon fibers, each of which has a diameter of 5 to 15 microns. bundle. After that, about 9 carbon fiber bundles are woven into ropes or braids to a diameter of about 2 and become a carbon wire. The stone anti-wire heating and wire carbon components can contain 300 ~ 35 carbon fibers, each carbon fiber has a diameter of about 7 microns and is assembled into a fiber bundle, and 9 such fiber bundles are woven into a diameter 2 mm rope or webbing, this rope or webbing has a resistance of 10, s / meter at room temperature, or about the surface temperature. The case of c has 5-gold you = resistance. In addition, five such carbon wires have 2 pools of fertilizer / room at room temperature when combined, but at temperature_〇〇. (: In the case of i has a thin resistance. Therefore, the thermal energy generated by this kind of wire carbon member 516 is much less than the thermal energy produced by the carbon wire heater 515 24 200540937. The weaving span of the thread is about 2 ~ 5 sides, and the surface of the charcoal wire (5 = in Fig. 7) is about 0.5 ~ 2 · 5 sides high. For example, as shown in Fig. 7, the surface pile ^ can be A part of the broken carbon fiber protruding from the outer surface of the carbon wire. The heated state of the carbon wire can be such that the fluff is connected to the inner wall of the curved tube, and the carbon wire heater itself is not connected to the inner wall of the 4th curve. In this way, the reaction of the quartz glass (si〇2) and the carbon content (C) of the carbon wire heating ^ can be minimized at high temperature, so that the reduction of the glass and the durability of the carbon wire can be reduced. In order to achieve this configuration, the internal diameter of the bent tube must be determined based on the diameter and quantity of carbon, dimensions and dimensions of the carbon wire heater. In addition, the impurity content (ash content) of the carbon fiber and carbon wire heater is low 10 ppm. Alternatively, the ash content can be less than 3 ppm. Interconnect cables (814A, 814B, 824 A, 824B, 834A, 834B, 844A, and 844B) can be located in the glass tube forming a part of the conversion element (8i2A, 812B, 822A, 822B, 832A, 832B, 842A, and 842B). As shown in Figures 6A and 6B, the inside Cables (814A, 814B, 824A, 824B, 834A, 834B, 844A, and 844B) can be coupled to end components (813A, 813B, 823A, 823B, 833A, 833B, 843A, and 843B). For example, internal connection cables (814a , 814B, 824A, 824B, 834A, 834B, 844A, and 844B) can be compressed into the end elements (813A, 813B, 823A, 823B, 833A, 833B, 843A, and 843B). Φ As shown in the figure, the internal connection lines (814A, 814B , 824A, 824B, 834A, 834B, 844A, and 844B) can be coupled to the sealed ends (819, 829, 839, and 849). In addition, external connections (817A, 817B, 827A, 827B, 837A, 837B, 847A, and 847B) It can also be coupled to the sealed end (819, 829, 839, and 849). The sealed end can include a method of coupling the internal connection wires to the external connection wires. For example, a mesh (M〇) foil (not shown) can be used to Internal connection cables (814A, 814B, 824A, 824B, 834A, 834B, 8 44A and 844B) are coupled to external connection lines (817A, 817B, 827A '827B, 837A, 837B, 847A, and 847B). In addition, the sealed ends (819, 829, 839, and 849) may include one or more plug members (not shown) to close the ends of the bent tube. For example, the sealed end may further include a cement with powdered aluminum (Al203) or powdered Si02 25 200540937.

外部連接線(817A、817B、827A、827B、837A、837B、847A 以及847B)可用來將加熱元件(81〇、820、830以及840)耦合至 一或多個電源(未顯示)。加熱元件(81〇、820、830以及840)均 可獨立控制。 在另一實施例中,係提供具有比圖8所示之管子更大直徑之額 外玻璃管,如此較小的管可插入該大直徑管中,這些管子可利用 $谷接或焊接工具而整合。 内部連接線(814A、814B、824A、824B、834A、834B、844A -以及844B)以及外部連接線(817A、817B、827A、827B、837A、 ,837B、847A以及847B)可包含直徑1〜3mm之鉬(M〇)或鎢(w)棒。 内部連接線以及外部連接線之直徑可視需要而選擇,但太小的直 徑可導致不想要的較咼電阻。另一方面,太大的直徑也不需要, 因為端點的尺寸會變更大。 在一實施例中,製造彎曲加熱器區域的程序可包含··產生含 有轉換元件之彎曲管;在彎曲管内組裝線碳加熱構件;在轉換元件 中組裝末端元件;將末端元件耦合至碳線加熱構件之相反兩端丨組 ^連接内部連接線以及外部連接線之密封端區域;以及在密封之 前將彎曲加熱器區域之内部壓力降至低於i T〇rr以下。 • 圖9顯示一簡圖,顯示根據本發明之一實施例中之一加熱组 件。在所示實施例中,加熱組件900包含三個加熱單元(910、'、'92〇 以及930),以及一支承裝置95〇。圖中顯示三個加熱單元,但對本 發明並非必須。在另外之實施例中,可使用不同數量之加熱器、 不同之配置以及可有不同形狀之加熱單元。 #w ,-加熱單元⑽、92G以及930)均可包含-環形石英玻璃 /其中含有一碳纖維束之碳線加熱器則密封於管中,如前所述。 該環形石英朗管的末端可_合轉換元件。在—實施例中,碳 ,加熱器係位於彎曲石英玻璃管中,而轉換元件並不包含加熱 态。例如,如此可使來自加熱器之輻射更能有效控制。在一另一 26 200540937 實施例中,一或多個轉換元件之一部分則可包含一部份的加熱器。 在圖9中,加熱單元(910、920以及930)可裝設於支承裝置 950中之凹部或溝槽中。在另一實施例中,加熱單元(91〇、92〇以 及930)可包含多區域元件,如圖8所示。 圖10A〜10C顯示簡圖,顯示根據本發明之一實施例中之另一 加熱、組件。在所示實施例中,加熱組件1〇〇〇包含三個加熱元件 (1010、1020以及1030)、一支承裝置1〇5〇以及一蓋1〇7〇。圖中顯 示三個加熱元件,但對本發明來說並非必要。在另外之實施例中, 可以使用不同數量之加熱元件,或不同的配置,且加熱元件也可 • 有不同的形狀。 _ 蓋1070可包含一第一扁平石英玻璃板,而支承裝置1〇5〇可包 含一具有環形凹部或溝槽(1011、1021以及1031)之第二扁平石 英玻璃板,而碳線加熱器則可位於環形凹部或溝槽中。在一實施 例中,蓋1070以及支承裝置1050可彼此熔接在一起而使碳線加熱 器(1012、1022以及1032)可密封於整合構件中,如圖i〇c所示。 此外,加熱組件1000更包含轉換元件(1012A、1012B、1022A、 1022B以及1032A)、密封端部(1019、1029以及1039)、以及連接 端(1017A、1017B、1027A、1027B、1037A以及 1037B)。 加熱元件(1010、1020以及1030)可包含在支承裝置1〇5〇中 鲁的彎曲狀之凹部或溝槽(1011、1021以及1〇31),其中含有一碳纖 維束之碳線加熱器(1015、1025、1035以及1045)可密封於其中。 彎曲溝槽(1011、1021、1031以及1041)的末端可耦合至轉換元 件(1012A、1012B、1022A、1022B、1032A、1032B、1042AW&1042B)。 在一實施例中,碳線加熱器(1015、1025、1035以及1045)係裝 否又於纟考曲溝槽(1011、1021、1031以及1041)内,而轉換元件 (1012A、1012B、1022A、1022B、1032A、1032B、1042A 以及 1042B) 並不包含加熱器。例如,如此可讓來自加熱器之輻射能更有效的 控制。在另一實施例中,一或多個轉換元件(1012A、1012B、1022A、 1022B、1032A、1032B、1042A以及 1042B)的一部份可包含一部份 27 200540937 之加熱器。 在碳線中,碳線之編織跨度約為2〜5讓,而碳線之表面絨毛 (圖7之518)則約為0·5〜2· 5 mm高。例如,如圖7所示,該表面 絨^可以是由碳線之外表面凸出之斷裂碳纖維的一部份。碳線加 熱裔可製成使絨毛與彎曲管之内壁相接而碳線加熱器本身則 f彎,管t内壁相接。依此方式,石英玻璃(Si〇2)以及碳線加^ ,之石反3里(C)之反應在南溫下便可降至最低,如此便可降低石 英玻璃的減少情形以及碳線耐用度的降低情況。 _ 為了實現此種配置,彎曲狀之凹部或溝槽之内部直徑必須根 -據碳線加熱器中之碳纖維的直徑及數量而定。此外,碳纖维以^ •碳線加熱器中之雜質量(灰含量)係低於1〇 ppm。或者 也可低於3 ppm。 此外,密封端部(1019、1029以及1039)可耦合至轉換元件 (1012A、1012B、1022A、1022B、1032A以及 1032B)的末端部。 岔封端部(1019、1029以及1039)可包含密封轉換元件(1〇12八、 1012B、1022A、1022B、1032A以及 1032B)之末端部的一種方法。 例如,一歧蓋可用來當作密封工具。此外,也可利用壓縮密封。 此外,也可使用一分級密封部而可包含不同玻璃材質。 礙線加熱器(1015、1025以及1035)可插入彎曲狀之凹部或 φ溝槽(、1021以及1031)中而在末端元件(、1013B、 1023A、1023B、1033A以及1033B)之間延伸。且’末端元件(i〇i3A、 • 1013B、1023A、1023B、1033A以及1033B)可包含如圖^及昍所示 之壓縮狀線碳構件516。碳線加熱器可埋入如圖βΑ及6Β所示之本身 也是壓縮狀態之壓縮線碳構件中。線碳構件以及碳線加熱器之構 成可實質與末端元件之軸線平行伸農。 在所示實施例中,係顯示一環行形狀,但對本發明並非必要。 或者,也可使用例如實質橢圓形狀、一實質正方形狀、以及一實 質長方形狀皆可。在一實施例中,管的材質可包含一石英玻璃材 料。在另外之實施例中,則可使用不同的材料。 28 200540937 例如,碳線加熱器(1015、1〇25以及1〇35)可包含由3〇〇〜35〇 條礙,維結合在-起而製成之碳線,其中每—機維均為直徑為5 〜15微米而成束1之後,約為9個之複數束的碳纖維以繩索或髮辮 的方式編織成直徑約為2 mm而成為一礙線。碳線加熱器(1〇15、 1025以及1035)在支承装置1〇5〇中可以是一環行配置。然而,配 線的安排可以自由變動且沒有限制。 、支承裝置1050可製成具有實質巾空凹部或溝槽(繼丨、贈 以及1031)的狀態’且在其周圍部分具有空間可供其_合轉換元 件0 例如,、一加熱單元可藉由熔接蓋1〇7〇以及支承裝置1〇5〇之相 接面而製成,在碳線加熱器配置於凹部或溝槽之後,該凹部或溝 槽之内部便進人-種非氧化性大氣狀態。碳線加熱器可包含約35〇 條的纖維而束成一纖維束,每一纖維均具有5〜15微米的直徑,並 將9條&此種纖維束編成一直徑2腿的織帶或繩索。直徑在5微米以 了的妷纖維可能沒有足夠的力量可承受製成所需細長形狀之加熱 斋的編織過程。且,除非使用太多纖維線,否則此種纖維可能會 因為太細而無法取得所需電阻,因此使用此種纖維並不實用。此 ^卜’、直徑大於15微米之碳纖維可能缺乏彈性,不僅在加入複數之 石反纖維束時難以編織,且有些束中的纖維具有較差的強度。 山且,碳纖維的表面絨毛可能約在〇· 5〜2· 5腿高。絨毛為破損 線由外部表面向外凸出的一部份。碳線加熱器係藉由絨毛而 &至支承裝置’如此而產生一具有優異次表面加熱均勻性以及 適用於半導體成品之相容加熱單元。 —f另一實施例中,一碳線加熱器可包含100〜800條碳纖維, 母一奴纖維之直徑為5〜15微米而編織成一束。三個或更多的此種 ,維束可織成例如線或帶之縱行結構。碳線加熱器在操作溫度下 可具有1〜20 〇hms/meter的電阻。 口 末端元件(1013A、1013B、1023A、1023B、1033A以及 1033B) 可位於形成一部份之轉換元件(1〇12A、1〇12B、1〇22A、1〇22b、 29 200540937 1032A以及1032B)之小直徑環形石英玻璃管i〇55之内。末端元件 (1013A、1013B、1023A、1023B、1033A以及 1033B)可包含如圖 6A及6B所示之壓縮狀線碳構件。碳線加熱器可插入支承裝置中之 凹部或溝槽中而在末端元件之間延伸。且,碳線加熱器可埋入如 圖6A及6B所示之本身也是壓縮狀態之壓縮線碳構件中。 内部連接線(1014A、1014B、1024A、1024B、1034A 以及 1034B)External cables (817A, 817B, 827A, 827B, 837A, 837B, 847A, and 847B) can be used to couple heating elements (810, 820, 830, and 840) to one or more power sources (not shown). The heating elements (81, 820, 830, and 840) can be independently controlled. In another embodiment, an extra glass tube having a larger diameter than the tube shown in FIG. 8 is provided. Such a smaller tube can be inserted into the large diameter tube, and these tubes can be integrated using a valley joint or welding tool . Internal connecting wires (814A, 814B, 824A, 824B, 834A, 834B, 844A-and 844B) and external connecting wires (817A, 817B, 827A, 827B, 837A,, 837B, 847A, and 847B) can contain 1 to 3mm diameter Molybdenum (Mo) or tungsten (w) rods. The diameters of the internal connection wires and external connection wires can be selected as required, but too small diameters can lead to undesired higher resistance. On the other hand, too large a diameter is not needed because the size of the endpoints can change significantly. In an embodiment, the procedure for manufacturing the bending heater region may include: generating a bending tube containing a conversion element; assembling a line carbon heating member in the bending tube; assembling a terminal element in the conversion element; coupling the terminal element to carbon wire heating The opposite ends of the component are connected to the sealed end area of the internal connection line and the external connection line; and the internal pressure of the bending heater area is lowered below i T0rr before sealing. Fig. 9 shows a schematic diagram showing a heating assembly according to an embodiment of the present invention. In the illustrated embodiment, the heating assembly 900 includes three heating units (910, ',' 920, and 930), and a support device 95. The figure shows three heating units but is not necessary for the invention. In other embodiments, different numbers of heaters, different configurations, and heating units with different shapes may be used. #w,-heating units (⑽, 92G, and 930) can all include-ring quartz glass / carbon wire heaters containing a carbon fiber bundle are sealed in the tube, as previously described. The end of the annular quartz tube can be combined with a conversion element. In the embodiment, the carbon and heater are located in a curved quartz glass tube, and the conversion element does not include a heated state. For example, this makes the radiation from the heater more effectively controlled. In another embodiment, a part of the one or more conversion elements may include a part of the heater. In FIG. 9, the heating units (910, 920, and 930) can be installed in the recesses or grooves in the supporting device 950. In another embodiment, the heating units (91, 92, and 930) may include multi-region elements, as shown in FIG. 10A to 10C are schematic diagrams showing another heating element according to an embodiment of the present invention. In the illustrated embodiment, the heating assembly 1000 includes three heating elements (1010, 1020, and 1030), a support device 1050, and a cover 1070. Three heating elements are shown in the figure, but are not necessary for the present invention. In other embodiments, different numbers of heating elements or different configurations can be used, and the heating elements can also have different shapes. _ The cover 1070 may include a first flat quartz glass plate, and the supporting device 1050 may include a second flat quartz glass plate having a ring-shaped recess or groove (1011, 1021, and 1031), and a carbon wire heater may It may be located in an annular recess or groove. In one embodiment, the cover 1070 and the supporting device 1050 can be welded to each other so that the carbon wire heaters (1012, 1022, and 1032) can be sealed in the integrated member, as shown in FIG. 10c. In addition, the heating assembly 1000 further includes conversion elements (1012A, 1012B, 1022A, 1022B, and 1032A), sealed ends (1019, 1029, and 1039), and connection ends (1017A, 1017B, 1027A, 1027B, 1037A, and 1037B). The heating element (1010, 1020, and 1030) may include a curved recess or groove (1011, 1021, and 1031) in the support device 1050, and a carbon wire heater (1015) containing a carbon fiber bundle , 1025, 1035, and 1045). The ends of the curved trenches (1011, 1021, 1031, and 1041) can be coupled to conversion elements (1012A, 1012B, 1022A, 1022B, 1032A, 1032B, 1042AW & 1042B). In one embodiment, the carbon wire heaters (1015, 1025, 1035, and 1045) are installed in the test grooves (1011, 1021, 1031, and 1041), and the conversion elements (1012A, 1012B, 1022A, 1022B, 1032A, 1032B, 1042A, and 1042B) do not include heaters. This allows, for example, more effective control of the radiation from the heater. In another embodiment, a portion of the one or more conversion elements (1012A, 1012B, 1022A, 1022B, 1032A, 1032B, 1042A, and 1042B) may include a portion of the 27 200540937 heater. Among carbon wires, the weaving span of carbon wires is about 2 ~ 5mm, while the surface fluff of carbon wires (518 in Figure 7) is about 0.5 · 2.5 ~ 2.5 mm high. For example, as shown in FIG. 7, the surface pile may be a part of the broken carbon fiber protruding from the outer surface of the carbon wire. The carbon wire heater can be made so that the fluff is connected to the inner wall of the curved tube, while the carbon wire heater itself is bent, and the inner wall of the tube t is connected. In this way, the reaction of quartz glass (SiO2) and carbon wire plus ^, the stone reaction 3C (C) can be minimized at South temperature, so that the reduction of quartz glass and the durability of carbon wire can be reduced. Degree of reduction. _ In order to achieve this configuration, the internal diameter of the curved recesses or grooves must be based on the diameter and number of carbon fibers in the carbon wire heater. In addition, the amount of carbon fiber (ash content) in carbon fiber heaters is less than 10 ppm. Or less than 3 ppm. In addition, the sealed ends (1019, 1029, and 1039) can be coupled to the ends of the conversion elements (1012A, 1012B, 1022A, 1022B, 1032A, and 1032B). The bifurcated ends (1019, 1029, and 1039) may include a method of sealing the ends of the conversion elements (101, 28, 1012B, 1022A, 1022B, 1032A, and 1032B). For example, a manifold can be used as a sealing tool. Alternatively, a compression seal can be used. In addition, a graded sealing portion may be used and may include different glass materials. Wire-blocking heaters (1015, 1025, and 1035) can be inserted into curved recesses or φ grooves (, 1021, and 1031) and extend between end elements (, 1013B, 1023A, 1023B, 1033A, and 1033B). And the 'end elements (i0i3A, • 1013B, 1023A, 1023B, 1033A, and 1033B) may include a compressed linear carbon member 516 as shown in FIGS. The carbon wire heater can be embedded in a compressed wire carbon member which is also in a compressed state as shown in βA and 6B. The configuration of the wire carbon member and the carbon wire heater can extend substantially parallel to the axis of the end element. In the illustrated embodiment, a circular shape is shown, but it is not necessary for the present invention. Alternatively, for example, a substantially elliptical shape, a substantially square shape, and a substantially rectangular shape may be used. In one embodiment, the material of the tube may include a quartz glass material. In other embodiments, different materials may be used. 28 200540937 For example, carbon wire heaters (1015, 1025, and 1035) can contain carbon wires made from 300 to 35 million, and each dimension is After the bundle 1 having a diameter of 5 to 15 micrometers, a plurality of about nine carbon fibers are woven into a rope or a braid into a diameter of about 2 mm and become a hindrance. The carbon wire heaters (1015, 1025, and 1035) may be arranged in a circle in the support device 1050. However, the wiring arrangement can be freely changed without restriction. The supporting device 1050 can be made into a state with substantially hollow recesses or grooves (following 丨, gift, and 1031), and there is space in its surroundings for its conversion elements. 0 It is made by welding the joint surfaces of the cover 1007 and the support device 1050. After the carbon wire heater is arranged in the recess or groove, the interior of the recess or groove enters a non-oxidizing atmosphere. status. The carbon wire heater can be bundled into a fiber bundle containing about 350 fibers, each fiber having a diameter of 5 to 15 micrometers, and 9 fibers of this type are bundled into a 2-leg diameter webbing or rope. Reed fibers with a diameter of less than 5 microns may not have enough strength to withstand the knitting process of heating to produce the desired elongated shape. And, unless too many fiber threads are used, such fibers may be too thin to obtain the required resistance, so using such fibers is not practical. This carbon fiber with a diameter greater than 15 microns may lack elasticity, and it is not only difficult to weave when adding a plurality of stone antifiber bundles, but also the fibers in some bundles have poor strength. Yamato, the surface fluff of carbon fiber may be about 0.5 to 2.5 legs high. The fluff is the part of the damaged thread that protrudes outward from the outer surface. Carbon wire heaters & to the support means' by means of fluff thus produce a compatible heating unit with excellent subsurface heating uniformity and suitable for semiconductor finished products. -F In another embodiment, a carbon wire heater may include 100 to 800 carbon fibers, and the diameter of the mother-slave fiber is 5 to 15 microns and is woven into a bundle. Three or more such bundles can be woven into a longitudinal structure such as a thread or a belt. Carbon wire heaters can have a resistance of 1 to 20 hms / meter at operating temperature. Mouth end elements (1013A, 1013B, 1023A, 1023B, 1033A, and 1033B) can be located as small as one part of the conversion element (1012A, 1012B, 1022A, 1022b, 29 200540937 1032A, and 1032B) Within the diameter of quartz glass tube i55. The end elements (1013A, 1013B, 1023A, 1023B, 1033A, and 1033B) may include compressed linear carbon members as shown in FIGS. 6A and 6B. The carbon wire heater can be inserted into a recess or groove in the support device to extend between the end elements. Also, the carbon wire heater may be embedded in a compressed wire carbon member which is itself in a compressed state as shown in Figs. 6A and 6B. Internal cables (1014A, 1014B, 1024A, 1024B, 1034A, and 1034B)

可位於形成一部份之轉換元件(1012A、1012B、1022A、1022B、 1032A以及1032B)之小直徑石英玻璃管之内。内部連接線(i〇i4A、 1014B、1024A、1024B、1034A以及1034B)可分別耦合至末端元件 (1013A、1013B、1023A、1023B、1033A以及 1033B),如圖6A及6B ® 所示。例如,内部連接線(1014A、1014B、1024A、1024B、1034A 以及1034B)可壓縮位於末端元件(ι〇13Α、1()13β、1〇23A、1〇2犯、 1033A以及1033B)之内。 如圖’内部連接線(1014A、1014B、1024A、1024B、1034A以 及1034B)可耦合至密封端(1019、1〇29以及1〇39)。此外,外部 連接線(1017A、1017B、1027A、1027B、1037A以及 1037B)亦可 耦合至密封端(1019、1〇29以及1039)。密封端可包含將内部連接 線輕合至外部連接線的一種方法。例如,鉬(Mo)箔(未顯示) 可用來將内部連接線(1014A、1014B、1024A、1024B、1034A以及It can be located in a small diameter quartz glass tube forming a part of the conversion element (1012A, 1012B, 1022A, 1022B, 1032A, and 1032B). Internal connecting wires (i0i4A, 1014B, 1024A, 1024B, 1034A, and 1034B) can be coupled to the end components (1013A, 1013B, 1023A, 1023B, 1033A, and 1033B), respectively, as shown in Figures 6A and 6B ®. For example, the internal connections (1014A, 1014B, 1024A, 1024B, 1034A, and 1034B) can be compressed within the end elements (ι〇13A, 1 () 13β, 1023A, 102, 1033A, and 1033B). As shown in the figure ', the internal connecting wires (1014A, 1014B, 1024A, 1024B, 1034A, and 1034B) can be coupled to the sealed ends (1019, 1029, and 1039). In addition, external connecting wires (1017A, 1017B, 1027A, 1027B, 1037A, and 1037B) can also be coupled to the sealed ends (1019, 1029, and 1039). The sealed end may include a method of lightly closing the internal connecting wire to the external connecting wire. For example, molybdenum (Mo) foil (not shown) can be used to connect internal connections (1014A, 1014B, 1024A, 1024B, 1034A, and

• 1034β)耦合至外部連接線(1017A、1017B、1027A、1027B、1037A • 以及1〇37Β)。此外,密封端(1〇19、1〇29以及1〇39)可包含一或 多個插塞構件(未顯示)以封閉管之末端。例如,密封端可更包 含具有粉化鋁(AhO3)或粉化Si〇2之膠合劑。 外部連接線(1017A、1017B、1027A、1027B、1037A以及 1037B) 可用來將加熱元件(1〇1〇、1〇2〇以及1〇3〇)耦合至一或多個電源 (未顯示)。加熱元件(1010、1020以及1030)均可獨立控制。• 1034β) are coupled to external connection lines (1017A, 1017B, 1027A, 1027B, 1037A • and 1037B). In addition, the sealed ends (1019, 1029, and 1039) may include one or more plug members (not shown) to close the ends of the tube. For example, the sealed end may further include a cement with powdered aluminum (AhO3) or powdered SiO2. External connections (1017A, 1017B, 1027A, 1027B, 1037A, and 1037B) can be used to couple the heating elements (1010, 1020, and 1030) to one or more power sources (not shown). The heating elements (1010, 1020 and 1030) can be controlled independently.

圖中顯示出末端元件(1013A、1013B、1023A、1023B、1033A 以及1/33B)乃部分填充該小直徑石英玻璃管,但對本發明來說並 非必須。在另一實施例中,末端元件可以有不同的尺寸以及不同 200540937 的位置。此外,末端元件可位於凹部或溝槽中。· 在另一實施例中,一或多個小玻璃管可以省略。例如,一宓 封端1090可耦合至支承裝置1050的底部,且來自碳線加熱器之二 線可透過支承裝置1050内的開口(未顯示)而垂直拉出至底部加 熱器表面。密封端1090可包含將端線耦合至外部連接線的丄種方 法0 ^此外,密封端可包含導入氮氣的一種方法以避免碳線加熱器 氧化,並且也包含降低加熱器以及端部之内部壓力的一種方法。The figure shows that the end elements (1013A, 1013B, 1023A, 1023B, 1033A, and 1 / 33B) are partially filled with the small-diameter quartz glass tube, but are not necessary for the present invention. In another embodiment, the end elements may have different sizes and different locations of 200540937. Furthermore, the end element may be located in a recess or groove. · In another embodiment, one or more small glass tubes may be omitted. For example, a stack of caps 1090 may be coupled to the bottom of the support device 1050, and the second wire from the carbon wire heater may be pulled out vertically to the bottom heater surface through an opening (not shown) in the support device 1050. The sealed end 1090 may include a method of coupling the end wire to an external connection line. In addition, the sealed end may include a method of introducing nitrogen to avoid oxidation of the carbon wire heater, and also includes reducing the internal pressure of the heater and the end. A way.

雖然鉬(Mo)箔可用於導電,但也可使用另一種例如鎢(w) 箔的材質來取代,但鉬(Mo)箔的彈性較佳。 至於插塞構件,可使用樹脂或膠合劑(使用粉化&〇2或尅2〇 以避免因形成時乾燥而破裂。 在另一實施例中,加熱元件(1010、1020以及1〇3〇)可包含 如圖8所示之多區域區段。 石反線加熱杰可利用編織複數條碳纖維束而製成,每一碳纖維 今均由超細之碳纖維組成。比起傳統之金屬加熱元件,碳線加埶 器可具有較亡之熱容量以及較快之溫度變動率。且碳線加熱器^ 有優異之耐高溫性。由於碳線加熱器係利用編織複數 而製成’每-碳纖維束均由非常細之碳纖維組成,其便 之弹性且可輕易地當作一半導體加熱單元而加入各種配置中。 ^圖丨丨人顯^示一簡略方塊圖,顯示根據本發明之一實施例中之一 單晶圓加熱H組件。在說明之實施例中,顯示之單晶圓加埶組件 11⑽A包含兩個加熱組件(111〇以及112〇),但對本發明來^並非 必須。在其他實施例中,則可使用不同的配置。例如, 以想像成圓形、非圓形、平面以及非平面的各種應用。 在圖11A中,一位於基板113〇下之加熱組件111〇具有一戋多個 ,該加熱元件中並含有—或多個碳線加熱器。底部加敎 ::二L包ί一石英支承裝置,而該含有一或多個碳線加熱器 之或夕個加熱疋件可組裝於石英支承裝置中。例如,加熱組件 31 200540937 1110可利用一單一區段加熱元件以及一多區段加熱元件至少其中 之一而製成。加熱組件1110可以是一基板支架(未顯示)的一部 份。 "Although a molybdenum (Mo) foil can be used for electrical conduction, another material such as a tungsten (w) foil can be used instead, but the elasticity of the molybdenum (Mo) foil is better. As for the plug member, a resin or an adhesive (using pulverization & 2 or g 2 0 can be used to avoid cracking due to drying during formation. In another embodiment, the heating elements (1010, 1020, and 103) are used. ) Can include multi-zone sections as shown in Figure 8. Stone counter heating can be made by weaving multiple carbon fiber bundles, each of which is now composed of ultra-fine carbon fibers. Compared to traditional metal heating elements, The carbon wire heater can have a relatively low heat capacity and a fast temperature change rate. And the carbon wire heater ^ has excellent high temperature resistance. Because the carbon wire heater is made of multiple woven fabrics, Composed of very fine carbon fiber, it is flexible and can be easily added to various configurations as a semiconductor heating unit. ^ 图 丨 丨 人 显 ^ Shows a simplified block diagram showing an embodiment of the present invention. A single wafer heating H module. In the illustrated embodiment, the single wafer plus module 11A shown includes two heating modules (111 and 112), but it is not necessary for the present invention. In other embodiments , Then Different configurations. For example, to imagine various applications that are circular, non-circular, planar, and non-planar. In FIG. 11A, a heating module 111o under a substrate 113 has a plurality of heating elements, and the heating element And contains—or multiple carbon wire heaters. The bottom is attached with :: two L packages and a quartz support device, and the heating element containing one or more carbon wire heaters can be assembled in the quartz support device. For example, the heating element 31 200540937 1110 may be made using at least one of a single-segment heating element and a multi-segment heating element. The heating element 1110 may be part of a substrate holder (not shown). &Quot;

一第一加熱組件1120位於基板1130上方,亦包含一或多個加 熱元件’其加熱元件亦含有一或多個碳線加熱器。上部加熱組件 1120可包含一石英支承裝置,而該含有一或多個碳線加熱^之一 或多個加熱元件可組裝於石英支承裝置中。例如,加熱組件112〇 可利用一單一區段加熱元件以及一多區段加熱元件至少其中之一 而製成。加熱組件1120可以是一處理室(未顯示)中之上部組件 的一部份。箭頭1170係指出由加熱單元輻射出的方向。加熱元件 所輻射出的圖案可以不相同,且遍及晶圓之上表面及下表^均可 以有變動的輻射(加熱)圖案。 使用一或多個加熱組件可提供基板113〇較快速且更一致的熱 能。一晶圓支座1140可用來固定且支承兩加熱組件之間的基板了 或者,基板也可位於下部組件1Π0之上。 土 一控制器1150A可耦合至且控制下部組件111〇、上部組件112〇 以及晶圓支座1140。晶圓支座1140可用於極小化以及/或消除基板 底部之陰影屏蔽。控制可用於固定基板,以提供獨立電 至上部組件及下部組件中之每一碳線加熱器。或者,上部纟且件/、 1·、下部組件1110以及/或晶圓支座可包含一或多個溫 測(未顯示)’其可麵合至控制器内,而用來控制 ^ 部組件以及/或基板的溫度。 、丁 r 控制為1150A可提供一時變功率位準至加熱組件中之一 個碳線加熱ϋ。此畴功率位準可包含—階級純、 函 二脈巧t數、了常數函數、-調制函數以及前述的組合。加熱組 件之奴線加熱器以及低熱質量可使溫度變動快速。 〃、、、、 圖11B顯示一簡略方塊圖,顯示根據本發明之一實施 晶圓加熱器組件。在所示實施例中,顯示一多 _ ’其包含三個加熱組件(1⑸、以及1153) 32A first heating element 1120 is located above the substrate 1130 and also includes one or more heating elements', and its heating element also contains one or more carbon wire heaters. The upper heating unit 1120 may include a quartz support device, and one or more heating elements containing one or more carbon wire heating elements may be assembled in the quartz support device. For example, the heating module 112 may be manufactured using at least one of a single-zone heating element and a multi-zone heating element. The heating assembly 1120 may be part of an upper assembly in a processing chamber (not shown). Arrow 1170 indicates the direction radiated by the heating unit. The pattern radiated by the heating element can be different, and the radiation (heating) pattern can be changed throughout the upper surface of the wafer and the following table ^. The use of one or more heating elements can provide a faster and more consistent thermal energy for the substrate 113. A wafer support 1140 may be used to fix and support the substrate between the two heating components. Alternatively, the substrate may be located on the lower component 1Π0. A soil controller 1150A may be coupled to and control the lower component 111, the upper component 112, and the wafer support 1140. The wafer support 1140 can be used to minimize and / or eliminate shadow shielding at the bottom of the substrate. Controls can be used to secure the substrate to provide independent power to each carbon wire heater in the upper and lower components. Alternatively, the upper unit / 1, the lower unit 1110, and / or the wafer support may include one or more temperature measurements (not shown), which can be integrated into the controller to control the unit. And / or the temperature of the substrate. The control of D1 to 1150A can provide a time-varying power level to one carbon wire heating element in the heating assembly. This domain power level may include-class pure, function t-number, constant function, -modulation function, and combinations of the foregoing. Slave wire heaters for heating components and low thermal mass can cause rapid temperature changes. Figure 11B shows a simplified block diagram showing a wafer heater assembly implemented in accordance with one of the present inventions. In the embodiment shown, a multiple _ 'is shown which contains three heating components (1⑸, and 1153) 32

200540937 friii非必須。在其他實施例中,可使用不同數量之加熱組件, ΪΤίϊΤ同數量的位置,且可使用不同的配置。例如,實施例 r f f=、非圓形、平面以及非平面的各種應用。箭頭117〇 係才曰出由加熱單元輻射出的方向。 夕個imi1151、1152以及ιΐ53)可包含—或多個具有一或 =、友為之加熱元件。加熱組件(1151、1152以及1153) I 央支承裝置,而該—或多個具有—或多個碳線加熱器之 絲至石英支承裝肋。例如,加熱組件(ii5卜ιΐ52 由i可利用一單一區段加熱元件以及一多區段加熱元件至 夕一又之一而製成。一或多個加熱組件可包含一基板支座(未顯 不)〇 ^所示實施例中,係顯示出兩個晶圓(1腿以及薦B),但 、’体毛明並非必須。在其他實施财,可使用不同數量之晶圓, 且可,用不同的配置。個別的晶圓支座Π1概以及丨丨刪可分 別固定並支承位於兩加触叙關基板 加熱組件其巾之-上。 使用多位置加熱組件可以提供更高的產出。多位置加熱組件 可以為多數基板(113GA以及11施)提供更快且更—致的$能。 一控制器ιι_可轉合至並祕控制加熱組件(115卜^ 1152以 以及晶圓支座⑴佩以幻剛)。晶®支座(114_ 可用來極小化以及/或消除基板底部之陰影屏蔽。控制 為1150B可用於固定基板,以提供獨立f源至加熱組件(ιι.ιΐ52 ^及1153)。中之每一碳線加熱器。溫度感測器(未顯示)亦可搞 5至控制器’而用來控制加熱組件⑴5卜1152以及 基板的溫磨。 控制器115GB可提供-日$變神位準至加触件巾之一或多 個碳線加熱ϋ。此時變功率位準可包含—階級函數、—斜坡函數、 :脈數一常數函數、—調制函數以及前述的組合。加熱組 件之奴線加熱器以及低熱質量可使溫度變動快速。 33 200540937 圖12顯示一簡略方塊圖,顯示根據本發明之另一實施例中之 一單晶圓加熱器組件。在所述實施例中顯示出,一單一加熱組件 1210包含一或多個加熱元件κι?,而加熱元件1212中並含有一或 $個奴線加熱器。此外,本實施例中更顯示出一晶圓丨23〇、一晶 圓支座1240以及一控制器1250,但對本發明並非必須。在其他實 施例中,可使用不同的配置。例如,可使用額外的加熱組件。或 者’晶圓支座也可支承一個以上的晶圓。 一控制器1250可耦合至並可控制加熱組件溫度感測器(未 顯示)亦可耦合至控制器,而用來控制加熱組件121〇的溫度。200540937 friii is not required. In other embodiments, different numbers of heating components can be used, the same number of locations can be used, and different configurations can be used. For example, embodiments r f f =, non-circular, planar, and non-planar various applications. Arrow 117〇 indicates the direction radiated by the heating unit. For example, imi1151, 1152, and ιΐ53) may include—or a plurality of heating elements having one or =, and a friend for. The heating assemblies (1151, 1152, and 1153) are centrally supported, and the—or multiple—carbon-wire heater-to-quartz support ribs are used. For example, the heating element (ii5) 52 is made from a single-segment heating element and a multi-segment heating element to one after another. One or more heating elements may include a substrate support (not shown No) In the embodiment shown, two wafers are shown (1 leg and recommended B), but 'body hair is not necessary. In other implementations, different numbers of wafers can be used, and they can be used. Different configurations. The individual wafer supports Π1 and 丨 丨 can be fixed and supported separately on the two heating elements of the substrate heating module. Using multi-position heating modules can provide higher output. Multi Position heating components can provide faster and more consistent power for most substrates (113GA and 11 applications). A controller can be transferred to the control heating components (115 ^ 1152 and wafer holders). Wear magic diamond.) Crystal® support (114_ can be used to minimize and / or eliminate shadow shielding at the bottom of the substrate. Controlled to 1150B can be used to fix the substrate to provide an independent source to the heating assembly (ιι.ιΐ52 ^ and 1153) .Each carbon wire heater. A degree sensor (not shown) can also be used to control the temperature of the heating module, 5152 and the substrate. It can also provide 5 to the controller. The controller 115GB can provide-day $ to change the level to one of the touch towel Or multiple carbon wire heating ϋ. At this time, the variable power level can include-class function,-ramp function,: pulse number-a constant function,-modulation function and the combination of the foregoing. The slave wire heater of the heating assembly and the low thermal mass can be Make temperature change fast. 33 200540937 FIG. 12 shows a simplified block diagram showing a single wafer heater module according to another embodiment of the present invention. In the embodiment shown, a single heating module 1210 includes a Or more heating elements κι ?, and the heating element 1212 does not include one or $ slave wire heaters. In addition, in this embodiment, a wafer 23o, a wafer support 1240, and a controller are further shown. 1250, but it is not necessary for the present invention. In other embodiments, different configurations may be used. For example, additional heating components may be used. Or 'wafer holder may support more than one wafer. A controller 1250 may be coupled A temperature sensor (not shown) that can control the heating module can also be coupled to the controller to control the temperature of the heating module 121 °.

控制器1250可提供一時變功率位準至加熱組件βίο中之一或 =個加熱元件1212 (碳線加熱器)。此時變功率位準可包含一階級 j數、一斜坡函數、一脈動函數、一常數函數、一調制函數以及 前述的組合。加熱組件之碳線加熱器以及低熱質量可使溫度變動 快速。 加熱組件1210可包含一支承裝置,該支承裝置中可組裝有一 土夕個$有一或多個碳線加熱器之加熱元件1212。該支承裝置可 含有石英。 晶圓支座1240可含有例如石英或碳化矽之非金屬材質。晶圓 •^座124G係顯示出具有三個支承點,但對本發日股非必須。在另 ^卜之實施射,可個不制支承點,且支承點也可有不同 的配置。例如,實施例也可想像成非圓形的應用。 控制器1250可轉合至且可控制晶圓支座·。晶圓支座謂 了極小化以及/或消除基板底部之陰影屏蔽。晶圓支座124〇可用於 及/或固定基板。例如,晶圓支座可提供一基板〆晶圓 ^垂直移動、水平移動以及旋轉移動至少其中之一。或者, 含—或多個溫度感測器(未顯示),該溫度感測器 T耦δ至控制裔而用於控制基板的溫度。 S顯t簡略方塊圖,顯示根據本發明中之單晶圓加熱器 、、且件之另-貫知例。在所述實施例中係顯示出,—單—加熱組件 34 200540937 1310係包含一或多個加熱元件1312以及複數之晶圓支承元件 =20,其中加熱元件丨312更包含一或多個碳線加熱器。此外,本 實施例亦顯示出-晶圓1330、-基板固定器134〇以及一控制器 1350,但對本發明來說並非必須。在其他實施例中,亦可使用不 同的配置。例如,可使用額外的加熱組件以及/或支承組件。 加熱組件1310可包含一石英支承裝置,而該具有一或多個碳 線加熱器之一或多個加熱元件則可組裝於該石英支承裝置中。 單一加熱組件1310可含有例如石英或碳化矽之非金屬材質。 加,組件1310係顯示出具有三個晶圓支承元件132〇,但對本發明 來說並非必須。在另外之實施例中,可使用不同數量之晶圓支承 ^元件1320,且晶圓支承元件1320也可有不同的配置。例如,實施 例也可想像成具有彎曲以及/或直線特性的晶圓支承元件丨32〇。或 者,加熱組件1310以及/或晶圓支承元件1320可包令—或多個溫度 感測器(未顯示),該溫度感測器可耦合至控制器而用於控制基板 的溫度。 控制器1350可搞合至並可控制加熱組件131〇,且控制器1350 可提供一時變功率位準至加熱組件1310中之一或多個加熱&件 1312 (碳線加熱器)。此時變功率位準可包含一階級函數、一斜坡 函數、一脈動函數、一常數函數、一調制函數以及前述的組合。 φ 加熱組件之碳線加熱器以及低熱質量可使溫度變動快速。 控制器1350可耦合至並可控制基板固定器1340。基板固定器 1340可用於移動以及/或固定基板。例如,基板固定器1340可提供 一基板/晶圓之垂直移動、水平移動以及旋轉移動至少其中之一。 或者,基板固定器1340也可有不同的配置。 圖14顯示一簡略方塊圖,顯示根據本發明中之單晶圓加熱器 組件之另一實施例。 在所述實施例中,顯示出一單一晶圓加熱組件1400係包含兩 個加熱組件(1410以及1420),但對本發明並非必須。在其他實施 例中,也可使用不同的配置。例如,實施例可想像成圓形、非圓 35 200540937 形、平面以及非平面的應用。在圖14中,係顯示出一側視圖、 俯視圖以及一仰視圖。 在圖14中,一位於基板1430下方之加熱組件1410係包含一或 多個具有一或多個碳線加熱器之加熱元件。底部加熱組件141〇可 包含一石英支承裝置,且該具有一或多個碳線加熱器之一或多個 加熱元件1412可組裝於該石英支承裝置内。例如,加熱元件1412 可利用一單一區段加熱元件以及一多區段加熱元件至少其中之一 而製成。或者,加熱組件1410可包含一基板支承裝置(未顯示)。The controller 1250 can provide a time-varying power level to one of the heating components βίο or one heating element 1212 (carbon wire heater). The variable power level at this time may include a class j number, a ramp function, a pulsation function, a constant function, a modulation function, and a combination of the foregoing. The carbon wire heater of the heating unit and the low thermal mass allow rapid temperature changes. The heating assembly 1210 may include a supporting device, and a heating element 1212 having one or more carbon wire heaters may be assembled in the supporting device. The support device may contain quartz. The wafer support 1240 may contain a non-metal material such as quartz or silicon carbide. Wafer • The 124G series is shown to have three support points, but is not necessary for this issue. In the other implementation, there can be no support points, and the support points can also have different configurations. For example, the embodiments can also be imagined as non-circular applications. The controller 1250 can be turned to and can control the wafer holder. Wafer supports are meant to minimize and / or eliminate shadow shielding at the bottom of the substrate. The wafer support 124 may be used for and / or fixing a substrate. For example, the wafer support may provide a substrate / wafer. At least one of vertical movement, horizontal movement, and rotational movement. Alternatively, it includes—or a plurality of temperature sensors (not shown), and the temperature sensor T is coupled to δ to control the temperature of the substrate. S is a simplified block diagram showing another conventional example of the single-wafer heater according to the present invention. In the described embodiment, it is shown that the -single-heating component 34 200540937 1310 includes one or more heating elements 1312 and a plurality of wafer supporting elements = 20, wherein the heating element 312 further includes one or more carbon wires Heater. In addition, the present embodiment also shows a wafer 1330, a substrate holder 1340, and a controller 1350, but it is not necessary for the present invention. In other embodiments, different configurations may be used. For example, additional heating components and / or support components may be used. The heating assembly 1310 may include a quartz support device, and one or more heating elements having one or more carbon heaters may be assembled in the quartz support device. The single heating element 1310 may include a non-metal material such as quartz or silicon carbide. In addition, the module 1310 is shown to have three wafer support elements 132, but it is not necessary for the present invention. In other embodiments, different numbers of wafers may be used to support the element 1320, and the wafer support elements 1320 may have different configurations. For example, the embodiment can also be imagined as a wafer support element with bending and / or linear characteristics. Alternatively, the heating assembly 1310 and / or the wafer support element 1320 may include—or multiple temperature sensors (not shown), which may be coupled to a controller for controlling the temperature of the substrate. The controller 1350 can be coupled to and can control the heating module 1310, and the controller 1350 can provide a time-varying power level to one or more of the heating modules 1310 (carbon heaters). The variable power level at this time may include a class function, a ramp function, a pulsation function, a constant function, a modulation function, and combinations thereof. The carbon wire heater of the φ heating element and the low thermal mass can make the temperature change quickly. The controller 1350 may be coupled to and may control the substrate holder 1340. The substrate holder 1340 can be used to move and / or secure a substrate. For example, the substrate holder 1340 may provide at least one of vertical movement, horizontal movement, and rotational movement of a substrate / wafer. Alternatively, the substrate holder 1340 may have a different configuration. FIG. 14 shows a simplified block diagram showing another embodiment of a single wafer heater module according to the present invention. In the illustrated embodiment, a single wafer heating module 1400 is shown to include two heating modules (1410 and 1420), but this is not necessary for the present invention. In other embodiments, different configurations may be used. For example, embodiments can be imagined as circular, non-circular 35 200540937 shaped, planar, and non-planar applications. In FIG. 14, a side view, a top view, and a bottom view are shown. In FIG. 14, a heating element 1410 located below the substrate 1430 includes one or more heating elements having one or more carbon wire heaters. The bottom heating assembly 1410 may include a quartz support device, and the heating element 1412 having one or more carbon wire heaters may be assembled in the quartz support device. For example, the heating element 1412 may be manufactured using at least one of a single-zone heating element and a multi-zone heating element. Alternatively, the heating assembly 1410 may include a substrate supporting device (not shown).

一第二加熱組件1420位於基板1430上方,其包含一或多個加 熱元件1422,加熱元件1422亦含有一或多個碳線加熱器。上部加 熱組件1420可包含一石英支承裝置,而該含有一或多個碳線加熱 器之一或多個加熱元件可組裝於石英支承裝置中。例如,加熱g 件1422可利用一單一區段加熱元件以及一多區段加熱元件至少其 中之一而製成。加熱組件1420可以是一處理室(未|員示)中之^ 部組件的一部份。箭頭1470係指出由加熱單元輻射出的方向。加 熱元件所輻射出_案可以不_,且遍及晶圓之±表面及 面均可以有變動的輻射(加熱)圖案。使用一或多個加熱組 提供基板1430較快速且更一致的熱能。 一晶圓支座1440可用於固定以及/或支承位於兩加熱組件之 間的基板。或者,基板也可固定於下部組件141〇上。 -控制器可耦合至且餘控辭雜件141()、 1420以及晶圓支座1440。晶圓支座144〇可用於極小化以及 基板底部之陰影屏蔽。控㈣丨可胁固絲板 立 電源至上部組件及下部組件中之每—碳線加熱器 ,,下部組件141〇以及/或晶圓支座i侧可包含^多個U =斋(未顯不),該溫度感測I!可麵合至控制器而用來 組件、下部組件以及/或基板的溫度。 ^ = 111450可提供-時變功率位準至加熱組件中之 石厌線加熱器。此義功率鱗可包含—階級函數、—斜坡=數<、 36 200540937 一脈動函數、一常數函數、一調制函數以及前述的組合。加熱組 件之碳線加熱器以及低熱質量可使溫度變動快速。 例如’加熱元件可包含一或多個可包含於石英管以及/或石英 支承裝置中之碳線加熱器。每一部分均顯示出具有複數之線性形 狀之加熱元件,但對本發明並非必須。在另外之實施例中,可使 用不同的配置。例如,實施例可想像成具有彎曲以及/或直線特性 的加熱元件。此外,雖然此處顯示出一圓形晶圓,但對本發明並 非必須。或者,也可容納非圓形晶圓以及/或基板。 ^圖15顯示一簡略方塊圖,顯示根據本發明中之單一晶圓加熱 赢态組件之另一實施例。在圖15中,係顯示出一側視圖以及一俯視/ •仰視圖。 在所述實施例中,係顯示一具有複數之U型加熱元件1520之單 一加熱組件1510,但對本發明並非必須。在其他實施例中,可使 用不同的配置。例如,可使用不同數量以及/或不同形狀之加熱元 件。每一U型元件可包含具有一或多個碳線加熱器之一或多個加熱 元件。該加熱器可包含於石英管以及/或石英管支承裝置之中。此 外,雖然本例係顯示一圓形晶圓,但對本發明並非必須。或者, 也可容納非圓形晶圓以及/或基板。 、 ,線管加熱器可彎曲入一 U型設計中以簡化所需加熱器元件 鲁的數罝。在某些狀況下,管狀的加熱器的製造維修較為低廉,且 可提供優於盤型加熱器更高的彈性。 • 例如,加熱元件1520可利用一單一區段加熱元件以及一多區 段加熱元件至少其中之一而製成。加熱元件所輻射出的圖案可以 不,同’且遍及晶®之上表面及下表面均可以有變動的輻加 熱)圖案。 &使用一或多個加熱組件可提供基板153〇較快速且更一致的熱 月匕。、曰曰圓支座1540可用來固定且支承位於^型加熱組件中之基 板。或者,基板也可位於加熱組件之下部上。 L制器1550可_合至且用於控制加熱組件1520以及晶圓支 37 200540937 座1540。晶圓支座1540可用於極小化以及/或消除基板底部之跨鸟 屏蔽。控制器1550可用於固定基板,以提供獨立電源至加熱 1520中之每一碳線加熱器。或者,加熱元件152〇以及/或晶圓 1540可包含-或多個溫度感測器(未顯示),該溫度感測器可 至控制器而用來控制加熱器組件以及/或基板的溫度。 口 控制器1550可提供-時變功率位準至加熱組件中之 碳線加熱ϋ。此時變功率位準可包含—階級函數、—斜坡函數、 -脈動函數、-常數函數、-調制函數以及前述的組合。加熱組 件之碳線加熱器以及低熱質量可使溫度變動快速。 …、 由於此處描狀加熱树具有高化學純度,且可提供非常低 ^度,金屬污染,故碳線域器可^錢外的遮罩或被動層即可 ΐί ί ΐ室巾而可在處財保護加鮮免於_或與處理氣體的 處理反,。額外的遮罩或被動層可能會降低加熱器的效能。 此處所描述之晶圓加熱組件可提供強化之處理效能,例 中的多加熱區域之獨立熱控制而達成之具#減小或“ 更H升溫(thermal ramp up),以及藉由與碳線加熱 熱質量所提供之快速熱回應而達成之可快速反應 之晶圓加熱組件可用來脈動調節熱處理,該熱處 而ΐίι、=線加熱器元件相關之低熱質量所提供之快速熱回應 薄膜㈣。在形成一層層薄膜時,可提供比傳統的處理更高之 亩古提供一改良之溫度調整控制。本發明係利用一在垂 •5ΓΛ 1— / 〇方向性之加熱元件而提供一加熱單元,該加熱單元 酉己上之晶圓/基板至—需要狀態。該推薦之加熱單 熱梯度得可使遍及晶圓區域的 而、查/在種配置下,獨立的加熱器元件可彼此分離, 度。在其典型連續區塊加熱ϋ更高之遍及晶圓的熱梯 又 八貫也例中,則可使用一非平面的配置。例如,加熱器 38 200540937 1可^置於晶圓邊緣的隔壁以及/或上方以補償來理 率損耗°提高位於㈣表面之加熱器元件 iki、對^遍及晶圓之熱梯度更多的控制,因此域善均句性。 雖然前面僅詳細描述本發明之特定實施例,對於熟習 者,在不縣雜本發明之新穎性教導以及優點之情形下,對二 =示範實施例之各讎改均射行。,所有轉修改均應 包含於本發明之範圍之内。 【圖式簡單說明】A second heating element 1420 is located above the substrate 1430 and includes one or more heating elements 1422. The heating element 1422 also contains one or more carbon wire heaters. The upper heating module 1420 may include a quartz support device, and one or more heating elements containing one or more carbon wire heaters may be assembled in the quartz support device. For example, the heating element 1422 may be made using at least one of a single-zone heating element and a multi-zone heating element. The heating assembly 1420 may be a part of one of the components in a processing chamber (not shown). Arrow 1470 indicates the direction radiated by the heating unit. The radiation emitted by the heating element can be omitted, and the radiation (heating) pattern can be changed throughout the ± surface and the surface of the wafer. Using one or more heating groups provides faster and more consistent thermal energy for the substrate 1430. A wafer support 1440 can be used to hold and / or support a substrate located between two heating components. Alternatively, the substrate may be fixed on the lower component 1410. -The controller can be coupled to the control chip 141 (), 1420 and the wafer support 1440. The wafer support 1440 can be used for miniaturization and shadow shielding at the bottom of the substrate. The control unit can be used to fix the power supply to the upper module and each of the lower modules—carbon wire heaters. The lower module 1410 and / or the i-side of the wafer support may include multiple U = fast (not shown) No), the temperature sensor I! Can be used to connect the controller to the temperature of the component, the lower component and / or the substrate. ^ = 111450 can provide-time-varying power levels to the stone exhaust line heater in the heating assembly. The meaning power scale can include-a class function,-ramp = number < 36 200540937 a pulsation function, a constant function, a modulation function, and a combination of the foregoing. Carbon wire heaters for heating components and low thermal mass can cause rapid temperature changes. For example, the 'heating element may comprise one or more carbon wire heaters which may be contained in a quartz tube and / or a quartz support device. Each part shows a heating element having a plurality of linear shapes, but it is not necessary for the present invention. In other embodiments, different configurations may be used. For example, embodiments can be imagined as heating elements having curved and / or linear characteristics. In addition, although a circular wafer is shown here, it is not necessary for the present invention. Alternatively, non-circular wafers and / or substrates can also be accommodated. ^ FIG. 15 shows a simplified block diagram showing another embodiment of a single wafer heating win state device according to the present invention. In Fig. 15, a side view and a top / bottom view are shown. In the illustrated embodiment, a single heating module 1510 having a plurality of U-shaped heating elements 1520 is shown, but it is not necessary for the present invention. In other embodiments, different configurations may be used. For example, different numbers and / or different shapes of heating elements can be used. Each U-shaped element may include one or more heating elements having one or more carbon wire heaters. The heater may be included in a quartz tube and / or a quartz tube support device. In addition, although this example shows a circular wafer, it is not necessary for the present invention. Alternatively, non-circular wafers and / or substrates can also be accommodated. The tube heater can be bent into a U-shaped design to simplify the number of heater elements required. In some cases, tubular heaters are less expensive to manufacture and maintain, and can provide greater flexibility than disk heaters. • For example, the heating element 1520 may be manufactured using at least one of a single-zone heating element and a multi-zone heating element. The pattern radiated by the heating element may not be the same as the pattern, and the pattern can be varied throughout the upper and lower surfaces of the wafer. & Using one or more heating elements can provide a faster and more consistent thermal moon dagger for the substrate 153. The round support 1540 can be used to fix and support the base plate located in the heating element. Alternatively, the substrate may be located on the lower portion of the heating assembly. The L controller 1550 can be combined and used to control the heating module 1520 and the wafer support 37 200540937 seat 1540. The wafer support 1540 can be used to minimize and / or eliminate cross-bird shielding at the bottom of the substrate. The controller 1550 can be used to fix the substrate to provide an independent power source to heat each carbon wire heater in the 1520. Alternatively, the heating element 1520 and / or the wafer 1540 may include-or more temperature sensors (not shown), which may be connected to a controller to control the temperature of the heater assembly and / or the substrate. The port controller 1550 can provide time-varying power levels to carbon wire heating coils in the heating assembly. In this case, the variable power level may include-a class function, a-ramp function, a pulsation function, a constant function, a modulation function, and combinations thereof. Carbon wire heaters for heating components and low thermal mass can cause rapid temperature changes. …, Because the trace heating tree here has high chemical purity, and can provide very low temperature and metal pollution, the carbon wire domain device can be used as a mask or passive layer outside the window. Disposal and protection of freshness from _ or against the treatment of processing gas. Additional masking or passive layers may reduce the effectiveness of the heater. The wafer heating components described here can provide enhanced processing performance. In the example, independent thermal control of multiple heating zones is achieved with #reduction or "thermal ramp up," and heating with carbon wires. The rapid thermal response provided by the thermal mass provides a fast-response wafer heating assembly that can be used to pulsate-adjust the heat treatment. The thermal treatment is based on the rapid thermal response film provided by the low thermal mass associated with the wire heater element. When forming a layer-by-layer film, it can provide a higher acreage than the traditional treatment and provide an improved temperature adjustment control. The present invention provides a heating unit using a heating element with a vertical direction of 5 Λ 1-/ 〇 direction, the The wafer / substrate on the heating unit is in a required state. The recommended single thermal gradient of the heating unit is to enable the individual heater elements to be separated from each other in this configuration throughout the wafer area. In its typical continuous block heating, where the heat ladder is even higher throughout the wafer, a non-planar configuration can be used. For example, the heater 38 200540937 1 can be placed on the wafer The marginal walls and / or above are compensated to reduce the rate loss. Increasing the heater element iki located on the surface of the cymbal, and more control over the thermal gradient across the wafer, so the domain is well-balanced. For a specific embodiment of the invention, for those who are familiar with the novelty teachings and advantages of the present invention, all the modifications of the two = exemplary embodiments are shot. All modifications should be included in the present invention Within the scope. [Schematic explanation]

圖1顯示-解說性方塊圖,示意顯示根據本發明之一實施例中 之一處理系統; 圖2A顯示一簡略橫剖面圖,顯示根據本發明之一實施例中之 一基板支座; 圖2B顯示一簡略方塊橫剖面圖,顯示根據本發明之另一實施 例中之一基板支座; 圖3A〜3C顯示解說性示意圖,顯示根據本發明之一實施例中 之加熱器單元; 圖4顯示一不思圖,顯示根據本發明之一實施例中之另一加敎 器單元; ^ 圖5顯示一簡圖,顯示根據本發明之一實施例中之一加熱器單 元; 圖6A顯示一縱向橫剖面圖,顯示根據本發明之一實施例中, 用以I禺合一連接線以及碳線加熱器之末端元件; 圖6B顯示一側剖面圖,顯示根據本發明之一實施例中之末端 元件; 圖7顯示一平面圖,顯示根據本發明之一實施例中之碳線加熱 器; 圖8顯示一簡圖,顯示根據本發明之一實施例中之多區域加熱 ΧίΌ — 早兀; 圖9顯示一簡圖,顯示根據本發明之一實施例中之一多元件加 39 200540937 熱單元; 圖10A〜10C顯示簡圖,顧 多元件加熱組件; ’y、根據本發明之一實施例中之另 組件ίΓί&Γ方塊圖,顯示根據本發财之單晶圓加熱器 圖11Β顯示一簡略方塊圖,顯示根據本發明之一實施例中之多 晶圓加熱器組件; 圖12顯示一簡略方塊圖,顯杀根據本發明之另一實施例中之 一單晶圓加熱器組件;FIG. 1 shows an illustrative block diagram schematically showing a processing system according to an embodiment of the present invention; FIG. 2A shows a schematic cross-sectional view showing a substrate support according to an embodiment of the present invention; FIG. 2B A schematic block cross-sectional view is shown, showing a substrate support according to another embodiment of the present invention; FIGS. 3A to 3C are explanatory diagrams showing a heater unit according to an embodiment of the present invention; FIG. 4 shows A figure showing another heater unit according to an embodiment of the present invention; FIG. 5 shows a schematic diagram showing a heater unit according to an embodiment of the present invention; FIG. 6A shows a longitudinal direction A cross-sectional view showing an end element for a 1-in-1 connection cable and a carbon wire heater in accordance with an embodiment of the present invention; FIG. 6B shows a side cross-sectional view showing the end in accordance with an embodiment of the present invention Element; FIG. 7 shows a plan view showing a carbon wire heater according to an embodiment of the present invention; FIG. 8 shows a schematic view showing multi-zone heating according to an embodiment of the present invention. Fig. 9 shows a schematic diagram showing a multi-element plus 39 200540937 thermal unit according to an embodiment of the present invention; Figs. 10A to 10C show schematic diagrams of a multi-element heating assembly; 'y. Implementation according to one of the present invention Another block in the example is a block diagram showing a single wafer heater according to the present invention. FIG. 11B shows a simplified block diagram showing a multi-wafer heater module according to an embodiment of the present invention. FIG. 12 shows A simplified block diagram showing a single wafer heater assembly according to another embodiment of the present invention;

圖13顯示一簡略方塊圖,顯不根據本1明中之卓晶圓加熱器 組件之另一實施例; 圖14Α〜14C顯示一簡略方塊圖,顯示根據本發明中之單晶圓加 熱器組件之另一實絲祝· 圖15Α〜15Β顯示-簡略方塊圖,鮮根據本發明中之單晶圓加 熱态組件之另一實施例。 【主要元件符號說明】 1〇0處理系統 110處理室 112處理空間 12〇上部組件 130控制器 140基板支座 142加熱單元 144加熱單元 145基板 150壓力控制系統 152真空泵 154閘閥 WO壁溫控制單元 200540937 166 壁溫控制元件 170 氣體供應系統 175 氣體分配板 180 電源 185 射頻系統 190閘閥組件 194 開口 200基板支座 210加熱單元 210A加熱單元 212A 支撐裝置 215 定心環 220加熱組件 220A加熱器組件 225 上升部 230熱障壁 240 冷卻單元 250耦合單元 300A加熱器單元 300B加熱器單元 300C加熱器單元 310圓形中心區域 315加熱元件 320圓形環狀區域 325加熱元件 330圓形環狀區域 335加熱元件 340圓形環狀區域 345加熱元件 200540937 350圓形環狀區域 355加熱元件 360圓形環狀區域 365加熱元件 4〇〇加熱器單元 410正方形區域 420加熱元件 500加熱器單元 510加熱元件 511環形管 512A轉換元件 512B轉換元件 513A末端元件 513B末端元件 514A内部連接線 514B 内部連接線 515碳線加熱器 516線碳構件 517A連接端 517B連接端 518絨毛 519密封端部 800加熱器單元 810、 820、830、840 加熱元件 811、 821、831、841 彎曲管 812A、812B、822A、822B、832A、832B、842A、842B 轉換 元件 813A、813B、823A、823B、833A、833B、843A、843B 末端 元件 42 200540937 814A、814B、824A、824B、834A、834B、844A、844B 内部 連接線 817A、817B、827A、827B、837A、837B、847A、847B 連接 端 819、829、839、849 密封端部 815、825、835、845碳線加熱器 900加熱組件 910、920、930加熱單元 950支承裝置 ^ 1000 加熱組件 瞻 1010、1020、1030加熱元件 ’ 1011、1021、1031凹部或溝槽 1012、1022、1032碳線加熱器 1012A、1012B、1022A、1022B、1032A 轉換元件 1013A、1013B、1023A、1023B、1033A、1033B 末端元件 1014A、1014B、1024A、1024B、1034A、1034B 内部連接線 1019、1029、1039 密封端部 ' 1015、1025、1035、1045 碳線加熱器 1017A、1017B、1027A、1027B、1037A、1037B 連拉4山 ^ 1050支承裝置 而 1070 蓋 1100A、1100B加熱組件 1110、1120、1151、1152、1153 加熱組件 1120加熱組件 1130基板 1130A、1130B 晶圓 1140、1140A、1140B 晶圓支座 1150A、1150B 控制器 1170箭頭 43 200540937FIG. 13 shows a simplified block diagram showing another embodiment of the wafer heater assembly according to the present invention; FIGS. 14A to 14C show a simplified block diagram showing a single wafer heater assembly according to the present invention. Another solid silk wish. Figs. 15A to 15B show simplified block diagrams according to another embodiment of the single-wafer heating-state component of the present invention. [Description of main component symbols] 100 processing system 110 processing chamber 112 processing space 12 upper module 130 controller 140 substrate support 142 heating unit 144 heating unit 145 substrate 150 pressure control system 152 vacuum pump 154 gate valve WO wall temperature control unit 200540937 166 Wall temperature control element 170 Gas supply system 175 Gas distribution plate 180 Power supply 185 Radio frequency system 190 Gate valve assembly 194 Opening 200 substrate support 210 Heating unit 210A Heating unit 212A Supporting device 215 Centering ring 220 Heating unit 220A Heater unit 225 Rising part 230 thermal barrier 240 cooling unit 250 coupling unit 300A heater unit 300B heater unit 300C heater unit 310 circular center area 315 heating element 320 circular ring area 325 heating element 330 circular ring area 335 heating element 340 round Ring area 345 heating element 200540937 350 circular ring area 355 heating element 360 circular ring area 365 heating element 400 heater unit 410 square area 420 heating element 500 heater unit 510 heating element 511 ring tube 512A conversion element 512B conversion element 513A end element 513B End element 514A internal connection line 514B internal connection line 515 carbon wire heater 516 line carbon member 517A connection end 517B connection end 518 fluff 519 sealed end 800 heater unit 810, 820, 830, 840 heating element 811, 821, 831, 841 Curved tube 812A, 812B, 822A, 822B, 832A, 832B, 842A, 842B Conversion element 813A, 813B, 823A, 823B, 833A, 833B, 843A, 843B End element 42 200540937 814A, 814B, 824A, 824B, 834A, 834B , 844A, 844B Internal connecting wire 817A, 817B, 827A, 827B, 837A, 837B, 847A, 847B Connection end 819, 829, 839, 849 Sealed end 815, 825, 835, 845 Carbon wire heater 900 Heating assembly 910, 920, 930 heating unit 950 support device ^ 1000 heating element 1010, 1020, 1030 heating element '1011, 1021, 1031 recess or groove 1012, 1022, 1032 carbon wire heater 1012A, 1012B, 1022A, 1022B, 1032A conversion element 1013A, 1013B, 1023A, 1023B, 1033A, 1033B end elements 1014A, 1014B, 1024A, 1024B, 1034A, 1034B internal connecting wires 1019, 1029, 1039 sealed ends' 1015, 1025, 1035 1045 carbon wire heaters 1017A, 1017B, 1027A, 1027B, 1037A, 1037B 4 pulls ^ 1050 support device and 1070 cover 1100A, 1100B heating module 1110, 1120, 1151, 1152, 1153 heating module 1120 heating module 1130 substrate 1130A, 1130B wafer 1140, 1140A, 1140B wafer support 1150A, 1150B controller 1170 arrow 43 200540937

1210 加熱組件 1212 加熱元件 1230 晶圓 1240 晶圓支座 1250 控制器 1310 加熱組件 1312 加熱元件 1320 晶圓支承元件 1330 晶圓 1340 基板固定器 1350 控制器 1410 下部加熱組件 1412 加熱元件 1422 加熱元件 1430 基板 1440 晶圓支座 1450 控制器 1470 箭頭 1510 加熱組件 1520 U型加熱元件 1530 基板 1540 晶圓支座 1550 控制器1210 heating element 1212 heating element 1230 wafer 1240 wafer support 1250 controller 1310 heating element 1312 heating element 1320 wafer supporting element 1330 wafer 1340 substrate holder 1350 controller 1410 lower heating element 1412 heating element 1422 heating element 1430 substrate 1440 wafer support 1450 controller 1470 arrow 1510 heating module 1520 U-shaped heating element 1530 substrate 1540 wafer support 1550 controller

Claims (1)

200540937 十、申請專利範圍: 1· 一種晶圓加熱組件,包含·· a)· —支承裝置,其具有複數之凹部,該支承裝置並具有一 晶圓支座以支承一晶圓; b).複數之>加熱單元,其中至少一個加熱單元包含: (^乂 笞,其中具有一碳線加熱器,該碳線加熱器並包含 石反纖維束且岔封於管内,每一管均位於該支承裝置之一凹 部内;以及 ' (2)·:連接端,其耦合於該碳線加熱器之相反兩端;以及200540937 10. Scope of patent application: 1. A wafer heating assembly, including a. A) a support device having a plurality of recesses, the support device and a wafer support to support a wafer; b). A plurality of heating units, wherein at least one of the heating units includes: (^ 乂 笞, which has a carbon wire heater, the carbon wire heater includes a stone anti-fiber bundle and is enclosed in a tube, each tube is located in the In one of the recesses of the supporting device; and (2) ·: a connecting end coupled to the opposite ends of the carbon wire heater; and ml)·#—安f組件,餘合至該支承裝置且絲將該晶圓加熱 組件安裝於一處理室中。 ’其中該複數之加熱單 之一實質直線狀凹部中 2·如申請專利範圍第丨項之晶圓加熱組件 元的至少其中之一包含裝設於該支承裝置 的一實質直管。 4·如申凊專利乾圍第丨項之晶圓加熱組件, 元之至少其中之-包含卜辦Μ中趣數之加熱早 管。 匕3心於歧承&置之軸凹部⑽-環形 ’其中該複數之加熱單 之正方形凹部内的一正 5·如申明專利範圍第1項之晶圓加熱組件 元之至少其中之一包含裝設於該支承裝置 方形管。 如申請專纖圍軸之晶圓加熱組件,其中該複數之加熱單 45 200540937 長 其中之一包含裝設於該支承裝置之長方形凹部内的- 7. 元;:圍包第 之其中該複數之加熱單 圓形管。 •橢圓形凹部内的一橢 8元之 利範圍第1項之晶圓加熱組件,其中該複數之加熱單 少/、中之一包含裝設於該支承裝置之一凹部内的一U型管。 =如申請專利範圍第8項之晶圓加熱組件,其中該凹部包含一U $至專利範圍第1項之晶®加熱組件,其中該複數之加熱單 之—包含⑴複數之區段,每—區段具有-實質直管, ΐ密有—碳線加熱11,每—碳線加熱器均含有一碳纖維 ^於及⑵—連接端子,其輕合於各碳線加熱器之I 部y H實係裝設於該支承裝置之實f直線狀之凹 纖維 器之每 Ltri專利範圍第1項之晶圓加熱組件’其中該複數之加熱單 兮會之—包含⑴複數之區段,每—區段具有—實質直管, 質直官具有一碳線加熱器,每一碳線加熱器均含有一碳 封於其中,及(2) 一連接端子,其搞合於各碳線加熱| 立而,且该複數之區段係裝設於該支承裝置之正方形凹苟 U·如申請專利範圍第1項之晶圓加熱組件,其中該複數之加埶i =少其中之—包含⑴複數之區段,每—區段具有早 Μ貫質直管具有-碳線加熱n,每—碳線加熱器均含有—碳纖維 46 200540937 器之每 p内0 束密封於其中;及(2)-連接端子,其耦合於 —末端,且該複數之區段係裝設於該支承裝置之長方形凹、^ i t其中之一包含⑴硬數之區段,每—區段具有-彎曲營 言曲官具有一碳線加熱器,每一碳線加熱器均含有一 = 封於其中;及⑵-連接端子,其搞合於各碳線加之每一^ 端,且該複數之區段係裝設於該支承裝置之彎曲狀^凹末 ^4如中請專利範圍第13項之晶圓加熱組件, 部包含一環形。 $叫队心凹 其中該彎曲狀之凹 15·如申請專利範圍第13項之晶圓加熱組件 部包含一橢圓形。 16·如申睛專利範圍第1項之晶圓加熱組件,其中更包含: a) —熱障壁,其耦合於該支承裝置;以及 b) —冷卻單元,其耦合至該熱障壁。 Π·如申請專利範圍第丨項之晶圓加熱組件,其中更包含一耦人 該支承裝置之溫度感測器。 σ =·如申睛專利範圍第1項之晶圓加熱組件,其中該加熱單元更包 ^:麵合至該管之各自之末端的轉換元件;以及耦合至該轉換元 件之一密封端部,每一連接端均耦合到至少一個密封端部。 19二如申印專利範圍第μ項之晶圓加熱組件,其中該管以及 換元件均由一單一片材料所製成。 47 200540937 〒·入如ΐ::專利範圍第19項之晶圓加熱組件,其中該單-片材料 包含一石英玻璃管。 ,、Τ X早月材枓 利範圍第18項之晶81加熱組件,其中該管係以1 -片材桃成,而該轉換元件則由—第二片材料所製成。弟 項之晶f加熱組件,其中該第-片材料 一"夕,、中之一或是兩者皆包含一石英玻璃管。 23.如申請專利範圍第18項之晶圓加 , 含用來密封該轉換元件之末端部之裝置。1千,、^讀^包 青專利範圍第18項之晶圓加熱組件,其中 含用來密封該管之末端部之裝置。 山对编邛包 2H°t請ί,_18項之晶圓加熱組件’其中該加埶單元更 包含’餘合至該碳線加熱器之相反兩端,該 包含壓祕㈣件,且該雜加絲係埋人機雜線麵件中。 26.如申請專利範圍第1項之晶圓加熱組件,其中該、 含-碳線,該碳線包含至少-碳纖維束,每 ^ 少300條直徑在5〜15微米的碳纖維。 *來均包含至 線更包含 27.如申請專利範圍第26項之晶圓加熱組件,其中該轳 表面絨毛。 久 48 200540937 其中更包含一耦合至 其中该晶圓支座包 其中至少一凸起部包 其中该晶圓支座係包 ’其中至少一凸起部 29·如申請專利範圍第1項之晶圓加熱組件 該支承裝置的蓋子。 30·如申請專利範圍第29項之晶圓加熱組件 含位於該蓋子上的複數之凸起部。 31 ·如申請專利範圍第3 0項之晶圓加熱組件, 含一溫度感測器。ml) · # —An f module, which is coupled to the supporting device and the wafer heating module is installed in a processing chamber. ′ Wherein one of the plurality of heating sheets is in a substantially linear recessed portion 2. At least one of the wafer heating module elements as described in the patent application No. 丨 includes a substantially straight tube installed in the supporting device. 4. As mentioned in the patent application, the wafer heating module of item 丨, at least one of them-the heating tube including the interesting number in the office. Dagger 3 is centered on the shaft recessed part of the bearing & placed in a ring shape, where a positive in the square recessed part of the plurality of heating orders. Installed in the support device square tube. For example, if a wafer heating module for a special fiber enclosing shaft is applied, one of the plurality of heating sheets 45 200540937 length includes-7. yuan installed in the rectangular recess of the supporting device; Heat a single round tube. • A wafer heating module of the ellipse 8 Yuan in the elliptical concave portion, wherein one of the plurality of heating elements includes a U-shaped tube installed in a concave portion of the supporting device . = If the wafer heating module of the patent application item 8 is applied, the recess includes a U $ to the crystal scope of the patent application item 1 heating application, wherein the plurality of heating orders—including the plurality of sections, each— The section has a substantially straight tube, which is dense—carbon wire heating 11, each of the carbon wire heaters contains a carbon fiber ^ and ⑵—connecting terminals, which are lightly connected to the I section of each carbon wire heater. It is the wafer heating module of item 1 of each Ltri patent range of the solid f linear concave fiber device installed in the supporting device, wherein the plurality of heating orders will be-including a plurality of sections, each-zone The section has—substantially straight tubes, and high quality officials have a carbon wire heater, each carbon wire heater contains a carbon seal therein, and (2) a connection terminal that fits each carbon wire heater | Li Er And the plurality of sections are square recesses installed in the supporting device. For example, the wafer heating module of the patent application scope item 1, wherein the addition of the plural 埶 i = less of them-including the area of plural Segments, each segment has an early M straight tube with -carbon heating n, per carbon The heaters each contain 0 bundles of carbon fiber 46 200540937 sealed in each p; and (2) a connection terminal coupled to the end, and the plurality of sections are arranged in rectangular recesses of the supporting device, ^ one of it contains a hard-numbered section, each of which has a -bending spokesman has a carbon wire heater, each carbon wire heater contains a = enclosed therein; and ⑵-connecting terminals It is connected to each carbon wire plus each ^ end, and the plurality of sections are installed in the curved shape of the supporting device ^ concave end ^ 4 such as the wafer heating module of the patent application No. 13 Contains a ring. $ 叫 队 心 槽 Wherein the curved depression 15. The wafer heating module part of item 13 of the patent application scope includes an oval shape. 16. The wafer heating assembly of item 1 in the patent application scope, which further includes: a) a thermal barrier coupled to the supporting device; and b) a cooling unit coupled to the thermal barrier. Π. For example, the wafer heating module according to the scope of the patent application, further comprising a temperature sensor coupled to the supporting device. σ = as in the wafer heating assembly of item 1 of the patent application, wherein the heating unit further includes: a conversion element face-to-face to the respective end of the tube; and a sealed end coupled to one of the conversion elements, Each connection is coupled to at least one sealed end. 192. The wafer heating module as described in the patent application No. μ, wherein the tube and the exchange element are made of a single piece of material. 47 200540937 〒 · 入 如 ΐ :: Wafer heating module according to item 19 of the patent, wherein the single-piece material includes a quartz glass tube. The crystal 81 heating module of the 18th item of the scope of benefits of TW Early Moon Materials, where the tube is made of 1-sheet peach, and the conversion element is made of the second sheet of material. The Xiang Xiangjing f heating element, wherein the first-sheet material, "one, one, or both" includes a quartz glass tube. 23. The wafer plus item 18 of the scope of patent application, including a device for sealing the end portion of the conversion element. 1,000, ^ read ^ Baoqing The wafer heating module of item 18 of the patent, which includes a device for sealing the end of the tube. The 2H ° t package of the mountain pair of knitting bags, please refer to the wafer heating module of item _18, in which the adding unit further includes' coupling to the opposite ends of the carbon wire heater, which contains the pressure-sensitive components, and the miscellaneous Adding wire is buried in the man-machine line. 26. The wafer heating assembly according to item 1 of the patent application scope, wherein the carbon-containing wire includes at least carbon fiber bundles, each of which has at least 300 carbon fibers having a diameter of 5 to 15 microns. * Included are all lines and 27. For example, the wafer heating module of item 26 of the patent application scope, wherein the surface of the 轳 is fluffy. Long 48 200540937 which further includes a wafer coupled to the wafer support package which has at least one raised portion package wherein the wafer support package 'at least one raised portion 29 The cover of the supporting device of the heating assembly. 30. The wafer heating module according to item 29 of the patent application includes a plurality of projections on the cover. 31. If the wafer heating module of the 30th patent application scope includes a temperature sensor. 32·如申清專利範圍第1項之晶圓加熱組件, 含位於該支承裝置上之複數之凸起部。 33·如申请專利範圍弟32項之晶圓加熱組件 包含一溫度感測器。 圓支座包含 34·如申請專利範圍第1項之晶圓加熱組件,其中該晶 具有至少三個支承點的一石英晶圓支座。 35.如申請專利範圍第1項之晶圓加熱組件,更包含·· a) —添加的加熱單元,其包含: (1) 一添加的管,其中具有一密封於該添加的管内的碳線 加熱器,該碳線加熱器並包含一碳纖維束,及 (2) 連接%,其搞合於該添加之加熱單元之碳線加熱器 的相反兩端;以及 …° b) —添加之安裝組件,其耦合於該添加之支承裝置上,且用 於將該添加之加熱單元固定於該晶圓支座上。 36·如申请專利範圍第1項之晶圓加熱組件,更包含: a) —添加之加熱單元,其包含: 49 200540937 (1) 一添加的管,其中具有一密封於該添加的管内的碳線 加熱器’該碳線加熱器並包含一碳纖維束,及 (2) —連接端,其耦合於該添加之加熱單元之碳線加熱器 的相反兩端;以及 · b) —添加之安裝組件,其耦合於該添加之支承裝置上,且用 於將该添加之加熱單元實質固定於該晶圓支座周圍。 37·如申請專利範圍第丨項之晶圓加熱組件,更包含: a) —添加之加熱單元,其包含:32. The wafer heating module according to item 1 of the patent claim includes a plurality of raised portions on the supporting device. 33. If the patent application scope of the 32nd wafer heating module includes a temperature sensor. The round support includes the wafer heating module according to item 1 of the patent application scope, wherein the crystal has a quartz wafer support having at least three supporting points. 35. The wafer heating module according to item 1 of the patent application scope, further comprising: a) an added heating unit comprising: (1) an added tube having a carbon wire sealed in the added tube A heater, the carbon wire heater including a carbon fiber bundle, and (2) a connection% which engages the opposite ends of the carbon wire heater of the added heating unit; and ... ° b)-an added mounting assembly , Which is coupled to the added supporting device, and is used for fixing the added heating unit on the wafer support. 36. If the wafer heating component of the first patent application scope further includes: a) an added heating unit, which includes: 49 200540937 (1) an added tube having a carbon sealed in the added tube Line heater 'The carbon line heater includes a carbon fiber bundle, and (2)-a connection end coupled to the opposite ends of the carbon line heater of the added heating unit; and b)-an added mounting assembly It is coupled to the added support device and is used to substantially fix the added heating unit around the wafer support. 37. If the wafer heating component of the patent application item No. 丨 further includes: a) —Added heating unit, which includes: (1) 一添加的管,其中具有一密封於該添加的管内的碳線 加熱器,該碳線加熱器並包含一碳纖維束,且 (2) —連接端’其耦合於該添加之加埶單元之礙線加熱器 的相反兩端; b匕添加之支承裝置’其輕合至該添加的管;以及 添加之安裝組件’其輕合於該添加之支承裝置上,且用 於將絲加之加鮮元固定於該晶圓支座上方。 38.如申請專利範圍第i項之晶圓加熱組件,更包含: a) —添加之加熱單元,其包含: L 4加的苔’其中具有一密封於該添加的管内的碳線 該碳ΐ加熱器並包含一碳纖維束,以及 的相反接端,其耦合於該添加之加熱單元之碳線加熱器 」一:2加之5承裝置,其耦合至該添加的管 •,以及 於將該添加之力=====裝置上,且用 a)申第月-專範圍第1項之晶圓加熱組件,更包含: 一承裝置,其具有複數之第二凹部,該第二支承裝置 200540937 具有一用於支撐一第二晶圓之第二曰 個1二複數之加熱單元’該第二複數之加熱單元的其中至少 一個包含: 二官’其中具有—含有—碳纖維束之碳線加熱器並密 f亥官内’每—管均裝設於該第二支承裝置内之-凹部 中,以及 (2)厂連接端,其輕合至該碳線加熱器之相反兩端;以及 40· —種基板的處理方法,其步驟包含: a)將-基板固定於—處理室中之—基板支座上,其中該基板 支座匕έ複數之加熱單元,每一加熱單元均包含·· (j) 一管以及密封於該管中之具有一碳纖維束之一碳線加 熱裔,母一管均裝設於該基板支座之一凹部中; (2) 一連接端,其耦合於該碳線加熱器之相反兩端;以及 、b)在該基板上執行快速熱處理,其中一%電源係輛合至每一 連接端,且DC電源係快速地施加於該碳線加熱器。 φ 41· 一種晶圓加熱組件,包含: - a)支承一半導體晶圓之裝置; b) 獨立加熱5亥半導體晶圓之不同區域之裝置;以及 c) 耦合至用於支承之裝置的一裝置,用以將該晶圓加熱組件 裝設於一處理室。 42· -種基板的處理方法,其步驟包含以獨立的溫度感測器來獨 ^控制多個碳線加熱器區域,以提供多區域單晶圓加熱器系統對 每一獨立區域的一個快速反應,該控制可從快速熱變動加以調整 而極小化晶圓的扭曲。 51 200540937 43 基板二量其當作- 控制之熱變動足夠快速。 、、…、循衣的增1而使處理 i4碳理用位於該基板相對側上 :加熱器•及-或多-:=獨之 =速域熱:(1) an added tube, which has a carbon wire heater sealed in the added tube, the carbon wire heater includes a carbon fiber bundle, and (2) a connection terminal, which is coupled to the added tube; The opposite ends of the unit obstructing the wire heater; b added support device 'it is lightly closed to the added tube; and added mounting assembly' it is lightly attached to the added support device and used to add wire The freshening element is fixed above the wafer support. 38. The wafer heating assembly according to item i of the patent application scope, further comprising: a) an added heating unit, which includes: L 4 added moss' which has a carbon wire sealed in the added tube, the carbon ΐ The heater also contains a carbon fiber bundle, and the opposite end, which is coupled to the carbon wire heater of the added heating unit "1: 2 plus 5 bearing devices, which are coupled to the added tube •, and to the added The force ===== on the device, and the wafer heating module used in a) month-specific item 1 further includes: a bearing device having a plurality of second recesses, the second supporting device 200540937 A second heating unit for supporting a second wafer with at least one of the second plurality of heating units includes at least one of the second heating unit: a carbon fiber heater having-containing-a carbon fiber bundle Each tube is installed in the recess in the second supporting device, and (2) the plant connection end is lightly closed to the opposite ends of the carbon wire heater; and 40 · A substrate processing method, the steps of which include: a) will- The substrate is fixed on a substrate support in the processing chamber, wherein the substrate support has a plurality of heating units, each heating unit includes ... (j) a tube and a carbon fiber bundle sealed in the tube A carbon wire heating tube, a mother tube is installed in a recess of the substrate support; (2) a connection end coupled to the opposite ends of the carbon wire heater; and, b) on the substrate A rapid heat treatment is performed on the top of which a 1% power source is connected to each connection terminal, and a DC power source is quickly applied to the carbon wire heater. φ 41 · A wafer heating assembly comprising:-a) a device supporting a semiconductor wafer; b) a device for independently heating different regions of a semiconductor wafer; and c) a device coupled to the device for supporting For mounting the wafer heating module in a processing chamber. 42 ·-A method for processing a substrate, the steps of which include independently controlling multiple carbon wire heater zones with independent temperature sensors to provide a fast response of a multi-zone single wafer heater system to each independent zone This control can be adjusted from rapid thermal fluctuations to minimize wafer distortion. 51 200540937 43 The amount of substrate is 2-the thermal variation of the control is fast enough. ,, ..., increase by 1 so that the processing i4 carbon management is located on the opposite side of the substrate: heater • and-or more-: = 独 之 = speed domain heat: 45·如申請專利範圍第丨項 該碳線加熱器元件之交互A、曰。曰51加熱組件,其中更包含對應於 卻迴路係用來流通用於冷$ P迴路,以增加熱回應的速度,該冷 冷卻劑材料或流體。7目的或其他用途之氣體,或是熱相容 十一、囷式·· 5245. If item 丨 of the scope of patent application, the interaction of the carbon wire heater element A, Y. Said 51 heating assembly, which further includes a corresponding cooling circuit material used to circulate the cold $ P circuit to increase the speed of thermal response, the cold coolant material or fluid. 7 purpose or other purpose gas, or heat compatible
TW094110009A 2004-03-31 2005-03-30 Wafer heater assembly TWI305656B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/813,119 US20050217799A1 (en) 2004-03-31 2004-03-31 Wafer heater assembly

Publications (2)

Publication Number Publication Date
TW200540937A true TW200540937A (en) 2005-12-16
TWI305656B TWI305656B (en) 2009-01-21

Family

ID=35052985

Family Applications (1)

Application Number Title Priority Date Filing Date
TW094110009A TWI305656B (en) 2004-03-31 2005-03-30 Wafer heater assembly

Country Status (6)

Country Link
US (1) US20050217799A1 (en)
JP (1) JP2007537582A (en)
KR (1) KR20070008569A (en)
CN (1) CN101023197A (en)
TW (1) TWI305656B (en)
WO (1) WO2005103333A2 (en)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI446969B (en) * 2007-08-03 2014-08-01 Choshu Industry Co Ltd A silicon resin supporting device and a silicon resin heating and quench unit using the supporting device
TWI484561B (en) * 2010-10-07 2015-05-11 Hermes Epitek Corp Heater assembly and wafer processing apparatus using the same
TWI595562B (en) * 2014-03-07 2017-08-11 台灣積體電路製造股份有限公司 Adaptive baking system, method of using the same ,and contoroller for the same
TWI613316B (en) * 2015-02-25 2018-02-01 Hitachi Int Electric Inc Substrate processing apparatus, manufacturing method of semiconductor device, and heating unit
US9957616B2 (en) 2015-02-25 2018-05-01 Hitachi Kokusai Electric Inc. Substrate processing apparatus and heating unit
TWI654498B (en) 2016-10-17 2019-03-21 荷蘭商Asml荷蘭公司 Substrate processing apparatus, method to optimize a semiconductor process and semiconductor device manufacturing process
TWI702320B (en) * 2018-06-26 2020-08-21 日商闊斯泰股份有限公司 Carbon wire heater

Families Citing this family (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201041455A (en) * 2002-12-16 2010-11-16 Japan Science & Tech Agency Plasma generation device, plasma control method, and substrate manufacturing method
GB0410743D0 (en) * 2004-05-14 2004-06-16 Vivactiss Bvba Holder for wafers
JP4723871B2 (en) * 2004-06-23 2011-07-13 株式会社日立ハイテクノロジーズ Dry etching equipment
JP2006222214A (en) * 2005-02-09 2006-08-24 Dainippon Screen Mfg Co Ltd Heat treatment apparatus
US7265066B2 (en) * 2005-03-29 2007-09-04 Tokyo Electron, Ltd. Method and system for increasing tensile stress in a thin film using collimated electromagnetic radiation
US7300891B2 (en) * 2005-03-29 2007-11-27 Tokyo Electron, Ltd. Method and system for increasing tensile stress in a thin film using multi-frequency electromagnetic radiation
US7452793B2 (en) * 2005-03-30 2008-11-18 Tokyo Electron Limited Wafer curvature estimation, monitoring, and compensation
US7789962B2 (en) * 2005-03-31 2010-09-07 Tokyo Electron Limited Device and method for controlling temperature of a mounting table, a program therefor, and a processing apparatus including same
JP4453021B2 (en) * 2005-04-01 2010-04-21 セイコーエプソン株式会社 Semiconductor device manufacturing method and semiconductor manufacturing apparatus
JP2007012734A (en) * 2005-06-29 2007-01-18 Matsushita Electric Ind Co Ltd Method and device for plasma etching
US8454749B2 (en) * 2005-12-19 2013-06-04 Tokyo Electron Limited Method and system for sealing a first assembly to a second assembly of a processing system
JP2007201128A (en) * 2006-01-26 2007-08-09 Sumitomo Electric Ind Ltd Semiconductor manufacturing apparatus, and wafer holder therefor
US7723648B2 (en) * 2006-09-25 2010-05-25 Tokyo Electron Limited Temperature controlled substrate holder with non-uniform insulation layer for a substrate processing system
JP4407685B2 (en) * 2006-10-11 2010-02-03 セイコーエプソン株式会社 Semiconductor device manufacturing method and electronic device manufacturing method
KR100862588B1 (en) * 2006-12-26 2008-10-10 주식회사 테라세미콘 Heating Apparatus for Furnace
US7671412B2 (en) * 2007-02-15 2010-03-02 Tokyo Electron Limited Method and device for controlling temperature of a substrate using an internal temperature control device
US8993939B2 (en) 2008-01-18 2015-03-31 Momentive Performance Materials Inc. Resistance heater
KR20090079540A (en) * 2008-01-18 2009-07-22 주식회사 코미코 Apparatus for supporting a substrate and apparatus for processing a substrate having the same
US20090308315A1 (en) * 2008-06-13 2009-12-17 Asm International N.V. Semiconductor processing apparatus with improved thermal characteristics and method for providing the same
US20100014097A1 (en) * 2008-07-17 2010-01-21 Nikon Corporation Algorithm correcting for correction of interferometer fluctuation
US20100247804A1 (en) * 2009-03-24 2010-09-30 Applied Materials, Inc. Biasable cooling pedestal
KR20120052287A (en) * 2009-08-06 2012-05-23 스미토모덴키고교가부시키가이샤 Film-forming apparatus
JP5570938B2 (en) * 2009-12-11 2014-08-13 株式会社日立国際電気 Substrate processing apparatus and semiconductor device manufacturing method
KR20120105827A (en) * 2011-03-16 2012-09-26 삼성전자주식회사 Heater for fixing apparatus and fixing apparatus and image forming apparatus having the same
WO2013142594A1 (en) * 2012-03-20 2013-09-26 Momentive Performance Materials Inc. Resistance heater
DE102012005916B3 (en) * 2012-03-26 2013-06-27 Heraeus Noblelight Gmbh Device for irradiating a substrate
US9089007B2 (en) 2012-04-27 2015-07-21 Applied Materials, Inc. Method and apparatus for substrate support with multi-zone heating
CN102851652A (en) * 2012-09-28 2013-01-02 深圳市捷佳伟创新能源装备股份有限公司 Heater for MOCVD (metal-organic chemical vapor deposition) equipment
JP6165452B2 (en) * 2013-02-01 2017-07-19 株式会社日立ハイテクノロジーズ Plasma processing equipment
US9196514B2 (en) * 2013-09-06 2015-11-24 Applied Materials, Inc. Electrostatic chuck with variable pixilated heating
CN104731156B (en) * 2013-12-18 2016-06-08 北京北方微电子基地设备工艺研究中心有限责任公司 A kind of monitoring method of heating lamp
US11158526B2 (en) 2014-02-07 2021-10-26 Applied Materials, Inc. Temperature controlled substrate support assembly
US9543171B2 (en) * 2014-06-17 2017-01-10 Lam Research Corporation Auto-correction of malfunctioning thermal control element in a temperature control plate of a semiconductor substrate support assembly that includes deactivating the malfunctioning thermal control element and modifying a power level of at least one functioning thermal control element
KR102372555B1 (en) * 2015-02-25 2022-03-08 가부시키가이샤 코쿠사이 엘렉트릭 Substrate processing apparatus, heater and method of manufacturing semiconductor device
JP6522481B2 (en) * 2015-10-05 2019-05-29 クアーズテック株式会社 Planar heater
US10161041B2 (en) 2015-10-14 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Thermal chemical vapor deposition system and operating method thereof
JP6730861B2 (en) * 2016-06-22 2020-07-29 日本特殊陶業株式会社 Holding device
JP6736386B2 (en) * 2016-07-01 2020-08-05 東京エレクトロン株式会社 Substrate liquid processing apparatus, substrate liquid processing method and recording medium
US11164737B2 (en) 2017-08-30 2021-11-02 Applied Materials, Inc. Integrated epitaxy and preclean system
CN108682635B (en) * 2018-05-03 2021-08-06 拓荆科技股份有限公司 Wafer seat with heating mechanism and reaction cavity comprising wafer seat
CN114072898A (en) * 2019-05-24 2022-02-18 应用材料公司 Substrate processing chamber
US11542604B2 (en) 2019-11-06 2023-01-03 PlayNitride Display Co., Ltd. Heating apparatus and chemical vapor deposition system
TWI711717B (en) * 2019-11-06 2020-12-01 錼創顯示科技股份有限公司 Heating apparatus and chemical vapor deposition system
TWI727907B (en) * 2019-11-06 2021-05-11 錼創顯示科技股份有限公司 Heating apparatus and chemical vapor deposition system
JP7248608B2 (en) 2020-02-04 2023-03-29 日本碍子株式会社 electrostatic chuck heater
JP7326187B2 (en) 2020-02-28 2023-08-15 クアーズテック株式会社 Plane heater
CN111725114B (en) * 2020-06-30 2023-07-14 北京北方华创微电子装备有限公司 Position correction device for heating lamp
US11605544B2 (en) 2020-09-18 2023-03-14 Applied Materials, Inc. Methods and systems for cleaning high aspect ratio structures
CN114496692B (en) * 2020-11-11 2024-03-12 中微半导体设备(上海)股份有限公司 Heating assembly, substrate bearing assembly and plasma processing device thereof
CN113201728B (en) * 2021-04-28 2023-10-31 錼创显示科技股份有限公司 Semiconductor wafer bearing structure and metal organic chemical vapor deposition device
CN115424913A (en) * 2021-06-01 2022-12-02 中微半导体设备(上海)股份有限公司 Plasma processing device and telescopic sealing part thereof
JP2023027962A (en) * 2021-08-18 2023-03-03 株式会社Screenホールディングス Substrate processing apparatus
CN115442927B (en) * 2022-11-04 2023-03-10 上海星原驰半导体有限公司 Composite temperature control disc

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2927857B2 (en) * 1990-01-19 1999-07-28 株式会社東芝 Substrate heating device
US5223113A (en) * 1990-07-20 1993-06-29 Tokyo Electron Limited Apparatus for forming reduced pressure and for processing object
JP3288200B2 (en) * 1995-06-09 2002-06-04 東京エレクトロン株式会社 Vacuum processing equipment
JPH10102257A (en) * 1996-09-27 1998-04-21 Nippon Process Eng Kk Coating forming device by chemical vapor deposition
TW452826B (en) * 1997-07-31 2001-09-01 Toshiba Ceramics Co Carbon heater
US6530994B1 (en) * 1997-08-15 2003-03-11 Micro C Technologies, Inc. Platform for supporting a semiconductor substrate and method of supporting a substrate during rapid high temperature processing
US6688375B1 (en) * 1997-10-14 2004-02-10 Applied Materials, Inc. Vacuum processing system having improved substrate heating and cooling
KR100334993B1 (en) * 1998-12-01 2002-05-02 추후제출 Heater
US6353209B1 (en) * 1999-03-04 2002-03-05 Board Of Trustees Of The Leland Stanford Junior University Temperature processing module
DE10059665C1 (en) * 2000-12-01 2002-07-11 Steag Hamatech Ag Process for the thermal treatment of substrates
JP2002270346A (en) * 2001-03-09 2002-09-20 Mitsubishi Heavy Ind Ltd Heating device and its manufacturing method, as well as film forming device
KR100547189B1 (en) * 2003-04-23 2006-01-31 스타전자(주) Manufacturing method of carbon heating device using graphite felt

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI446969B (en) * 2007-08-03 2014-08-01 Choshu Industry Co Ltd A silicon resin supporting device and a silicon resin heating and quench unit using the supporting device
TWI484561B (en) * 2010-10-07 2015-05-11 Hermes Epitek Corp Heater assembly and wafer processing apparatus using the same
TWI595562B (en) * 2014-03-07 2017-08-11 台灣積體電路製造股份有限公司 Adaptive baking system, method of using the same ,and contoroller for the same
US10006717B2 (en) 2014-03-07 2018-06-26 Taiwan Semiconductor Manufacturing Company, Ltd. Adaptive baking system and method of using the same
US11204200B2 (en) 2014-03-07 2021-12-21 Taiwan Semiconductor Manufacturing Company, Ltd. Adaptive baking method
TWI613316B (en) * 2015-02-25 2018-02-01 Hitachi Int Electric Inc Substrate processing apparatus, manufacturing method of semiconductor device, and heating unit
US9957616B2 (en) 2015-02-25 2018-05-01 Hitachi Kokusai Electric Inc. Substrate processing apparatus and heating unit
TWI654498B (en) 2016-10-17 2019-03-21 荷蘭商Asml荷蘭公司 Substrate processing apparatus, method to optimize a semiconductor process and semiconductor device manufacturing process
TWI702320B (en) * 2018-06-26 2020-08-21 日商闊斯泰股份有限公司 Carbon wire heater

Also Published As

Publication number Publication date
WO2005103333A3 (en) 2006-09-14
TWI305656B (en) 2009-01-21
WO2005103333A2 (en) 2005-11-03
JP2007537582A (en) 2007-12-20
KR20070008569A (en) 2007-01-17
CN101023197A (en) 2007-08-22
US20050217799A1 (en) 2005-10-06

Similar Documents

Publication Publication Date Title
TW200540937A (en) Wafer heater assembly
JP2619862B2 (en) Plasma equipment for plasma enhanced chemical vapor deposition.
US7083701B2 (en) Device and method for plasma processing, and slow-wave plate
US20130337653A1 (en) Semiconductor processing apparatus with compact free radical source
JP2020074423A (en) Electrostatic chuck assembly for high temperature processing
JP5897081B2 (en) Reactor for processing multiple wafers simultaneously
TWI674240B (en) Methods and apparatus for forming a metal silicide interconnection nanowire structure
US4796562A (en) Rapid thermal cvd apparatus
US9613859B2 (en) Direct deposition of nickel silicide nanowire
JP4067858B2 (en) ALD film forming apparatus and ALD film forming method
EP0964433A2 (en) Multiple-layered ceramic heater
KR100910068B1 (en) Processing equipment for object to be processed
JP2007251126A (en) Semiconductor batch heating assembly
TW200533777A (en) In-situ dry clean chamber for front end of line fabrication
JP2004111585A (en) Wafer holder and apparatus for producing semiconductor
JP3984820B2 (en) Vertical vacuum CVD equipment
US7032536B2 (en) Thin film formation apparatus including engagement members for support during thermal expansion
KR100730379B1 (en) Heater module of chemical vapor deposition apparatus
JP2021527299A (en) Plasma Chemistry A device that suppresses parasitic plasma in a vapor deposition chamber
JP2011001591A (en) Gas heating apparatus
JP2604944B2 (en) Semiconductor wafer heating equipment
JP3914377B2 (en) Wafer heating device having electrostatic adsorption function
JPH07273175A (en) Holding member
CN100479109C (en) Device and method for plasma processing, and slow-wave plate
JP4038409B2 (en) Heating device

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees