KR20070008569A - Wafer heater assembly - Google Patents

Wafer heater assembly

Info

Publication number
KR20070008569A
KR20070008569A KR1020067016437A KR20067016437A KR20070008569A KR 20070008569 A KR20070008569 A KR 20070008569A KR 1020067016437 A KR1020067016437 A KR 1020067016437A KR 20067016437 A KR20067016437 A KR 20067016437A KR 20070008569 A KR20070008569 A KR 20070008569A
Authority
KR
South Korea
Prior art keywords
wafer
heating
carbon
carbon wire
tube
Prior art date
Application number
KR1020067016437A
Other languages
Korean (ko)
Inventor
데이빗 엘 오미라
게릿 제이 루싱크
스티븐 에이치 캐브럴
앤서니 딥
코리 와즈다
레이몬드 조우
Original Assignee
동경 엘렉트론 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 동경 엘렉트론 주식회사 filed Critical 동경 엘렉트론 주식회사
Publication of KR20070008569A publication Critical patent/KR20070008569A/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/2001Maintaining constant desired temperature

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)
  • Resistance Heating (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

A wafer heating assembly is described having a unique heater element for use in a single wafer processing systems. The heating unit includes a carbon wire element encased in a quartz sheath. The heating unit is as contamination-free as the quartz, which permits direct contact to the wafer. The mechanical flexibility of the carbon 'wire' or `braided' structure permits a coil configuration, which permits independent heater zone control across the wafer. The multiple independent heater zones across the wafer can permit temperature gradients to adjust film growth/deposition uniformity and rapid thermal adjustments with film uniformity superior to conventional single wafer systems and with minimum to no wafer warping. The low thermal mass permits a fast thermal response that enables a pulsed or digital thermal process that results in layer-by- layer film formation for improved thin film control. ® KIPO & WIPO 2007

Description

웨이퍼 히터 조립체{WAFER HEATER ASSEMBLY}Wafer Heater Assembly {WAFER HEATER ASSEMBLY}

본 발명은 기판 홀더에 관한 것이고, 보다 구체적으로는 낮은 열 질량과 빠른 응답 시간을 갖는 기판 홀더의 싱글 웨이퍼 히터 조립체에 관한 것이다.The present invention relates to a substrate holder, and more particularly to a single wafer heater assembly of a substrate holder having a low thermal mass and a fast response time.

반도체 제조 기술이 진보함에 따라, 비용 절감을 위해 수율 개선과 관련한 요구가 높아졌고, 이렇게 높아진 요구를 충족시키기 위해 반도체 웨이퍼의 직경을 증대시켜 다수의 반도체 회로를 제공하였다. 대개, 수율을 보다 개선하기 위하여, 온도 제어의 개선이 요구되고 있다.As semiconductor manufacturing technology advances, there is an increasing demand for improved yield to reduce costs, and in order to meet this increased demand, the diameter of the semiconductor wafer is increased to provide a plurality of semiconductor circuits. Usually, in order to improve the yield more, improvement of temperature control is calculated | required.

반도체 처리 중에, 기판 홀더를 사용하여 웨이퍼/기판의 온도를 제어할 수 있다. 싱글 웨이퍼 처리에서는, 단편 저항 히터를 사용하는데, 이들 저항 히터는 제어하기 어렵다. 통상의 싱글 웨이퍼 히터 시스템이 갖는 문제점은 다음과 같다. 즉, 구성 요소에 의한 금속 오염 가능성이 있고, 열적으로 독립적인 구역이 제한되며(히터/서셉터의 열응력 파괴 문제에 의해 제한됨), 엣지의 열손실 효과로 인해 균일도가 저하되고, 열 질량이 커서 온도 응답 시간이 제한되며, 웨이퍼를 가로지리는 온도 구배가 과도하여 웨이퍼 뒤틀림 및 슬립 결함이 발생하는 문제점이 있다. 웨이퍼가 점차 커지고 장치의 특성이 점차 소형화함에 따라, 처리 성능을 개선하기 위해 보다 나은 싱글 웨이퍼 히터가 요구되고 있다.During semiconductor processing, the substrate holder can be used to control the temperature of the wafer / substrate. In single wafer processing, fragment resistance heaters are used, which are difficult to control. Problems with conventional single wafer heater systems are as follows. That is, there is a possibility of metal contamination by the components, limited thermally independent zones (limited by the problem of thermal stress destruction of the heater / susceptor), uniformity due to the heat loss effect of the edge, and thermal mass Cursor temperature response time is limited, there is a problem that the wafer distortion and slip defects occur due to excessive temperature gradient across the wafer. As wafers grow larger and device characteristics become smaller and smaller, better single wafer heaters are needed to improve processing performance.

이러한 이유로, 가열 특성이 보다 균일하고 응답 시간이 보다 빠른 히터가 요구된다.For this reason, heaters with more uniform heating characteristics and faster response times are required.

본 발명은 처리 챔버 내에서 기판 홀더 상에 장착된 웨이퍼/기판을 제어하는 장치와 방법에 관한 것이다.The present invention relates to an apparatus and method for controlling a wafer / substrate mounted on a substrate holder in a processing chamber.

본 발명은 가열 특성이 보다 균일하고 응답 시간이 보다 빠른 가열 유닛을 포함하는 기판 홀더를 제공한다. 본 발명의 한 가지 양태에서는, 고순도 카본 와이어가 석영 내에 봉입되어 있는 특유한 히터 요소가 실시되어 있다. 히터 요소는 통상의 금속-와이어 히터 요소와 유사하게 전자기 복사를 방출하도록 되어 있고, 히터 요소는 신속한 온도 변화를 위해 낮은 열 질량을 갖는다.The present invention provides a substrate holder comprising a heating unit with more uniform heating characteristics and a faster response time. In one aspect of the present invention, a unique heater element in which high-purity carbon wire is enclosed in quartz is implemented. The heater element is adapted to emit electromagnetic radiation similar to conventional metal-wire heater elements, and the heater element has a low thermal mass for rapid temperature change.

싱글 웨이퍼 히터의 구조를 개선하고 종래의 히터 요소에서 전형적으로 존재하는 오염원을 배제시킴으로써, 공정의 적용 범위를 보다 넓힐 수 있게 된다. 새로운 용례의 범위는 저온 SOG 경화로부터 고속 고온 스파이크 어닐링에 이른다. 열 질량이 낮고 온도 응답이 신속하면, 층간 성장 메카니즘과 유사한 반응 또는 열분해를 펄스화함으로써, 박막 형성의 제어를 향상시키는 처리 수단이 제공된다.By improving the structure of single wafer heaters and excluding contaminants typically present in conventional heater elements, it is possible to broaden the application of the process. New applications range from low temperature SOG hardening to high speed high temperature spike annealing. If the thermal mass is low and the temperature response is rapid, processing means are provided to improve control of thin film formation by pulsing a reaction or pyrolysis similar to an interlayer growth mechanism.

본 발명의 다양한 실시예와 본 발명의 부수적인 장점의 대부분은, 이하의 상세한 설명을 참조로 하면, 특히 첨부 도면과 함께 상세한 설명을 고려하면 쉽게 명백해질 것이다.Various embodiments of the present invention and many of the attendant advantages of the present invention will become readily apparent with reference to the following detailed description, particularly in view of the detailed description taken in conjunction with the accompanying drawings.

도 1은 본 발명의 일 실시예에 따른 처리 시스템을 도식적으로 보여주는 예 시적인 블록선도.1 is an exemplary block diagram schematically illustrating a processing system according to an embodiment of the present invention.

도 2a는 본 발명의 일 실시예에 따른 기판 홀더의 간략한 단면도.2A is a simplified cross-sectional view of a substrate holder in accordance with one embodiment of the present invention.

도 2b는 본 발명의 다른 실시예에 따른 기판 홀더의 간략한 단면도.2B is a simplified cross-sectional view of a substrate holder in accordance with another embodiment of the present invention.

도 3a∼도 3c는 본 발명의 일 실시예에 따른 히터 유닛의 예시적인 개략도.3A-3C are exemplary schematic views of a heater unit in accordance with one embodiment of the present invention.

도 4는 본 발명의 일 실시예에 따른 다른 히터 유닛의 개략도.4 is a schematic view of another heater unit according to an embodiment of the present invention.

도 5는 본 발명의 일 실시예에 따른 가열 유닛의 간략한 도해도.5 is a simplified diagram of a heating unit in accordance with one embodiment of the present invention.

도 6a는 본 발명의 일 실시예에 따른 접속 라인 및 카본 와이어 히터 연결용 단말점 요소의 종단면도.6A is a longitudinal sectional view of an end point element for connecting a connection line and a carbon wire heater according to an embodiment of the present invention.

도 6b는 본 발명의 일 실시예에 따른 단말점 요소의 측단면도.6B is a side cross-sectional view of an end point element in accordance with an embodiment of the present invention.

도 7은 본 발명의 일 실시예에 따른 카본 와이어 히터의 평면도.7 is a plan view of a carbon wire heater according to an embodiment of the present invention.

도 8은 본 발명의 일 실시예에 따른 다중 구역 가열 유닛의 간략한 도해도.8 is a simplified diagram of a multi-zone heating unit in accordance with one embodiment of the present invention.

도 9는 본 발명의 일 실시예에 따른 다중-요소 가열 조립체의 간략한 도해도.9 is a simplified diagram of a multi-element heating assembly in accordance with one embodiment of the present invention.

도 10a∼도 10c는 본 발명의 일 실시예에 따른 다른 다중-요소 가열 조립체의 간략한 도해도.10A-10C are simplified diagrams of another multi-element heating assembly in accordance with one embodiment of the present invention.

도 11a는 본 발명에 따른 싱글 웨이퍼 히터 조립체의 다른 실시예의 간략한 블록선도.11A is a simplified block diagram of another embodiment of a single wafer heater assembly in accordance with the present invention.

도 11b는 본 발명의 일 실시예에 따른 멀티 웨이퍼 히터 조립체의 간략한 블록선도.11B is a simplified block diagram of a multi-wafer heater assembly in accordance with an embodiment of the present invention.

도 12는 본 발명의 다른 실시예에 따른 싱글 웨이퍼 히터 조립체의 간략한 블록선도.12 is a simplified block diagram of a single wafer heater assembly according to another embodiment of the present invention.

도 13은 본 발명에 따른 싱글 웨이퍼 히터 조립체의 다른 실시예의 간략한 블록선도.13 is a simplified block diagram of another embodiment of a single wafer heater assembly in accordance with the present invention.

도 14a∼도 14c는 본 발명에 따른 싱글 웨이퍼 히터 조립체의 다른 실시예의 간략한 블록선도.14A-14C are simplified block diagrams of another embodiment of a single wafer heater assembly in accordance with the present invention.

도 15a∼도 15c는 본 발명에 따른 싱글 웨이퍼 히터 조립체의 다른 실시예의 간략한 블록선도.15A-15C are simplified block diagrams of another embodiment of a single wafer heater assembly in accordance with the present invention.

재료 처리 시스템에 있어서, 기판 및/또는 웨이퍼는 예컨대 가열 및/또는 냉각 요소를 포함하는 척 등과 같은 홀더 상에 배치된다. 본 발명의 일 실시예에서는, 석영에 둘러싸인 고순도 카본 와이어가 마련된 특유의 히터 요소를 포함하는 개량된 홀더가 제공된다.In a material processing system, the substrate and / or wafer are placed on a holder, such as a chuck, for example comprising heating and / or cooling elements. In one embodiment of the present invention, an improved holder is provided comprising a unique heater element provided with a high purity carbon wire surrounded by quartz.

도 1은 본 발명의 일 실시예에 따른 처리 시스템의 예시적인 블록선도이다. 예컨대, 처리 시스템(100)은 플라즈마 에처(plasma etcher) 등과 같은 에칭 시스템을 포함할 수 있다. 별법으로서, 처리 시스템(100)은 포토레지스트 코팅 시스템, 패터닝 시스템, 현상 시스템, 및/또는 이들의 조합을 포함할 수 있다. 다른 실시예에서, 처리 시스템(100)은 급속 열처리(RTP) 시스템 등과 같은 열처리 시스템, 화학적 기상 증착(CVD) 시스템, 물리적 기상 증착(PVD, iPVD) 시스템, 원자층 증착(ALD) 시스템, 및/또는 이들의 조합을 포함할 수 있다.1 is an exemplary block diagram of a processing system according to an embodiment of the present invention. For example, processing system 100 may include an etching system, such as a plasma etcher. Alternatively, processing system 100 may include a photoresist coating system, patterning system, developing system, and / or combinations thereof. In other embodiments, the processing system 100 may be a heat treatment system, such as a rapid heat treatment (RTP) system, a chemical vapor deposition (CVD) system, a physical vapor deposition (PVD, iPVD) system, an atomic layer deposition (ALD) system, and / Or combinations thereof.

처리 시스템(100)은 챔버 벽의 온도를 제어하는 요소를 포함할 수 있다. 도 시된 바와 같이, 벽 온도 제어 요소(166)가 벽 온도 제어 유닛(160)에 연결될 수 있고, 벽 온도 제어 요소(166)는 처리 챔버(110)에 연결될 수 있다. 온도 제어 요소는 히터 요소 및/또는 냉각 요소를 포함할 수 있다. 예컨대, 히터 요소는 저항 히터 또는 카본 히터 요소를 포함할 수 있다. 열전쌍(예컨대, K-타입 열전쌍, Pt 센서 등) 등과 같은 온도-감지 장치를 사용하여 처리 챔버(110)의 온도를 모니터링할 수 있다. 또한, 제어기가 온도 측정값을 벽 온도 제어 유닛(160)에 대한 피드백으로서 사용하여 처리 챔버(110)의 온도를 제어할 수 있다.The processing system 100 may include elements for controlling the temperature of the chamber walls. As shown, the wall temperature control element 166 may be connected to the wall temperature control unit 160, and the wall temperature control element 166 may be connected to the processing chamber 110. The temperature control element may comprise a heater element and / or a cooling element. For example, the heater element may comprise a resistance heater or a carbon heater element. Temperature-sensing devices such as thermocouples (eg, K-type thermocouples, Pt sensors, etc.) may be used to monitor the temperature of the processing chamber 110. The controller can also control the temperature of the processing chamber 110 using the temperature measurement as feedback to the wall temperature control unit 160.

또한, 처리 시스템(100)은 처리 챔버(110) 내의 압력을 제어하도록 처리 챔버(110)에 연결된 압력 제어 시스템(150)을 더 포함할 수 있다. 압력 제어 시스템(150)은 챔버의 압력을 제어하기 위한 게이트 밸브(154) 및 진공 펌프(152)와 압력 센서(도시 생략)를 포함할 수 있다. 예컨대, 진공 펌프(152)는 초당 5000 리터의 속도까지 펌핑이 가능한 터보-분자 진공 펌프(TMP)를 포함할 수 있다. TMP는 세이코 STP-A803 진공 펌프, 또는 에바라 ET1301W 진공 펌프일 수 있다. TMP는 통상적으로 50 mTorr 미만의 저압 처리에 유용하다. 고압(즉, 100 mTorr 초과) 처리 또는 처리량이 적은 처리(즉, 가스 유동 없음)의 경우, 기계적 부스터 펌프 및 건식 초기 배기 펌프(dry roughing pump)를 사용할 수 있다. 압력 제어 시스템(150)은 처리 챔버(110)의 바닥에 연결된 것으로 도시되어 있지만, 이런 구성이 필수적인 것은 아니다. 변형례에서, 압력 제어 시스템(150)은 처리 챔버(110)의 상부 및/또는 측부에 연결될 수 있다. 또한, 제어기가 압력 측정값을 압력 제어 시스템에 대한 피드백으로서 사용하여 챔버의 압력을 제어할 수 있다.In addition, the processing system 100 may further include a pressure control system 150 coupled to the processing chamber 110 to control the pressure within the processing chamber 110. The pressure control system 150 may include a gate valve 154 and a vacuum pump 152 and a pressure sensor (not shown) for controlling the pressure in the chamber. For example, the vacuum pump 152 may include a turbo-molecular vacuum pump (TMP) capable of pumping up to a rate of 5000 liters per second. The TMP can be a Seiko STP-A803 vacuum pump, or an Ebara ET1301W vacuum pump. TMPs are typically useful for low pressure treatments below 50 mTorr. For high pressure (ie greater than 100 mTorr) treatment or low throughput treatment (ie no gas flow), a mechanical booster pump and a dry roughing pump can be used. Although the pressure control system 150 is shown connected to the bottom of the processing chamber 110, this configuration is not essential. In a variation, the pressure control system 150 may be connected to the top and / or side of the processing chamber 110. The controller can also control the pressure in the chamber using the pressure measurement as feedback to the pressure control system.

예컨대, 처리 챔버(110)는 처리 공간(112) 내에서 기판(145)의 부근에 처리 플라즈마를 형성하는 것을 용이하게 할 수 있다. 별법으로서, 처리 챔버(110)는 처리 공간(112) 내에서 기판(145)의 부근에 공정 가스를 형성하는 것을 용이하게 할 수 있다. 처리 시스템(100)은 200 mm의 기판, 300 mm의 기판, 또는 그보다 큰 기판을 처리하도록 구성될 수 있다. 변형례에서, 처리 시스템(100)은 복수 개의 처리 챔버를 포함할 수 있고, 이 처리 시스템은 하나 이상의 처리 챔버 내에 플라즈마를 발생시키도록 작동할 수 있다.For example, the processing chamber 110 may facilitate forming a processing plasma in the vicinity of the substrate 145 in the processing space 112. Alternatively, the processing chamber 110 may facilitate forming process gas in the vicinity of the substrate 145 in the processing space 112. Processing system 100 may be configured to process a 200 mm substrate, a 300 mm substrate, or a larger substrate. In a variation, the processing system 100 can include a plurality of processing chambers, which can operate to generate plasma in one or more processing chambers.

처리 시스템(100)은 처리 챔버(110)에 연결된 상부 조립체(12)를 더 포함할 수 있다. 예컨대, 상부 조립체(120)는, 공정 가스를 처리 챔버(110) 내의 처리 공간(112) 안으로 도입하는 가스 분배 시스템(170)에 연결된 가스 분배판(175)을 포함할 수 있다. 가스 분배판(175)은 하나 이상의 가스를 가스 분배 시스템(170)으로부터 처리 챔버(110)의 처리 공간(112)으로 분배하도록 구성된 복수 개의 오리피스(도시 생략)를 더 포함할 수 있다. 공정 가스는 NH3, HF, H2, O2, CO, CO2, Ar, He, 또는 N2 중 적어도 하나를 포함할 수 있다. 본원에 사용된 바와 같이, "A, B, C ... 또는 X 중 적어도 하나"라는 표현은, 열거된 요소 중 어느 하나를 포함하거나, 또는 열거된 요소 중 하나 이상의 것으로 이루어진 임의의 조합을 포함한다는 것을 의미한다. 예컨대, 폴리 공정 및/또는 질화물 형성 공정 중에는 공정 가스가 DCS, TCS, SiH4, Si2H6, HCD, 또는 NH3 중 적어도 하나를 포함할 수 있고, CVD 산화물 형성 공정 중에는 공정 가스가 TEOS 또는 BTBAS 중 적어도 하나를 포함할 수 있 으며, ALD 공정 중에는 공정 가스가 H2O, TMA, HTB, NO, 또는 N2O 중 적어도 하나를 포함할 수 있고, 금속 CVD 공정 중에는 공정 가스가 텅스텐 카르보닐, 레늄 카르보닐, 또는 타이마타(Taimata) 중 적어도 하나를 포함할 수 있다.The processing system 100 may further include an upper assembly 12 connected to the processing chamber 110. For example, the upper assembly 120 may include a gas distribution plate 175 connected to the gas distribution system 170 that introduces process gas into the processing space 112 in the processing chamber 110. The gas distribution plate 175 may further include a plurality of orifices (not shown) configured to distribute one or more gases from the gas distribution system 170 to the processing space 112 of the processing chamber 110. The process gas may include at least one of NH 3 , HF, H 2 , O 2 , CO, CO 2 , Ar, He, or N 2 . As used herein, the expression “at least one of A, B, C ... or X” includes any of the listed elements, or any combination of one or more of the listed elements. I mean. For example, during the poly process and / or nitride forming process the process gas may comprise at least one of DCS, TCS, SiH 4 , Si 2 H 6 , HCD, or NH 3 , and during the CVD oxide forming process the process gas may be TEOS or May comprise at least one of BTBAS, wherein the process gas may comprise at least one of H 2 O, TMA, HTB, NO, or N 2 O during an ALD process, and the process gas may be tungsten carbonyl during a metal CVD process. It may include at least one of, rhenium carbonyl, or Taimata.

또한, 상부 조립체(120)는 이하의 기능 중 적어도 하나를 수행하도록 구성될 수 있다. 즉, 상부 조립체는 용량성 결합형 플라즈마(CCP) 공급원을 마련하는 기능, 유도 결합형 플라즈마(ICP) 공급원을 마련하는 기능, 트랜스포머-결합형 플라즈마(TCP) 공급원을 마련하는 기능, 마이크로웨이브 플라즈마 공급원을 마련하는 기능, 전자 사이클로트론 공명(ECR) 플라즈마 공급원을 마련하는 기능, 헬리콘파 플라즈마 공급원을 마련하는 기능, 또는 표면파 플라즈마 공급원을 마련하는 기능 중 적어도 하나를 수행하도록 구성될 수 있다.In addition, the upper assembly 120 may be configured to perform at least one of the following functions. That is, the upper assembly may include providing a capacitively coupled plasma (CCP) source, providing an inductively coupled plasma (ICP) source, providing a transformer-coupled plasma (TCP) source, and microwave plasma source. And a function of providing an electron cyclotron resonance (ECR) plasma source, a function of providing a helicon wave plasma source, or a function of providing a surface wave plasma source.

예컨대, 상부 조립체(120)는 RF 구성 요소(도시 생략) 및/또는 자석 시스템 구성 요소(도시 생략)를 포함할 수 있다. 또한, 상부 조립체(120)는 공급 라인, 주입 장치, 및/또는 그 밖의 가스 공급 시스템 구성 요소(도시 생략)를 포함할 수 있다. 또한, 상부 조립체(120)는 하우징, 커버, 밀봉 장치, 및/또는 그 밖의 기계적 구성 요소(도시 생략)를 포함할 수 있다.For example, the upper assembly 120 can include RF components (not shown) and / or magnet system components (not shown). The upper assembly 120 may also include a supply line, injection device, and / or other gas supply system components (not shown). In addition, upper assembly 120 may include a housing, cover, sealing device, and / or other mechanical components (not shown).

변형례에서, 처리 챔버(110)는, 예컨대 처리 공간(112) 내의 처리 플라즈마로부터 처리 챔버(110)를 보호하는 챔버 라이너(도시 생략) 또는 공정 튜브(도시 생략)를 더 포함할 수 있다. 또한, 처리 챔버(110)는 모니터링 포트(도시 생략)를 포함할 수 있다. 예컨대, 모니터링 포트는 처리 공간(112)의 광학적 모니터링을 허용할 수 있다.In a variation, the processing chamber 110 may further include a chamber liner (not shown) or a process tube (not shown) that protects the processing chamber 110 from, for example, the processing plasma in the processing space 112. In addition, the processing chamber 110 may include a monitoring port (not shown). For example, the monitoring port can allow optical monitoring of the processing space 112.

기판(145)은, 예컨대 게이트 밸브 조립체(190)에 의해 제어되는 개구(194)를 통하여 처리 챔버(110) 안팎으로 옮겨질 수 있다. 또한, 기판(145)은 로봇 기판 이송 시스템(도시 생략)을 이용하여 기판 홀더 상으로 그리고 기판 홀더로부터 이송될 수 있다. 또한, 기판(145)은 기판 홀더(140) 내에 수용된 기판 리프트 핀(도시 생략)에 의해 떠받쳐질 수 있고, 기판 리프트 핀 내에 수용된 장치에 의해 기계적으로 병진 이동될 수 있다. 일단 기판(145)이 기판 이송 시스템으로부터 받아들여지면, 기판을 기판 홀더(140)의 상부면까지 하강시킬 수 있다.The substrate 145 may be moved into and out of the processing chamber 110, for example, through an opening 194 controlled by the gate valve assembly 190. In addition, the substrate 145 may be transferred onto and from the substrate holder using a robotic substrate transfer system (not shown). In addition, the substrate 145 may be held by a substrate lift pin (not shown) received in the substrate holder 140 and may be mechanically translated by an apparatus housed in the substrate lift pin. Once the substrate 145 is received from the substrate transfer system, the substrate can be lowered to the top surface of the substrate holder 140.

기판(145)은 정전 클램핑 시스템을 통해 기판 홀더(140) 부착될 수 있으나, 수동적 웨이퍼 구속장치이면 대개 충분하다. 또한, 기판 홀더(140)는 냉각 시스템을 더 포함할 수 있는데, 이 냉각 시스템은 기판 홀더(140)로부터 열을 받고 열을 열 교환기 시스템(도시 생략)에 전달하거나, 또는 가열 시에는 열 교환기 시스템으로부터 열을 전달하는 재순환 냉각제 흐름을 포함한다. 또한, 가스는 기판(145)과 기판 홀더(140) 사이에서의 가스-갭 열전도도를 향상시키기 위해 후방측 가스 시스템을 통해 기판(145)의 후방측에 전달될 수 있다. 고온 또는 저온에서 기판의 온도 제어가 요구될 때, 이러한 시스템을 사용할 수 있다. 다른 실시예에서, 저항 가열 요소, 또는 열전 히터/냉각기 등과 같은 가열 요소가 포함될 수 있다.The substrate 145 may be attached to the substrate holder 140 via an electrostatic clamping system, but a passive wafer restraint is usually sufficient. In addition, the substrate holder 140 may further include a cooling system, which receives heat from the substrate holder 140 and transfers the heat to a heat exchanger system (not shown), or when heated, a heat exchanger system. A recycle coolant stream that transfers heat therefrom. In addition, gas may be delivered to the backside of the substrate 145 through the backside gas system to improve gas-gap thermal conductivity between the substrate 145 and the substrate holder 140. Such a system can be used when temperature control of the substrate is required at high or low temperatures. In other embodiments, heating elements, such as resistance heating elements, or thermoelectric heaters / coolers, may be included.

변형례에서, 기판 홀더(140)는 예컨대 벨로우즈(도시 생략)에 의해 둘러싸일 수 있는 수직 병진 운동 장치(도시 생략)를 더 포함할 수 있는데, 이 벨로우즈는 기판 홀더(140) 및 처리 챔버(110)에 연결되어 있고, 처리 챔버(110) 내의 감압 분 위기로부터 수직 병진 운동 장치를 밀봉하도록 구성되어 있는 것이다. 또한, 벨로우즈를 보호하도록 구성된 벨로우즈 쉴드(도시 생략)가 기판 홀더(140)에 연결될 수 있다. 기판 홀더(140)에는 예컨대 포커스 링(도시 생략), 쉴드 링(도시 생략), 및 배플판(도시 생략)이 더 마련될 수 있다.In a variation, the substrate holder 140 may further comprise a vertical translational device (not shown), which may be surrounded by, for example, a bellows (not shown), which may be the substrate holder 140 and the processing chamber 110. ), And is configured to seal the vertical translational motion device from the decompression dust in the processing chamber 110. In addition, a bellows shield (not shown) configured to protect the bellows may be connected to the substrate holder 140. The substrate holder 140 may further include, for example, a focus ring (not shown), a shield ring (not shown), and a baffle plate (not shown).

도 1에 도시된 예시적인 실시예에서, 기판 홀더(140)는 전극(144)을 포함할 수 있고, 이 전극을 통해 RF 전력이 처리 공간(112) 내의 공정 가스에 연결될 수 있다. 예컨대, 기판 홀더(140)는 RF 시스템(185)으로부터의 RF 전력 전송을 통해 RF 전압에서 전기적으로 바이어스될 수 있다. 일부 경우에, 전자를 가열하여 플라즈마를 형성하고 유지하는 데 RF 바이어스를 사용할 수 있다. RF 바이어스용 통상의 주파수는 1 MHz 내지 100 MHz의 범위 내에 있을 수 있다. 예컨대, 플라즈마 처리를 위해 13.56 MHz를 사용하는 반도체 처리 시스템이 당업자에게 잘 알려져 있다.In the example embodiment shown in FIG. 1, substrate holder 140 may include an electrode 144 through which RF power may be coupled to process gas in processing space 112. For example, substrate holder 140 may be electrically biased at RF voltage via RF power transfer from RF system 185. In some cases, RF bias can be used to heat the electrons to form and maintain the plasma. Typical frequencies for RF bias may be in the range of 1 MHz to 100 MHz. For example, semiconductor processing systems using 13.56 MHz for plasma processing are well known to those skilled in the art.

도 1에 도시된 바와 같이, 기판 홀더(140)는 기판(145)을 가열하기 위한 가열 유닛(142)을 포함할 수 있다. 전원(180)은 DC 전력을 가열 유닛(144)에 제공할 수 있고, 가열 유닛은 복사(輻射) 에너지를 기판(145)에 제공할 수 있다.As shown in FIG. 1, the substrate holder 140 may include a heating unit 142 for heating the substrate 145. The power source 180 can provide DC power to the heating unit 144, and the heating unit can provide radiant energy to the substrate 145.

또한, 다른 실시예에서 기판 홀더(140)는 기판 홀더(140)의 하나 이상의 노출면에 형성되는 보호 장벽(도시 생략)을 포함할 수 있다. 변형례에서, 보호 장벽(도시 생략)은 상부 조립체(120)의 하나 이상의 내부면에 형성될 수 있다. 보호 장벽은 질화알루미늄 등과 같은 질화 화합물, 및/또는 폴리이미드 화합물을 포함할 수 있다.Further, in another embodiment, the substrate holder 140 may include a protective barrier (not shown) formed on one or more exposed surfaces of the substrate holder 140. In a variation, a protective barrier (not shown) may be formed on one or more interior surfaces of the upper assembly 120. Protective barriers may include nitride compounds such as aluminum nitride, and / or polyimide compounds.

변형례에 있어서, 보호 장벽은 처리 시스템(100) 내의 구성 요소를 보호하는 데 사용되는 경우에, 다양한 방식으로 만들어질 수 있다. 일례로, 보호 장벽은, 금속을 양극 산화 처리(anodizing)하고, 이렇게 양극 산화 처리된 표면에 테플론을 함침하는 것에 의해 만들어질 수 있다. 예컨대, 보호 장벽은 알루미늄을 경질 양극 산화 처리하거나 알루미늄 합금을 경질 양극 산화 처리하고, 이렇게 경질 양극 산화 처리된 표면에 테플론을 함침함으로써 형성될 수 있다. 그 밖의 경우에, 보호 장벽은 Al2O3, 이트리아(Y2O3), Sc2O3, Sc2F3, YF3, La2O3, CeO2, Eu2O3, 또는 DyO3 중 적어도 하나를 사용하여 만들어질 수 있다. 또한, 보호 장벽은 3족 원소(주기율표의 세번째 세로줄)와 란탄족 원소 중 적어도 하나를 포함할 수 있고, 3족 원소는 이트륨, 스칸듐, 또는 란탄 중 적어도 하나를 포함할 수 있으며, 란탄족 원소는 세륨, 디스프로슘, 또는 유로품 중 적어도 하나를 포함할 수 있다.In a variation, the protective barrier can be made in a variety of ways when used to protect components within the processing system 100. In one example, a protective barrier can be made by anodizing the metal and impregnating Teflon on the surface thus anodized. For example, a protective barrier can be formed by hard anodizing aluminum or hard anodizing aluminum alloy and impregnating Teflon on the hard anodized surface. In other cases, the protective barrier is Al 2 O 3 , yttria (Y 2 O 3 ), Sc 2 O 3 , Sc 2 F 3 , YF 3 , La 2 O 3 , CeO 2 , Eu 2 O 3 , or DyO It can be made using at least one of three . In addition, the protective barrier may include at least one of a Group 3 element (third vertical line in the periodic table) and a Lanthanon element, and the Group 3 Element may include at least one of Yttrium, Scandium, or Lanthanum. It may include at least one of cerium, dysprosium, or flow path product.

또한, 보호 장벽은 처리 챔버 내에 예비 공정 코팅의 일부분(예컨대, 필요한 공정 박막의 코팅 이전에 SiN 또는 Si를 증착하는 것)으로서 형성될 수 있다.In addition, the protective barrier may be formed as part of the preliminary process coating (eg, depositing SiN or Si prior to coating of the required process thin film) in the processing chamber.

또한, 처리 시스템(100)은 제어기(130)를 포함할 수 있다. 제어기(130)는 챔버(100), 상부 조립체(120), 기판 홀더(140), 압력 제어 시스템(150), 펌핑 시스템(160) 및 SIA(180)에 연결될 수 있다. 제어기는 시스템의 구성 요소에 제어 데이터를 제공하고, 시스템의 구성 요소로부터 공정 및/또는 상태 데이터를 받도록 구성될 수 있다. 예컨대, 제어기(130)는 마이크로프로세서, 메모리(예컨대, 휘발성 메모리 또는 비휘발성 메모리) 및 디지털 I/O 포트를 포함할 수 있는데, 이 디 지털 I/O 포트는 처리 시스템(100)에 대한 입력뿐만 아니라 처리 시스템(100)으로부터의 모니터 출력을 전달하고 활성화하기에 충분한 제어 전압을 발생시킬 수 있다. 또한, 제어기(130)는 챔버(110), 상부 조립체(120), 기판 홀더(140), 압력 제어 시스템(150), 벽 온도 제어 유닛(160), 가스 공급 시스템(170), 기판 홀더 온도 제어 유닛(TCU)(180) 및 게이트 밸브 조립체(190)와 정보를 교환할 수 있다. 또한, 메모리에 기억된 프로그램을 이용하여, 공정 레서피(process recipe)에 따라 처리 시스템(100)의 전술한 구성 요소를 제어할 수 있다. 또한, 제어기는 공정 및/또는 상태 데이터를 분석하고, 상기 공정 및/또는 상태 데이터와 타겟 공정 및/또는 상태 데이터를 비교하며, 그리고 그 비교 결과를 사용하여 공정을 변경하거나 및/또는 시스템 구성 요소를 제어하도록 구성될 수 있다. 또한, 제어기는 상기 공정 및/또는 상태 데이터를 분석하고, 상기 공정 및/또는 상태 데이터와 이력 공정 및/또는 상태 데이터를 비교하며, 그리고 그 비교 결과를 사용하여 고장을 예상, 방지 및/또는 선언하도록 구성될 수 있다.In addition, the processing system 100 may include a controller 130. The controller 130 may be connected to the chamber 100, the upper assembly 120, the substrate holder 140, the pressure control system 150, the pumping system 160 and the SIA 180. The controller may be configured to provide control data to components of the system and to receive process and / or state data from components of the system. For example, controller 130 may include a microprocessor, memory (eg, volatile memory or nonvolatile memory) and digital I / O ports, which may be input only to processing system 100. As well as generating a control voltage sufficient to deliver and activate the monitor output from the processing system 100. The controller 130 also includes a chamber 110, an upper assembly 120, a substrate holder 140, a pressure control system 150, a wall temperature control unit 160, a gas supply system 170, a substrate holder temperature control. Information may be exchanged with the unit (TCU) 180 and the gate valve assembly 190. In addition, using the program stored in the memory, it is possible to control the above-described components of the processing system 100 according to the process recipe (process recipe). In addition, the controller analyzes the process and / or state data, compares the process and / or state data with the target process and / or state data, and uses the comparison results to change the process and / or system components. It can be configured to control. In addition, a controller analyzes the process and / or state data, compares the process and / or state data with historical process and / or state data, and uses the comparison results to predict, prevent, and / or declare failures. It can be configured to.

또한, 처리 챔버(110)는 30 내지 150 ℃의 온도로 가열되거나 냉각될 수 있으며, 예컨대 상기 온도는 전형적으로는 40 ℃일 수 있다. 또한, 가스 분배 시스템은 40 내지 150 ℃의 온도로 가열될 수 있으며, 예컨대 상기 온도는 전형적으로는 50 ℃일 수 있다. 기판은 250 내지 1000 ℃의 온도로 유지될 수 있으며, 예컨대 상기 기판 온도는 전형적으로는 500 ℃일 수 있다.In addition, the processing chamber 110 may be heated or cooled to a temperature of 30 to 150 ° C, for example the temperature may typically be 40 ° C. In addition, the gas distribution system may be heated to a temperature of 40 to 150 ° C, for example the temperature may typically be 50 ° C. The substrate may be maintained at a temperature of 250 to 1000 ° C, for example the substrate temperature may typically be 500 ° C.

도 2a는 본 발명의 일 실시예에 따른 기판 홀더의 간략한 단면도이다. 도 2a에 도시된 바와 같이, 기판 홀더(200)는 실질적으로 처리 챔버로부터 절연되도록 구성될 수 있다. 기판 홀더에는 센터링 링(215)이 연결될 수 있다. 예컨대, 센터링 링(215)은 테플론을 포함할 수 있다.2A is a simplified cross-sectional view of a substrate holder in accordance with one embodiment of the present invention. As shown in FIG. 2A, the substrate holder 200 may be configured to be substantially insulated from the processing chamber. The centering ring 215 may be connected to the substrate holder. For example, the centering ring 215 may comprise Teflon.

변형례에서, 기판 홀더(200)는 기판 홀더의 상부면 상에 형성된 보호 장벽(도시 생략)을 포함할 수 있고, 보호 장벽은 테플론을 비롯한 화합물을 포함할 수 있다.In a variation, the substrate holder 200 may comprise a protective barrier (not shown) formed on the top surface of the substrate holder, and the protective barrier may comprise a compound, including Teflon.

도 2a에 도시된 바와 같이, 기판 홀더(200)는 가열 유닛(210)을 구비하는 가열 조립체(220)와, 열 장벽(230)과, 냉각 유닛(240), 그리고 연결 유닛(250)을 포함한다.As shown in FIG. 2A, the substrate holder 200 includes a heating assembly 220 having a heating unit 210, a thermal barrier 230, a cooling unit 240, and a connection unit 250. do.

냉각 시스템(240)은 기판 홀더로부터 열을 받아 열을 열 교환기 시스템(도시 생략)에 전달하는 재순환 냉각제(도시 생략)를 포함할 수 있다.Cooling system 240 may include a recycle coolant (not shown) that receives heat from the substrate holder and transfers the heat to a heat exchanger system (not shown).

또한, 후방측 가스 시스템을 통해 기판(145)의 후방측에 열전달 가스를 전하여, 기판(145)과 기판 홀더(140) 사이에서의 가스-갭 열전도도를 향상시킬 수 있다. 예컨대, 기판(145)의 후방측에 공급되는 열전달 가스는, 헬륨, 아르곤, 크세논, 크립톤 등과 같은 불활성 가스와, CF4, C4F8, C5F8, C4F6 등과 같은 공정 가스, 또는 산소, 질소, N2O, NO 혹은 수소 등과 같은 그 밖의 가스를 포함할 수 있다. 예컨대, 후방측 가스 시스템은 2구역(센터 엣지) 시스템 등과 같은 다중-구역 가스 분배 시스템을 포함할 수 있는데, 이 시스템에서는 기판(145)의 센터와 엣지 사이에서 후방측 가스 갭 압력을 독립적으로 변경할 수 있다. 그 밖의 실시예에서, 저항 가열 요소 또는 열전 히터/냉각기 등과 같은 가열/냉각 요소는 기판 홀더(140) 뿐만 아니라 처리 챔버(110)의 챔버 벽에도 포함될 수 있다.In addition, heat transfer gas may be transferred to the rear side of the substrate 145 through the rear side gas system to improve the gas-gap thermal conductivity between the substrate 145 and the substrate holder 140. For example, the heat transfer gas supplied to the rear side of the substrate 145 may be an inert gas such as helium, argon, xenon, krypton, or the like, and a process gas such as CF 4 , C 4 F 8 , C 5 F 8 , C 4 F 6, or the like. Or other gases such as oxygen, nitrogen, N 2 O, NO or hydrogen. For example, the backside gas system may include a multi-zone gas distribution system, such as a two-zone (center edge) system, whereby the backside gas gap pressure is independently changed between the center and the edge of the substrate 145. Can be. In other embodiments, heating / cooling elements, such as resistive heating elements or thermoelectric heaters / coolers, may be included in the chamber walls of the processing chamber 110 as well as the substrate holder 140.

예컨대, 가열 조립체(220)는 석영 등과 같이 전기적으로 비전도성이고 열적으로 전도성인 재료로 제조될 수 있고, 가열 유닛(210)의 히터는 고순도 카본 와이어 등과 같이 전기적으로 전도성인 재료로 제조될 수 있다.For example, the heating assembly 220 may be made of an electrically nonconductive and thermally conductive material, such as quartz, and the heater of the heating unit 210 may be made of an electrically conductive material, such as high purity carbon wire or the like. .

예컨대, 열 장벽(230)은 석영, 알루미나, 테플론 등과 같이 가변성 열전도도를 가진 열 가변 재료로 제조될 수 있다.For example, the thermal barrier 230 may be made of a thermally variable material having variable thermal conductivity, such as quartz, alumina, teflon, and the like.

예컨대, 냉각 유닛(240)은 알루미늄, 스테인레스강, 니켈 등과 같이 전기적으로도 열적으로도 전도성인 재료로 제조될 수 있다.For example, the cooling unit 240 may be made of a material that is electrically and thermally conductive, such as aluminum, stainless steel, nickel, and the like.

예컨대, 연결 유닛(250)은 석영, 알루미나, 테플론 등과 같이 비교적 낮은 열전도도를 갖는 열저항 재료로 제조될 수 있다. 별법으로서, 연결 유닛(250)은 알루미늄, 스테인레스강, 니켈 등과 같이 전기적으로도 열적으로도 전도성인 재료로 제조될 수 있다.For example, the connection unit 250 may be made of a heat resistant material having a relatively low thermal conductivity such as quartz, alumina, teflon, or the like. Alternatively, connection unit 250 may be made of a material that is electrically and thermally conductive, such as aluminum, stainless steel, nickel, and the like.

변형례에서, 구성 요소(220, 230, 240 및 250)는 그 하나 이상의 외표면 상에 보호 장벽(도시 생략)이 형성되어 있을 수 있다. 예컨대, 보호 장벽은 전술한 바와 같이 형성될 수 있다.In a variation, components 220, 230, 240, and 250 may have protective barriers (not shown) formed on one or more of their outer surfaces. For example, the protective barrier can be formed as described above.

예컨대, 냉각 유닛(240)은 그 내부에 냉각제 채널(도시 생략)을 포함할 수 있고, 이 냉각제 채널은 기판 홀더(140)를 전도-대류 냉각하기 위하여, 물, 플루오리너트(Fluorinert), 갈덴(Galden) HT-135 등과 같은 냉각제가 소정의 유량을 갖는 것을 허용한다. 별법으로서, 온도 냉각 유닛(240)은 열전 소자의 어레이를 포함할 수 있는데, 이들 열전 소자는 각 소자를 통해 흐르는 전류의 방향에 의존하여 기판 홀더를 가열하거나 또는 냉각할 수 있는 것이다. 예시적인 열전 소자로는 어드밴스드 써모일렉트릭(Advanced Thermoelectric)에서 시판하는 Model ST-127-1.4-8.5M(최대 열전달 전력이 72 W인 40 mm×40 mm×3.4 mm의 열전 소자)가 있다.For example, the cooling unit 240 may include a coolant channel (not shown) therein, which coolant channel, water, Fluorinert, Galden, to conduct-convective cool the substrate holder 140. Allow coolant, such as (Galden) HT-135, to have a certain flow rate. Alternatively, the temperature cooling unit 240 may comprise an array of thermoelectric elements, which are capable of heating or cooling the substrate holder depending on the direction of the current flowing through each element. Exemplary thermoelectric devices include the Model ST-127-1.4-8.5M (40 mm × 40 mm × 3.4 mm thermoelectric devices with a maximum heat transfer power of 72 W) available from Advanced Thermoelectric.

또한, 기판 홀더(140)는 클램핑 전극이 매설되어 있는 비전도성 재료로 이루어진 정전 클램프(ESC)(도시 생략)를 더 포함할 수 있다. 이러한 클램프의 설계 및 실시는 정전 클램핑 시스템 분야의 당업자에게 잘 알려져 있다.In addition, the substrate holder 140 may further include an electrostatic clamp (ESC) (not shown) made of a non-conductive material in which the clamping electrode is embedded. Design and implementation of such clamps are well known to those skilled in the art of electrostatic clamping systems.

또한, 기판 홀더(140)는, 열전달 가스(예컨대, 헬륨, 아르곤, 크세논, 크립톤 등을 비롯한 불활성 가스와, CF4, C4F8, C5F8, C4F6 등을 비롯한 공정 가스, 또는 산소, 질소, 혹은 수소 등을 비롯한 그 밖의 가스)를 적어도 하나의 가스 공급 라인(342)과 복수 개의 오리피스 또는 채널 중 적어도 하나를 통하여 기판(242)의 후방측에 공급하는 후방측 가스 공급 시스템(도시 생략)을 더 포함할 수 있다. 후방측 가스 공급 시스템(340)은 2구역(센터-엣지) 시스템 등과 같은 다중-구역 공급 시스템일 수 있는데, 이 시스템에서는 후방측 압력을 반경방향으로 센터로부터 엣지까지 다르게 할 수 있다.In addition, the substrate holder 140 may include an inert gas including a heat transfer gas (eg, helium, argon, xenon, krypton, and the like) and a process gas including CF 4 , C 4 F 8 , C 5 F 8 , C 4 F 6 , and the like. Or other gas, including oxygen, nitrogen, hydrogen, or the like) to the rear side of the substrate 242 through the at least one gas supply line 342 and at least one of the plurality of orifices or channels. It may further comprise a system (not shown). The backside gas supply system 340 may be a multi-zone supply system, such as a two-zone (center-edge) system or the like, in which the backside pressure may vary from center to edge in the radial direction.

기판 홀더(140)는 가열 조립체(220)와 하위 냉각 유닛(240) 사이를 추가적으로 절연시키기 위하여 열 장벽(230)을 더 포함할 수 있다. 한 가지 실시예에서, 열 장벽은 기판 홀더에 마련되는 단열판을 포함할 수 있다. 예컨대, 단열판은 원형 디스크을 포함하고, 상부면에는 반사면이 마련될 수 있다. 열 장벽은 투명한 석영 유리 재료, 불투명한 석영 유리 재료, 탄화규소 화합물, 또는 탄화규소-실리 콘 화합물 중 적어도 하나를 포함할 수 있다.The substrate holder 140 may further include a thermal barrier 230 to further insulate the heating assembly 220 from the lower cooling unit 240. In one embodiment, the thermal barrier may include a heat insulation plate provided in the substrate holder. For example, the heat insulating plate may include a circular disk, and a reflecting surface may be provided on the upper surface. The thermal barrier may comprise at least one of a transparent quartz glass material, an opaque quartz glass material, a silicon carbide compound, or a silicon carbide-silicon compound.

반사면은 실리카 미세 분말, 알루미나 미세 분말 및 산화티탄 미세 분말 중 적어도 하나를 포함하는 절연 코팅 필름을 포함할 수 있다. 반사면은 열 절연성 및 차폐성을 갖는다. 단열판은 히터 요소로부터 복사된 열선을 반사시킴으로써 가열 유닛의 열 효율을 향상시킬 수 있다.The reflective surface may include an insulating coating film including at least one of silica fine powder, alumina fine powder, and titanium oxide fine powder. The reflective surface has thermal insulation and shielding properties. The insulation board can improve the thermal efficiency of the heating unit by reflecting the radiant heat radiation from the heater element.

한 가지 실시예에서, 반사성 절연 코팅 필름을 구성하는 실리카 미세 분말과 알루미나 미세 분말 사이의 혼합비는 대략 3:1 내지 3:7이며, 상기 절연 코팅 필름은 산화티탄 미세 분말을 더 포함할 수 있다. 예컨대, 실리카 미세 분말, 알루미나 미세 분말 및 산화티탄 미세 분말의 평균 입자 크기는 약 0.1 내지 200 미크론일 수 있다. 산화티탄 미세 분말을 혼합하는 경우, 알루미나 100 질량부당 50 내지 150 질량부의 비율로 혼합한다. 반사성 절연 코팅 필름의 필름 두께는 약 30 미크론 내지 약 300 미크론일 수 있다. 반사성 절연 코팅 필름의 두께가 30 미크론 미만인 경우, 절연성 및 차폐성이 악화되고, 상기 절연 코팅 필름의 두께가 300 미크론보다 큰 경우에는 균열이 발생할 수 있다.In one embodiment, the mixing ratio between the silica fine powder and the alumina fine powder constituting the reflective insulating coating film is approximately 3: 1 to 3: 7, and the insulating coating film may further include titanium oxide fine powder. For example, the average particle size of the silica fine powder, the alumina fine powder, and the titanium oxide fine powder may be about 0.1 to 200 microns. When mixing titanium oxide fine powder, it mixes in the ratio of 50-150 mass parts per 100 mass parts of alumina. The film thickness of the reflective insulating coating film can be from about 30 microns to about 300 microns. When the thickness of the reflective insulating coating film is less than 30 microns, the insulation and shielding properties are deteriorated, and when the thickness of the insulating coating film is larger than 300 microns, cracking may occur.

또한, 고순도 절연 재료를 사용하여, 단열판 아래의 공간을 채울 수 있다. 고순도 절연 재료를 사용하는 경우, 절연성, 차폐성 및 가열 효율이 우수한 기판 가열 장치를 확보할 수 있다.In addition, a high purity insulating material can be used to fill the space under the heat insulating plate. When using a high purity insulating material, the board | substrate heating apparatus excellent in insulation, shielding property, and heating efficiency can be ensured.

변형례에서, 반사성 절연 코팅 필름을 원형 디스크의 상부면과 바닥면에 도포할 수 있다. 예컨대, 30 내지 200 미크론 두께의 재료를 도포하고 약 1000 ℃로 소성하여, 반사성 절연 코팅 필름을 형성할 수 있다. 이 필름은 1200 ℃ 이상의 고온 조건에 장기간 노출되더라도, 필름의 열화, 박리 및 변색이 쉽게 발생하지 않는다. 또한, 필름의 두께가 약 100 미크론인 경우에는, 파장이 2.5 미크론인 열선이 45% 이상 반사될 수 있다.In a variant, a reflective insulating coating film can be applied to the top and bottom surfaces of the circular disk. For example, a 30-200 micron thick material may be applied and fired at about 1000 ° C. to form a reflective insulating coating film. Even if the film is exposed to high temperature conditions of 1200 ° C. or more for a long time, deterioration, peeling and discoloration of the film do not easily occur. In addition, when the thickness of the film is about 100 microns, a heat ray having a wavelength of 2.5 microns may reflect at least 45%.

변형례에서, 열 장벽(230)은 절연 갭(도시 생략)을 포함할 수 있는데, 이 절연 갭은 그 열전도도를 변경하기 위해, 압력 제어 시스템에 연결되거나 및/또는 가스 공급부(도시 생략)에 연결된 진공 라인(도시 생략) 또는 펌핑 시스템(도시 생략)을 사용하여 배기될 수 있다. 가스 공급부는 열전달 가스를 기판(145)의 후방측에 연결하는 데 이용되는 후방측 가스 공급부일 수 있다. 변형례에서는, 열 장벽이 필요하지 않다.In a variation, thermal barrier 230 may include an insulation gap (not shown), which is connected to a pressure control system and / or to a gas supply (not shown) to change its thermal conductivity. It can be evacuated using a connected vacuum line (not shown) or a pumping system (not shown). The gas supply may be a backside gas supply used to connect the heat transfer gas to the backside of the substrate 145. In a variant, no thermal barrier is needed.

한 가지 실시예에서, 기판 홀더의 상부면은 편평하고, 기판은 리프트 핀 세트를 사용하여 기판 홀더의 상부면 방향으로 낮춰지거나 및/또는 기판 홀더의 상부면으로부터 올려질 수 있다. 예컨대, 기판은 석영 핀을 통해 상승 또는 하강할 수 있고, 기판 홀더는 석영 카본 와이어 히터 조립체 내에 구멍을 포함할 수 있으며, 상기 구멍은 상기 석영 핀이 카본 와이어 히터 조립체를 통과하여 병진 이동하는 것을 허용한다. 기판이 기판 홀더의 표면과 밀접해 있는 경우, 복사 에너지의 전달에 있어서 전도의 비율이 증대한다. 기판 홀더의 상부면 부분에 석영을 사용하면, 후방측 오염 문제가 감소한다. 예컨대, 금속 오염은 실질적으로 배제될 수 있다.In one embodiment, the top surface of the substrate holder is flat and the substrate can be lowered in the direction of the top surface of the substrate holder and / or raised from the top surface of the substrate holder using a set of lift pins. For example, the substrate can be raised or lowered through the quartz fins, and the substrate holder can include a hole in the quartz carbon wire heater assembly, the hole allowing the quartz fin to translate through the carbon wire heater assembly. do. When the substrate is in close contact with the surface of the substrate holder, the rate of conduction increases in the transfer of radiant energy. Using quartz in the upper surface portion of the substrate holder reduces backside contamination problems. For example, metal contamination can be substantially excluded.

도 2b는 본 발명의 다른 실시예에 따른 기판 홀더의 간략한 단면도이다. 도시된 실시예에는 히터 조립체(220A) 및 기판(145)이 도시되어 있다. 히터 조립 체(220A)는 적어도 하나의 카본 와이어 히터를 구비할 수 있는 가열 유닛(210A)과, 유지 장치(212A)를 포함할 수 있다.2B is a simplified cross-sectional view of a substrate holder according to another embodiment of the present invention. In the illustrated embodiment, heater assembly 220A and substrate 145 are shown. The heater assembly 220A may include a heating unit 210A, which may include at least one carbon wire heater, and a holding device 212A.

도시된 바와 같이, 히터 조립체의 상부면은 융기부(225)를 포함할 수 있으며, 이 융기부 상에 기판이 배치될 수 있다. 융기부(225)는 웨이퍼 이송 기구(포크)가 기판과 기판 홀더의 상부면 사이에서 병진 이동하여 기판을 기판 홀더로부터 들어올리거나 기판을 기판 홀더 상에 내려놓는 것을 허용할 수 있을 정도로 충분히 큰 높이로 만들어질 수 있다.As shown, the top surface of the heater assembly may include a ridge 225, on which a substrate may be placed. The ridge 225 is high enough to allow the wafer transfer mechanism (fork) to translate between the substrate and the top surface of the substrate holder to lift the substrate from the substrate holder or to lower the substrate onto the substrate holder. Can be made.

유지 장치(212A), 가열 유닛(210A) 및 융기부(225)는 석영을 포함할 수 있다. 가열 유닛(210A)은 본원에 기술된 여러 구조 중 하나의 것으로 구성될 수 있다.The holding device 212A, the heating unit 210A and the ridge 225 may comprise quartz. Heating unit 210A may be comprised of one of several structures described herein.

도시된 실시예에서, 가열 유닛(210A)은 유지 장치(212A)의 상부에 장착되는 것으로 도시되어 있지만, 이는 필수적인 것은 아니다. 별법으로서, 가열 유닛은 다른 방식으로 장착될 수 있다.In the illustrated embodiment, the heating unit 210A is shown mounted on top of the holding device 212A, but this is not required. Alternatively, the heating unit can be mounted in other ways.

변형례에서, 온도 센서는 융기부(225) 및/또는 유지 장치(212A) 내에 배치될 수 있다. 온도 센서를 사용하여 히터 조립체 온도 및/또는 기판 온도를 측정할 수 있다. 또한, 온도 센서로부터의 데이터를 사용하여, 웨이퍼의 그 밖의 특성(예컨대, 곡률)을 결정할 수 있다.In a variation, the temperature sensor may be disposed within the ridge 225 and / or the retaining device 212A. The temperature sensor can be used to measure the heater assembly temperature and / or the substrate temperature. In addition, data from the temperature sensor can be used to determine other characteristics (eg, curvature) of the wafer.

또한, 석영 기판 홀더를 구비하면 처리 시스템의 유지 보수를 향상시킬 수 있다. 공정 제품 및 부산물이 기판 홀더의 석영 표면에 반응하거나 및/또는 부착될 가능성은 거의 없다. 또한, 기판 홀더가 석영을 포함하는 경우에는, 보다 적극 적이고 및/또는 보다 빈번한 세척이 수행될 수 있다.In addition, the provision of a quartz substrate holder can improve the maintenance of the processing system. It is unlikely that process products and by-products will react and / or adhere to the quartz surface of the substrate holder. In addition, when the substrate holder comprises quartz, more aggressive and / or more frequent cleaning may be performed.

기판 홀더는 이와 같이 넓은 작동 온도 범위를 갖기 때문에, 챔버를 개방하지 않고도 기판 홀더를 세척할 수 있다. 예컨대, 세척 공정 동안에 기판의 온도는 소정의 높은 온도까지 상승할 수 있다. 이러한 세척 공정은 별도로 또는 챔버 세척 공정의 일부분으로서 수행될 수 있다.Since the substrate holder has such a wide operating temperature range, it is possible to clean the substrate holder without opening the chamber. For example, during the cleaning process the temperature of the substrate can rise to a certain high temperature. This cleaning process may be performed separately or as part of a chamber cleaning process.

전술한 바와 같이 카본 와이어 히터를 포함하는 기판 홀더는 매우 넓은 작동 범위와, 매우 빠른 온도 응답, 그리고 우수한 전달 특성을 가질 수 있으므로, 다양한 용례에 사용될 수 있다.As described above, the substrate holder including the carbon wire heater can be used in various applications because it can have a very wide operating range, very fast temperature response, and excellent transfer characteristics.

화학적 기상 증착(CVD) 시스템, 플라즈마 화학적 기상 증착(PECVD) 시스템, 물리적 기상 증착(PVD) 시스템, 이온화 물리적 기상 증착(iPVD) 시스템 및 원자층 증착(ALD) 시스템 등과 같은 증착 시스템에 싱글 웨이퍼 히터 조립체(기판 홀더)를 사용할 수 있다.Single wafer heater assemblies in deposition systems such as chemical vapor deposition (CVD) systems, plasma chemical vapor deposition (PECVD) systems, physical vapor deposition (PVD) systems, ionized physical vapor deposition (iPVD) systems, and atomic layer deposition (ALD) systems (Substrate holder) can be used.

또한, 급속 열처리(RTP) 시스템, 급속 열 어닐링(RTA) 시스템, 건조 시스템, 현상 시스템 및 스파이크-어닐링(spike-annealing) 시스템 등과 같은 열처리 시스템에 싱글 웨이퍼 히터 조립체(기판 홀더)를 사용할 수 있다.In addition, single wafer heater assemblies (substrate holders) may be used in heat treatment systems such as rapid heat treatment (RTP) systems, rapid thermal annealing (RTA) systems, drying systems, developing systems, and spike-annealing systems.

또한, 에칭 시스템 및 화학적 산화물 제거(COR) 시스템에 싱글 웨이퍼 히터 조립체(기판 홀더)를 사용할 수 있다.It is also possible to use a single wafer heater assembly (substrate holder) for etching systems and chemical oxide removal (COR) systems.

이와 같이, 싱글 웨이퍼 히터 조립체는 서로 다른 특성을 갖는 다수의 서로 다른 타입의 웨이퍼와 함께 작동되어야 한다. 공정 전개 사이클의 초기에 있어서의 웨이퍼는 공정 전개 사이클의 말기에 있어서의 웨이퍼와 구조적으로 크게 다르 다. 전위 처리 중 웨이퍼의 온도 응답은 후위 처리 중 동일 웨이퍼의 온도 응답과 다르다. 싱글 웨이퍼 히터 조립체는 전위 처리 및 후위 처리 동안에 효과적으로 작동한다.As such, a single wafer heater assembly must be operated with multiple different types of wafers having different characteristics. The wafer at the beginning of the process development cycle differs structurally from the wafer at the end of the process development cycle. The temperature response of the wafer during the dislocation process is different from that of the same wafer during the post process. The single wafer heater assembly works effectively during dislocation and post treatment.

다중-구역 싱글 웨이퍼 히터 조립체에 의하면, 웨이퍼의 선택 영역을 독립적으로 제어할 수 있게 된다. 예컨대, 센터 영역은 외부 영역과 다르게 제어될 수 있다. 이는 웨이퍼의 서로 다른 영역에 있어서의 열복사 차이를 보상하기 위해 실시될 수 있다. 다중-구역 싱글 웨이퍼 히터 조립체는, 다수의 서로 다른 공정 레서피, 서로 다른 챔버 압력, 서로 다른 공정 화학, 서로 다른 공정 가스 흐름, 서로 다른 웨이퍼 타입, 및 서로 다른 공정 시간에 맞게, 복수 개의 카본 와이어 히터에 서로 다른 전력 레벨을 제공함으로써, 웨이퍼의 온도를 균일하게 유지할 수 있다.The multi-zone single wafer heater assembly enables independent control of the selected area of the wafer. For example, the center area may be controlled differently from the outer area. This can be done to compensate for thermal radiation differences in different regions of the wafer. Multi-zone single wafer heater assemblies can be equipped with multiple carbon wire heaters to suit multiple different process recipes, different chamber pressures, different process chemistries, different process gas flows, different wafer types, and different process times. By providing different power levels, the temperature of the wafer can be kept uniform.

서로 다른 필름 코팅을 갖는 웨이퍼는 서로 다른 복사 및 열전달 특성을 가질 수 있다. 또한, 웨이퍼의 열적 특성은 도핑의 양에 좌우될 수 있고, 싱글 웨이퍼 히터 조립체는 이러한 웨이퍼의 열적 특성의 차이를 보상하도록 프로그램될 수 있다.Wafers with different film coatings can have different radiation and heat transfer characteristics. In addition, the thermal properties of the wafer may depend on the amount of doping, and a single wafer heater assembly may be programmed to compensate for the difference in thermal properties of this wafer.

변형례에서, 웨이퍼 및/또는 히터 조립체의 일부분을 회전시킴으로써, 웨이퍼의 균일성이 향상될 수 있다. 이러한 방식에서, 열의 전달 및 열의 손실에 있어서의 작은 차이는 평균화될 수 있다. 공정 균일성의 최적화는 공정 수율의 증대로 이어질 수 있다.In a variation, by rotating a portion of the wafer and / or heater assembly, the uniformity of the wafer can be improved. In this way, small differences in heat transfer and heat loss can be averaged. Optimization of process uniformity can lead to increased process yields.

기판 홀더에서 싱글 웨이퍼 히터를 제어하기 위한 두 가지 기본적인 방법이 있으며, 즉 측정 데이터를 제어기에 피드백하는 폐루프 방법을 사용할 수 있고, 공정의 반복도 및 공정에 대한 사전 측정 데이터에 의존하는 개루프 방법을 사용할 수 있다.There are two basic methods for controlling a single wafer heater in the substrate holder, namely the closed loop method of feeding back measurement data to the controller, and the open loop method depending on the repeatability of the process and the pre-measured data for the process. Can be used.

싱글 웨이퍼 히터 조립체는 고온에서 작동할 수 있고 단기간에 소정 온도까지 상승할 수 있기 때문에, 얇은 산화물층을 형성하는 데 사용될 수 있다. 고온 산화물은 누설 전류 및 응력이 낮고 신뢰도가 높을 수 있다.Since the single wafer heater assembly can operate at high temperatures and can rise to a predetermined temperature in a short time, it can be used to form a thin oxide layer. High temperature oxides can have low leakage currents and stresses and high reliability.

싱글 웨이퍼 히터 조립체는 고온에서 작동할 수 있고 단기간에 소정 온도까지 상승할 수 있기 때문에, 얇은 산소질화 규소층을 형성하는 데 사용될 수 있다. 산소질화 규소층은 누설 전류 및 응력이 낮고 신뢰도가 높을 수 있다.Since the single wafer heater assembly can operate at high temperatures and can rise to a predetermined temperature in a short time, it can be used to form a thin layer of silicon oxynitride. The silicon oxynitride layer may have low leakage current and stress and high reliability.

싱글 웨이퍼 히터 조립체는 고온에서 작동할 수 있고 단기간에 소정 온도까지 상승할 수 있기 때문에, 다양한 얇은 유전층을 형성하는 데 사용될 수 있다.Since the single wafer heater assembly can operate at high temperatures and can rise to a predetermined temperature in a short time, it can be used to form various thin dielectric layers.

싱글 웨이퍼 히터 조립체는 고온에서 작동할 수 있고 단기간에 소정 온도까지 상승할 수 있기 때문에, 열 어닐링 단계를 수행하는 데 사용될 수 있다.Since the single wafer heater assembly can operate at high temperatures and can rise to a predetermined temperature in a short time, it can be used to perform a thermal annealing step.

초박형 접합부를 형성하는 동안에 도펀트의 어닐링을 보다 잘 수행하기 위해서는 높은 온도 범위와 빠른 응답 시간이 필요하기 때문에, 싱글 웨이퍼 히터 조립체를 RTA 공정에 사용할 수 있다.Single wafer heater assemblies can be used in RTA processes because high temperature ranges and fast response times are required to better anneal the dopant during the formation of ultra-thin junctions.

본 발명에 따른 웨이퍼 히터 조립체를 사용하여 열 어닐링 단계를 행하면, 면저항을 낮출 수 있고, 접합 깊이를 줄일 수 있으며, 접합부에서의 결함 밀도를 낮출 수 있다.By performing the thermal annealing step using the wafer heater assembly according to the present invention, it is possible to lower the sheet resistance, reduce the junction depth, and lower the defect density at the junction.

석영 기판 홀더 내의 카본 가열 요소는 뛰어난 챔버 내 불순물 제어 방식과 접합부 개량 방식을 제공할 수 있기 때문에, 싱글 웨이퍼 히터 조립체를 여러 공정에 대해 사용할 수 있다.Since the carbon heating element in the quartz substrate holder can provide excellent in-chamber impurity control and junction enhancement, a single wafer heater assembly can be used for multiple processes.

딥 서브미크론 CMOS 기술에 있어서, 실리사이드(silicide)는 소스, 드레인 및 게이트 영역의 면저항 뿐만 아니라 접촉 저항 및 소스-드레인 직렬 저항을 낮추는데 사용된다. CMOS 공정은 소형화되고 있기 때문에, 실리사이드화 모듈에서 몇몇 문제가 일어난다.In deep submicron CMOS technology, silicides are used to lower the contact resistance and source-drain series resistance as well as the sheet resistance of the source, drain and gate regions. Because CMOS processes are becoming smaller, some problems arise in silicidation modules.

어닐링 온도 및 공정 동역학은 사용되는 금속에 의존하고, 본 발명은 NiSi, TiSi2 및 CoSi2 등과 같은 다양한 금속에 대해 사용될 수 있다. 싱글 웨이퍼 히터 조립체는 어닐링 공정의 이전, 도중, 이후에 있어서 오염물의 제어를 허용한다.Annealing temperature and process kinetics depend on the metal used, and the present invention can be used for various metals such as NiSi, TiSi 2 , CoSi 2, and the like. The single wafer heater assembly allows control of contaminants before, during and after the annealing process.

하나 이상의 카본 와이어 히터를 기판 홀더 내에 통합시키면, 열 예산을 줄일 수 있고, 처리 속도를 더 높일 수 있으며, 소유 비용을 줄일 수 있다. 싱글 웨이퍼 히터 조립체는 고종횡비 공정에 요구되는 향상된 공정 성능을 제공할 수 있으며, 초박형 접합부 형성, 실리사이드화, 산화물 성장, BPSG 치밀화 및 금속 어닐링에 사용될 수 있다.Integrating one or more carbon wire heaters into a substrate holder can reduce thermal budget, speed up processing, and reduce cost of ownership. Single wafer heater assemblies can provide the improved process performance required for high aspect ratio processes and can be used for ultra-thin junction formation, silicideization, oxide growth, BPSG densification and metal annealing.

기판(145)을 처리 시스템에서 기판 홀더의 상부면 및 전송 평면에 대해 접근하도록 그리고 멀어지도록 수직방향으로 병진 이동시키기 위하여, 기판 홀더(200)는 3개 이상의 리프트 핀을 상승 및 하강시킬 수 있는 리프트 핀 조립체(도시 생략)를 더 포함할 수 있다.In order to translate the substrate 145 vertically to approach and away from the top surface and the transfer plane of the substrate holder in the processing system, the substrate holder 200 is a lift capable of raising and lowering three or more lift pins. It may further include a pin assembly (not shown).

온도 제어형 기판 홀더(200)의 온도는 열전쌍(예컨대, K-타입 열전쌍, Pt 센 서 등) 등과 같은 온도-감지 장치(도시 생략)를 사용하여 모니터링할 수 있다. 또한, 제어기는 온도 측정값을 기판 홀더에 대한 피드백으로서 이용하여, 기판 홀더의 온도를 제어할 수 있다. 예컨대, 유체 유량, 유체 온도, 열전달 가스 타입, 열전달 가스 압력, 클램핑 력, 히터 요소의 전류 및/또는 전압, 열전 소자의 전류 또는 극성 등 중에서 적어도 하나를 조정하여, 기판 홀더의 온도를 변경할 수 있다.The temperature of the temperature controlled substrate holder 200 may be monitored using a temperature-sensing device (not shown), such as a thermocouple (eg, K-type thermocouple, Pt sensor, etc.). The controller can also control the temperature of the substrate holder using the temperature measurement as feedback to the substrate holder. For example, the temperature of the substrate holder may be changed by adjusting at least one of fluid flow rate, fluid temperature, heat transfer gas type, heat transfer gas pressure, clamping force, current and / or voltage of the heater element, current or polarity of the thermoelectric element, and the like. .

광학 모니터링 시스템(도시 생략)은 처리 공간으로부터의 광학 복사의 모니터링을 허용할 수 있다. 예컨대, 포토 다이오드, 광전자 증배관, CCD, CID, 또는 그 밖의 고체 검출기를 사용할 수 있다. 그러나, 광학 복사를 분석할 수 있는 그 밖의 광학 장치도 사용할 수 있다. 처리 이전, 도중, 또는 이후에 있어서의 웨이퍼 온도 등과 같은 챔버 조건을 조정하기 위해, 상기 모니터링 시스템은 제어기에 정보를 제공할 수 있다. 변형례에서, 광학 모니터링 시스템은 또한 레이저 등과 같은 광원을 포함할 수 있다.An optical monitoring system (not shown) may allow monitoring of optical radiation from the processing space. For example, a photo diode, photomultiplier tube, CCD, CID, or other solid state detector can be used. However, other optical devices capable of analyzing optical radiation can also be used. The monitoring system can provide information to the controller to adjust chamber conditions such as wafer temperature before, during, or after processing. In a variant, the optical monitoring system can also include a light source, such as a laser.

또한, 광학 모니터링 시스템을 사용하여 가열 유닛의 효율을 모니터링할 수 있다. 예컨대, 광학 모니터링 시스템은 탄소 섬유 히터 요소의 파장을 포함하는 주파수 대역에서 작동할 수 있다. 또한, 광학 모니터링 시스템을 사용하여 기판 홀더 세척 공정을 모니터링할 수 있다. 예컨대, 세척 공정 동안에 광학 복사가 크고 안정적이면, 청결한 기판 홀더가 감지될 수 있다.In addition, an optical monitoring system can be used to monitor the efficiency of the heating unit. For example, the optical monitoring system can operate in a frequency band that includes the wavelength of the carbon fiber heater element. In addition, an optical monitoring system can be used to monitor the substrate holder cleaning process. For example, if the optical radiation is large and stable during the cleaning process, a clean substrate holder can be detected.

본 발명에 의하면 작동 온도 범위를 높일 수 있게 되어, 종래의 히터 시스템에 비해 온도 구배가 보다 빠르게 나타난다. 이러한 유익은 고온(> 250 ℃)에서 보다 분명히 드러나며, 가열 요소는 950 ∼ 1000 ℃ 정도의 고온에서 작동할 수 있 다.According to the present invention it is possible to increase the operating temperature range, so that the temperature gradient appears faster than the conventional heater system. This benefit is more evident at high temperatures (> 250 ° C.) and the heating element can operate at high temperatures on the order of 950-1000 ° C.

도 3a 내지 도 3c는 본 발명의 일 실시예에 따른 히터 유닛의 예시적인 개략도이다. 예시된 실시예에서, 원형 히터 유닛(300A)은 원형 중심 세그먼트(310)와, 복수 개의 환형 링 세그먼트(320, 330, 340, 350 및 360)를 구비하는 것으로 도시되어 있다. 도 3a에는 6개의 세그먼트가 도시되어 있지만, 이는 본 발명에 있어서 필수적인 것은 아니다. 히터 유닛은 다양한 개수의 세그먼트를 포함할 수 있고, 이들 세그먼트는 다향한 형상을 취할 수 있다. 예컨대, 환형 링은 서로 다른 두께를 가질 수 있다. 예시된 실시예에서, 가열 유닛의 각 세그먼트는 가열 요소(315, 325, 335, 345, 355 및 365)를 포함하고, 각 가열 요소는 독립적으로 제어될 수 있다.3A-3C are exemplary schematic diagrams of a heater unit according to an embodiment of the present invention. In the illustrated embodiment, the circular heater unit 300A is shown having a circular center segment 310 and a plurality of annular ring segments 320, 330, 340, 350 and 360. Six segments are shown in FIG. 3A, but this is not essential to the invention. The heater unit may comprise various numbers of segments, which segments may take a variety of shapes. For example, the annular ring can have different thicknesses. In the illustrated embodiment, each segment of the heating unit includes heating elements 315, 325, 335, 345, 355 and 365, and each heating element can be controlled independently.

도 3b에서, 원형 히터 유닛(300B)은 원형 중심 세그먼트와, 복수 개의 환형 링 90°세그먼트(A, B, C 및 D 세그먼트)를 구비하는 것으로 도시되어 있다. 예시된 실시예에서, 세그먼트의 두께가 동일한 것으로 도시되어 있지만, 이는 본 발명에 있어서 필수적인 것은 아니다. 히터 유닛은 다양한 개수의 세그먼트를 포함할 수 있고, 이들 세그먼트는 다양한 형상을 취할 수 있다. 예시된 실시예에서, 각 세그먼트는 독립적으로 제어 가능한 가열 요소를 포함한다.In FIG. 3B, the circular heater unit 300B is shown having a circular center segment and a plurality of annular ring 90 ° segments (A, B, C and D segments). In the illustrated embodiment, although the thickness of the segments is shown to be the same, this is not essential to the present invention. The heater unit may comprise various numbers of segments, which segments may take various shapes. In the illustrated embodiment, each segment includes independently controllable heating elements.

도 3c에서, 원형 히터 유닛(300C)은 원형 중심 세그먼트와, 복수 개의 환형 링 45°세그먼트(A1, A2, B1, B2, C1, C2, D1 및 D2 세그먼트)를 구비하는 것으로 도시되어 있다. 예시된 실시예에서, 세그먼트의 두께가 동일한 것으로 도시되어 있지만, 이는 본 발명에 있어서 필수적인 것은 아니다. 히터 유닛은 다양한 개수 의 세그먼트를 포함할 수 있고, 이들 세그먼트는 다양한 형상을 취할 수 있다. 예시된 실시예에서, 각 세그먼트는 독립적으로 제어 가능한 가열 요소를 포함한다.In FIG. 3C, the circular heater unit 300C is shown having a circular center segment and a plurality of annular ring 45 ° segments A1, A2, B1, B2, C1, C2, D1 and D2 segments. In the illustrated embodiment, although the thickness of the segments is shown to be the same, this is not essential to the present invention. The heater unit may comprise various numbers of segments, and these segments may take various shapes. In the illustrated embodiment, each segment includes independently controllable heating elements.

별법으로서, 도 3a 내지 도 3c에 도시된 가열 유닛의 각 세그먼트마다 가열 요소를 마련할 필요는 없다. 그 밖의 실시예에서, 이들 세그먼트를 서로로부터 격리시키기 위해 격리 요소(도시 생략)가 마련될 수 있다.Alternatively, it is not necessary to provide a heating element for each segment of the heating unit shown in FIGS. 3A-3C. In other embodiments, isolation elements (not shown) may be provided to isolate these segments from each other.

한 가지 실시예에서, 도 3a 내지 도 3c에 도시된 가열 유닛의 하나 이상의 세그먼트에 하나 이상의 온도 센서(도시 생략)가 배치될 수 있다. 별법으로서, 광학 기술을 이용하여 온도를 측정할 수 있다.In one embodiment, one or more temperature sensors (not shown) may be disposed in one or more segments of the heating unit shown in FIGS. 3A-3C. Alternatively, temperature can be measured using optical techniques.

도 4는 본 발명의 일 실시예에 따른 다른 히터 유닛의 개략도이다. 예시된 실시예에서, 정사각형 히터 유닛(400)은 복수 개의 정사각형 세그먼트(410)를 구비하는 것으로 도시되어 있다. 도 4에는 25개의 세그먼트가 도시되어 있지만, 이는 본 발명에 있어서 필수적인 것은 아니다. 히터 유닛(400)은 다양한 개수의 세그먼트를 포함할 수 있고, 이들 세그먼트는 다양한 형상을 취할 수 있다. 예컨대, 직사각형 모양을 취할 수 있다. 예시된 실시예에서, 히터 유닛의 각 세그먼트는 가열 요소(420)를 포함하고, 각 가열 요소는 독립적으로 제어될 수 있다. 한 가지 실시예에서, 하나 이상의 온도 센서(도시 생략)는 도 4에 도시된 가열 유닛의 하나 이상의 세그먼트에 배치될 수 있다. 별법으로서, 광학 기술을 이용하여 온도를 측정할 수 있다. 또한, 도 4에 도시된 가열 유닛의 각 세그먼트마다 가열 요소를 마련할 필요는 없다. 그 밖의 실시예에서, 이들 세그먼트를 서로로부터 격리시키기 위해 격리 요소(도시 생략)가 마련될 수 있다.4 is a schematic diagram of another heater unit according to an embodiment of the present invention. In the illustrated embodiment, the square heater unit 400 is shown having a plurality of square segments 410. Although 25 segments are shown in FIG. 4, this is not essential to the invention. The heater unit 400 may include various numbers of segments, and these segments may take various shapes. For example, it may take the shape of a rectangle. In the illustrated embodiment, each segment of the heater unit includes a heating element 420, and each heating element can be controlled independently. In one embodiment, one or more temperature sensors (not shown) may be disposed in one or more segments of the heating unit shown in FIG. 4. Alternatively, temperature can be measured using optical techniques. In addition, it is not necessary to provide a heating element for each segment of the heating unit shown in FIG. In other embodiments, isolation elements (not shown) may be provided to isolate these segments from each other.

도 5는 본 발명의 일 실시예에 따른 가열 유닛의 간략한 도해도이다. 예시된 실시예에서, 가열 유닛(500)은 가열 요소(510)와, 천이 요소(512A 및 512B), 밀봉 말단부(519), 및 접속 단자(517A 및 517B)를 포함한다.5 is a simplified diagram of a heating unit according to one embodiment of the invention. In the illustrated embodiment, the heating unit 500 includes a heating element 510, transition elements 512A and 512B, a sealing end 519, and connecting terminals 517A and 517B.

가열 요소(510)는 원형관(511)을 포함할 수 있으며, 이 원형관 내에는 카본 섬유 다발을 포함하는 카본 와이어 히터(515)가 밀봉될 수 있다. 원형관(511)의 단부는 천이 요소(512A 및 512B)에 연결될 수 있다. 한 가지 실시예에서, 카본 와이어 히터(515)는 원형관(511) 내에 수납되고, 천이 요소(512A 및 512B)는 히터를 수용하지 않는다. 예컨대, 이로써 히터로부터의 복사를 보다 효율적으로 제어할 수 있게 된다. 변형례에서, 하나 이상의 천이 요소(512A 및 512B)의 일부분은 히터의 일부분을 포함할 수 있다.The heating element 510 may comprise a circular tube 511, in which a carbon wire heater 515 comprising carbon fiber bundles may be sealed. The end of the circular tube 511 may be connected to the transition elements 512A and 512B. In one embodiment, the carbon wire heater 515 is housed in a circular tube 511 and the transition elements 512A and 512B do not receive the heater. For example, this makes it possible to more efficiently control the radiation from the heater. In a variation, portions of one or more transition elements 512A and 512B may comprise portions of a heater.

한 가지 실시예에서, 원형관(511) 및 천이 요소(512A 및 512B)는 석영 유리 등과 같은 재료로 이루어진 단일 부재(단편)로 형성될 수 있다. 다른 실시예에서, 원형관(511)과 천이 요소(512A 및 512B)는 소정 재료로 이루어진 별도의 부재로 형성될 수 있고, 제조 공정 동안에 융합될 수 있다. 별법으로서, 천이 요소(512A 및 512B)를 마련할 필요가 없고, 원형관(511)을 밀봉하며, 제조 공정 동안에 원형관의 단부에 접속 단자를 마련할 수 있다.In one embodiment, the circular tube 511 and the transition elements 512A and 512B may be formed of a single member (fragment) made of a material such as quartz glass or the like. In other embodiments, the circular tube 511 and the transition elements 512A and 512B may be formed of separate members made of certain materials and may be fused during the manufacturing process. Alternatively, it is not necessary to provide the transition elements 512A and 512B, it is possible to seal the round tube 511 and to provide connection terminals at the ends of the round tube during the manufacturing process.

또한, 밀봉 말단부(519)는 천이 요소(512A 및 512B)의 단부에 연결될 수 있다. 밀봉 말단부(519)는 천이 요소(512A 및 512B)의 단부를 밀봉하는 수단을 포함할 수 있다. 예컨대, 두갈래형 캡을 밀봉 수단으로서 사용할 수 있다. 또한, 핀치 시일을 사용할 수 있다. 또한, 다양한 유리 재료를 포함할 수 있는 단계형 시 일부를 사용할 수 있다.In addition, the sealing distal end 519 may be connected to the ends of the transition elements 512A and 512B. The sealing distal end 519 may include means for sealing the ends of the transition elements 512A and 512B. For example, a bifurcated cap can be used as the sealing means. In addition, pinch seals can be used. It is also possible to use some of the staged stages which may include various glass materials.

카본 와이어 히터(515)는 원형관(511) 내에 삽입될 수 있고, 단말점 요소(513A 및 513B) 사이에서 연장될 수 있다. 또한, 단말점 요소(513A 및 513B)는 도 6a 및 도 6b에 도시된 바와 같이 압축 와이어 카본 부재(516)를 포함할 수 있다. 카본 와이어 히터(515)는 도 6a 및 도 6b에 도시된 바와 같이 압축된 상태의 압축 와이어 카본 부재(516)에 매설될 수 있다. 와이어 카본 부재(516) 및 카본 와이어 히터(515)는 단말점 요소(513A 및 513B)의 내부에서 실질적으로 그 축선에 평행하게 연장되도록 수납될 수 있다.The carbon wire heater 515 may be inserted into the circular tube 511 and may extend between the end point elements 513A and 513B. In addition, the end point elements 513A and 513B may include a compressed wire carbon member 516 as shown in FIGS. 6A and 6B. The carbon wire heater 515 may be embedded in the compressed wire carbon member 516 in a compressed state as shown in FIGS. 6A and 6B. Wire carbon member 516 and carbon wire heater 515 may be housed so as to extend substantially parallel to the axis within terminal point elements 513A and 513B.

도 5에서는 원형을 취하는 것으로 도시되어 있지만, 이는 본 발명에 있어서 필수적인 것은 아니다. 별법으로서, 실질적으로 타원형인 형상, 실질적으로 정사각형인 형상, 및 실질적으로 직사각형인 형상 등과 같은 다양한 형상을 사용할 수 있다. 한 가지 실시예에서, 원형관은 석영 유리 재료를 포함할 수 있다. 변형례에서, 다른 재료를 사용할 수 있다.Although shown in FIG. 5 as being circular, this is not essential to the invention. Alternatively, various shapes may be used, such as substantially elliptical shapes, substantially square shapes, substantially rectangular shapes, and the like. In one embodiment, the round tube may comprise a quartz glass material. In a variant, other materials may be used.

예컨대, 카본 와이어 히터(515)는 각각 직경이 5 내지 15 ㎛인 탄소 섬유 300 내지 350개를 한 다발로 묶어서 제조할 수 있는 카본 와이어를 포함할 수 있다. 그 후, 복수 개(약 9개)의 상기 다발은, 직경이 2 mm이고 카본 와이어로서 사용되는 니트 코드(즉, 꼬은 끈) 형태의 카본 와이어로 짜여진다.For example, the carbon wire heater 515 may include a carbon wire that can be manufactured by tying a bundle of 300 to 350 carbon fibers having a diameter of 5 to 15 μm in a bundle. Thereafter, the plurality (about 9) of the bundles are woven from carbon wire in the form of a knit cord (i.e., twisted string) having a diameter of 2 mm and used as a carbon wire.

카본 와이어 히터(515) 및 와이어 카본 부재(516)는, 각각 직경이 약 7 미크론인 300 내지 350개의 탄소 섬유를 포함할 수 있는데, 이들 탄소 섬유는 하나의 섬유 다발로 묶여지며, 9개의 상기 섬유 다발은 직경이 2 mm인 니트 코드(즉, 꼬은 끈)로 짜여진다. 여기서, 상기 니트 코드는 대략 실내 온도에서 10 옴/미터의 전기 저항을 갖고, 약 1000 ℃의 온도에서 5 옴/미터의 전기 저항을 갖는다. 또한, 묶여진 5개의 상기 탄소 섬유의 전기 저항은 대략 실내 온도에서 2 옴/미터이고, 약 1000 ℃에서 1 옴/미터이다. 그 결과, 와이어 카본 부재(516)에 의해 발생되는 열은 카본 와이어 히터(515)에 의해 발생되는 열보다 훨씬 작다.The carbon wire heater 515 and the wire carbon member 516 may comprise 300 to 350 carbon fibers, each about 7 microns in diameter, which are bundled into one fiber bundle, and the nine fibers described above. The bundle is woven with a knit cord 2 mm in diameter (ie twisted string). Here, the knit cord has an electrical resistance of 10 ohms / meter at approximately room temperature, and an electrical resistance of 5 ohms / meter at a temperature of about 1000 ° C. In addition, the electrical resistance of the five bundled carbon fibers is approximately 2 ohms / meter at room temperature and 1 ohms / meter at about 1000 ° C. As a result, the heat generated by the wire carbon member 516 is much smaller than the heat generated by the carbon wire heater 515.

카본 와이어에서, 카본 와이어의 직조 폭은 대략 2 내지 5 mm일 수 있고, 카본 와이어의 표면 보풀(518; 도 7 참조)은 대략 0.5 내지 2.5 mm의 높이로 형성될 수 있다. 예컨대, 표면 보풀은 도 7에 도시된 바와 같이 카본 와이어의 외표면으로부터 돌출하는 부러진 탄소 섬유의 일부분일 수 있다. 원형관의 내벽에 보풀은 접촉하지만 카본 와이어 히터의 몸체는 접촉하지 않도록, 카본 와이어 히터를 구성할 수 있다. 이러한 방식에서, 석영 유리(SiO2)와 카본 와이어 히터에 함유된 탄소(C)의 반응이 고온에서 최소화되어, 석영 유리의 열화와 카본 와이어의 내구도 저하가 줄어든다.In the carbon wire, the woven width of the carbon wire may be approximately 2 to 5 mm, and the surface fluff 518 (see FIG. 7) of the carbon wire may be formed at a height of approximately 0.5 to 2.5 mm. For example, the surface fluff may be a portion of broken carbon fiber that protrudes from the outer surface of the carbon wire as shown in FIG. 7. The carbon wire heater can be configured such that the lint is in contact with the inner wall of the circular tube but not the body of the carbon wire heater. In this way, the reaction of the quartz glass (SiO 2 ) and the carbon (C) contained in the carbon wire heater is minimized at high temperatures, thereby reducing the deterioration of the quartz glass and the deterioration of the durability of the carbon wire.

이러한 구성을 구현하기 위하여, 원형관의 내경은 카본 와이어 히터에 있어서 탄소 섬유의 직경 및 개수에 따라 선택될 수 있다. 또한, 탄소 섬유 및 카본 와이어 히터에 있어서 불순물의 양(회분 함량)은 10 ppm 미만이다. 별법으로서, 회분 함량은 3 ppm 미만이다.In order to implement this configuration, the inner diameter of the round tube may be selected according to the diameter and number of carbon fibers in the carbon wire heater. In addition, the amount (ash content) of impurities in the carbon fiber and carbon wire heater is less than 10 ppm. Alternatively, the ash content is less than 3 ppm.

와이어 카본 부재(516)는 카본 와이어 히터(515)로부터 내부 접속 라인(514A 및 514B)으로 전달될 수 있는 열을 최소화하도록 카본 와이어 히터(515)와 내부 접 속 라인(514A 및 514B) 사이에 개재될 수 있으며, 그 결과 밀봉 말단부(519)의 고온으로 인한 열화가 방지될 수 있다.The wire carbon member 516 is interposed between the carbon wire heater 515 and the inner connection lines 514A and 514B to minimize heat that can be transferred from the carbon wire heater 515 to the inner connection lines 514A and 514B. As a result, deterioration due to the high temperature of the sealing end portion 519 can be prevented.

카본 와이어 히터(515)의 경우와 마찬가지로, 고온에서 와이어 카본 부재(516)의 탄소(C)와 석영 유리(SiO2)의 반응을 최소화하여, 석영 유리의 열화 및 카본 와이어의 내구도 저하를 방지한다.As in the case of the carbon wire heater 515, the reaction of the carbon (C) of the wire carbon member 516 and the quartz glass (SiO 2 ) at a high temperature is minimized to prevent deterioration of the quartz glass and deterioration of durability of the carbon wire. .

내부 접속 라인(514A 및 514B)은 천이 요소(512A 및 512B)의 일부분을 형성하는 유리관 내에 배치될 수 있다. 내부 접속 라인(514A 및 514B)은 도 6a 및 도 6b에 도시된 바와 같이 단말점 요소(513A 및 513B)에 연결될 수 있다. 예컨대, 내부 접속 라인(514A 및 514B)은 단말점 요소(513A 및 513B) 내에 압축될 수 있다. 또한, 내부 접속 라인(514A 및 514B)은 밀봉 말단부(519)에 연결될 수 있다.Internal connection lines 514A and 514B may be disposed in the glass tube that forms part of the transition elements 512A and 512B. Internal connection lines 514A and 514B may be connected to end point elements 513A and 513B as shown in FIGS. 6A and 6B. For example, internal connection lines 514A and 514B may be compressed within end point elements 513A and 513B. In addition, internal connection lines 514A and 514B may be connected to the sealing distal end 519.

외부 접속 라인(517A 및 517B)은 가열 요소를 전원(도시 생략)에 연결하는 데 사용될 수 있다. 밀봉 말단부는 내부 접속 라인을 외부 접속 라인에 연결하는 수단을 포함할 수 있다. 예컨대, 몰리브덴(Mo) 호일(도시 생략)을 사용하여, 내부 접속 라인(514A 및 514B)을 외부 접속 라인(517A 및 517B)에 연결할 수 있다. 또한, 밀봉 말단부(519)는 석영 유리관의 단부를 폐쇄하는 하나 이상의 플러그 부재(도시 생략)를 포함할 수 있다.External connection lines 517A and 517B can be used to connect heating elements to a power source (not shown). The sealing end can comprise means for connecting the inner connection line to the outer connection line. For example, molybdenum (Mo) foil (not shown) may be used to connect internal connection lines 514A and 514B to external connection lines 517A and 517B. The sealing end 519 may also include one or more plug members (not shown) that close the ends of the quartz glass tubes.

변형례에서, 원형관(511)보다 큰 직경을 갖는 추가적인 유리관(도시 생략)을 마련할 수 있고, 그 결과 원형관(511)은 대직경 석영 유리관 내에 삽입될 수 있고, 이들 관은 융합 또는 용접 수단에 의해 통합될 수 있다.In a variant, additional glass tubes (not shown) having a diameter larger than the circular tube 511 may be provided, such that the circular tube 511 may be inserted into a large diameter quartz glass tube, and these tubes may be fused or welded. Can be integrated by means.

내부 접속 라인(514A 및 514B)과 외부 접속 라인(517A 및 517B)은 직경이 1 내지 3 mm인 몰리브덴(Mo) 또는 텅스텐(W) 로드를 포함할 수 있다.The inner connection lines 514A and 514B and the outer connection lines 517A and 517B may comprise molybdenum (Mo) or tungsten (W) rods having a diameter of 1 to 3 mm.

내부 접속 라인(514A 및 514B)과 외부 접속 라인(517A 및 517B)의 직경은 필요에 따라 선택될 수 있지만, 상기 직경이 지나치게 작으면 전기 저항이 커질 수 있고, 이는 바람직하지 못하다. 한편, 상기 직경이 지나치게 크면 단자의 크기가 더 커지기 때문에 바람직하지 못하다.The diameters of the inner connection lines 514A and 514B and the outer connection lines 517A and 517B may be selected as needed, but if the diameter is too small, the electrical resistance may be large, which is undesirable. On the other hand, if the diameter is too large, it is not preferable because the size of the terminal becomes larger.

내부 접속 라인(514A 및 514B)의 카본 와이어[즉, 원형관(511) 내에 압축된 와이어 카본 부재(516)]에 대한 용이한 접속을 보장하기 위하여, 내부 접속 라인(514A 및 514B)의 단부를 예리하게 형성할 수 있다.In order to ensure easy connection to the carbon wires of the inner connection lines 514A and 514B (that is, the wire carbon member 516 compressed in the circular tube 511), the ends of the inner connection lines 514A and 514B are connected. It can be formed sharply.

밀봉 말단부(519)는 미분 알루미나(Al2O3) 또는 미분 SiO2를 함유하는 시멘트를 더 포함할 수 있다.The sealing end portion 519 may further include cement containing finely divided alumina (Al 2 O 3 ) or finely divided SiO 2 .

한 가지 실시예에서, 원형 가열 유닛을 제조하는 절차는, 천이 요소(512A 및 512B)를 포함하는 원형관(511)을 만드는 단계와, 원형관(511) 내에 카본 와이어 가열 요소(510)를 조립하는 단계와, 천이 요소(512A 및 512B)에 단말점 요소를 조립하는 단계와, 카본 와이어 가열 요소(510)의 양단부에 단말점 요소를 연결하는 단계와, 내부 접속 라인(514A 및 514B)과 외부 접속 라인(517A 및 517B)을 접속하는 밀봉 말단부(519)를 조립하는 단계, 그리고 밀봉 이전에 히터의 내부 압력을 1 Torr 이하로 낮추는 단계를 포함할 수 있다.In one embodiment, the procedure for manufacturing the circular heating unit includes the steps of making a circular tube 511 comprising transition elements 512A and 512B, and assembling the carbon wire heating element 510 within the circular tube 511. Assembling the end point elements to the transition elements 512A and 512B, connecting the end point elements to both ends of the carbon wire heating element 510, and the internal connection lines 514A and 514B Assembling a sealing end 519 connecting the connecting lines 517A and 517B, and lowering the internal pressure of the heater to 1 Torr or less prior to sealing.

도 6a는 본 발명의 일 실시예에 따른 카본 와이어 히터와 접속 라인을 연결 하기 위한 단말점 요소의 종단면도이고, 도 6b는 본 발명의 일 실시예에 따른 단말점 요소의 측단면도이다.6A is a longitudinal cross-sectional view of an end point element for connecting a carbon wire heater and a connection line according to an embodiment of the present invention, and FIG. 6B is a side cross-sectional view of the end point element according to an embodiment of the present invention.

도시된 실시예에서는, 단말점 요소(513A, 513B)가 도시되어 있다. 상기 단말점 요소는 카본 와이어 히터(515) 및 접속 라인(514A, 514B)을 압축된 상태의 복수 개의 와이어 카본 부재(516)에 연결하는 데 사용된다. 상기 단말점 요소는 카본 와이어 히터와 접속 라인을 복수 개의 와이어 카본 부재를 통해 전기적으로 접속하는 데 사용된다. 이러한 구성은, 넓은 온도 범위에 걸쳐 우수한 전기 접속을 제공한다. 또한, 복수 개의 와이어 카본 부재는 산화가 전도성 와이어에 미치는 영향을 감소시키는 데에 도움을 준다.In the illustrated embodiment, end point elements 513A and 513B are shown. The end point element is used to connect the carbon wire heater 515 and the connection lines 514A, 514B to the plurality of wire carbon members 516 in a compressed state. The end point element is used to electrically connect the carbon wire heater and the connection line through the plurality of wire carbon members. This configuration provides good electrical connection over a wide temperature range. The plurality of wire carbon members also helps to reduce the effect of oxidation on the conductive wires.

도 7은 본 발명의 일 실시예에 따른 카본 와이어 히터의 평면도이다. 도시된 실시예에서는, 복수 개의 탄소 섬유 다발을 묶어 카본 와이어 히터(515, 516)를 형성하는데, 여분의 미세 탄소 섬유는 니트 코드 또는 꼬은 끈과 같은 방식으로 묶여진다. 카본 와이어 히터는 금속 또는 SiC로 제조된 통상의 가열 요소에 비해 열용량이 작고, 온도 특성이 우수하며, 고온에서의 내구도가 우수하다. 또한, 미세한 탄소 섬유의 단일 다발 복수 개를 묶어서 카본 와이어 히터를 형성하기 때문에, 고체 탄소 재료로 제조된 가열 요소에 비하여, 상기 카본 와이어 히터는 유연성, 형상 변형의 융통성, 가공성이 우수하다.7 is a plan view of a carbon wire heater according to an embodiment of the present invention. In the illustrated embodiment, a plurality of carbon fiber bundles are bundled to form carbon wire heaters 515 and 516, wherein the extra fine carbon fibers are bundled in a manner such as knit cord or braid. Carbon wire heaters have lower heat capacity, better temperature characteristics, and better durability at high temperatures than conventional heating elements made of metal or SiC. Further, since a plurality of single bundles of fine carbon fibers are bundled to form a carbon wire heater, the carbon wire heater is superior in flexibility, flexibility in shape deformation, and workability as compared with a heating element made of a solid carbon material.

예컨대, 직경이 7 미크론인 탄소 섬유를 각각 대략 3000 내지 3500개 포함하는 다발 10개를 묶어서, 카본 와이어 히터를 형성할 수 있다. 탄소 섬유를 니트 코드 또는 꼬은 끈과 같은 방식으로 묶을 수 있다. 카본 와이어의 묶음 폭은 대략 2 내지 5 mm일 수 있다. 또한, 니트 코드 또는 꼬은 끈 모양의 카본 와이어 히터는 그 표면에 탄소 섬유의 보풀부가 있다. 보풀은 카본 와이어의 외주면으로부터 돌출하는 절단된 탄소 섬유(단일 섬유)의 일부분일 수 있다. 탄소 섬유로 인한 보풀 형성은 대략 0.5 내지 2.5 mm로 이루어진다.For example, ten bundles each containing approximately 3000 to 3500 carbon fibers of 7 microns in diameter may be bundled to form a carbon wire heater. Carbon fibers can be bundled in the same way as knit cords or braids. The bundle width of the carbon wire may be approximately 2 to 5 mm. In addition, a knit cord or a braided carbon wire heater has a carbon fiber fluff on its surface. The fluff may be part of the cut carbon fiber (single fiber) that protrudes from the outer circumferential surface of the carbon wire. Lint formation due to carbon fibers is approximately 0.5 to 2.5 mm.

카본 와이어 히터를 삽입하였을 때, 보풀(518)만이 석영 유리관 또는 그루브의 내벽과 접촉하고, 카본 와이어 히터의 몸체는 상기 내벽과 접촉하지 않는다. 이러한 방식으로, 고온에서 석영 유리(SiO2)와 카본 와이어 히터의 탄소(C)와의 반응이 감소되거나 및/또는 배제될 수 있다. 또한, 석영 유리의 열화와 카본 와이어 내구도의 저하가 감소되거나 및/또는 배제될 수 있다.When the carbon wire heater is inserted, only the fluff 518 contacts the inner wall of the quartz glass tube or groove, and the body of the carbon wire heater does not contact the inner wall. In this way, the reaction of quartz glass (SiO 2 ) with carbon (C) of the carbon wire heater at high temperatures can be reduced and / or eliminated. In addition, deterioration of the quartz glass and deterioration of the carbon wire durability can be reduced and / or eliminated.

상기 탄소 섬유는 가열 균일성, 내구도, 안정성 및 오염의 관점에서 고순도의 것이다. 또한, 상기 탄소 섬유 및 카본 와이어 히터에 있어서 불순물의 양(회분 함량)은 10 ppm 미만이다. 변형례에서는, 탄소 섬유에 있어서 회분 함량이 3 ppm 이하이다.The carbon fiber is of high purity in terms of heating uniformity, durability, stability and contamination. In addition, in the carbon fiber and carbon wire heater, the amount of ash (ash content) is less than 10 ppm. In a modification, the ash content in the carbon fiber is 3 ppm or less.

와이어 카본 부재(516)는 카본 와이어 히터(515)의 재료와 거의 동일하거나 적어도 유사한 재료를 포함할 수 있다. 예컨대, 와이어 카본 부재는 니트 코드 또는 꼬은 끈 형상의 것일 수 있고, 탄소 섬유의 직경과, 묶여지는 탄소 섬유의 개수와, 탄소 섬유 다발의 수와, 짜는 방법과, 직조 폭과, 보풀 형성과, 재료, 그리고 회분 함량(10 ppm 미만)이 상기 카본 와이어 히터와 거의 동일하다. 따라서, 단말점 요소(513A 및 513B)에 수용되는 와이어 카본 부재의 수는 카본 와이어 히 터(515)의 수와 같거나 그보다 크다. 한 가지 실시예에서, 하나의 카본 와이어 히터(515)당 5개 이상의 와이어 카본 부재(516)를 마련할 수 있다.Wire carbon member 516 may comprise a material that is substantially the same or at least similar to that of carbon wire heater 515. For example, the wire carbon member may be in the form of a knit cord or twisted string, the diameter of the carbon fiber, the number of carbon fibers bundled, the number of carbon fiber bundles, the weaving method, the weaving width, the fluff formation, The material and ash content (less than 10 ppm) are almost the same as the carbon wire heater. Thus, the number of wire carbon members accommodated in the terminal point elements 513A and 513B is equal to or greater than the number of carbon wire heaters 515. In one embodiment, five or more wire carbon members 516 may be provided per carbon wire heater 515.

도 8은 본 발명의 일 실시예에 따른 다중 구역 가열 유닛의 간략한 도해도이다. 도시된 실시예에서는, 가열 유닛(800)이 4개의 가열 요소(810, 820, 830 및 840)와, 천이 요소(812A, 812B, 822A, 822B, 832A, 832B, 842A 및 842B)와, 밀봉 말단부(819, 829, 839 및 849), 그리고 접속 단자(817A, 817B, 827A, 827B, 837A, 837B, 847A 및 847B)를 포함한다.8 is a simplified diagram of a multi-zone heating unit in accordance with one embodiment of the present invention. In the illustrated embodiment, the heating unit 800 includes four heating elements 810, 820, 830 and 840, transition elements 812A, 812B, 822A, 822B, 832A, 832B, 842A and 842B, and sealed ends 819, 829, 839, and 849, and connection terminals 817A, 817B, 827A, 827B, 837A, 837B, 847A, and 847B.

가열 요소(810, 820, 830 및 840)는 곡선형 관(811, 821, 831 및 841)을 포함할 수 있으며, 이 곡선형 관에는 탄소 섬유 다발을 포함하는 카본 와이어 히터(815, 825, 835 및 845)가 봉입될 수 있다. 곡선형 관(811, 821, 831 및 841)의 단부는 천이 요소(812A, 812B, 822A, 822B, 832A, 832B, 842A 및 842B)에 연결될 수 있다. 한 가지 실시예에서, 카본 와이어 히터(815, 825, 835 및 845)는 곡선형 관(811, 821, 831 및 841) 내에 장착되고, 천이 요소(812A, 812B, 822A, 822B, 832A, 832B, 842A 및 842B)는 카본 와이어 히터를 수용하지 않는다. 예컨대, 이러한 구성에 의하면 카본 와이어 히터로부터의 복사를 보다 효율적으로 제어할 수 있게 된다. 변형례에서, 상기 천이 요소(812A, 812B, 822A, 822B, 832A, 832B, 842A 및 842B) 중 하나 이상의 일부분은 히터의 일부분을 포함할 수 있다.The heating elements 810, 820, 830, and 840 can include curved tubes 811, 821, 831, and 841, which include carbon wire heaters 815, 825, 835 that include carbon fiber bundles. And 845 may be enclosed. The ends of curved tubes 811, 821, 831 and 841 may be connected to transition elements 812A, 812B, 822A, 822B, 832A, 832B, 842A and 842B. In one embodiment, carbon wire heaters 815, 825, 835, and 845 are mounted in curved tubes 811, 821, 831, and 841, and transition elements 812A, 812B, 822A, 822B, 832A, 832B, 842A and 842B do not receive carbon wire heaters. For example, this configuration makes it possible to more efficiently control radiation from the carbon wire heater. In a variation, one or more of the transition elements 812A, 812B, 822A, 822B, 832A, 832B, 842A, and 842B may comprise a portion of a heater.

한 가지 실시예에서, 곡선형 관(811, 821, 831 및 841)과 천이 요소(812A, 812B, 822A, 822B, 832A, 832B, 842A 및 842B)는 석영 유리 등과 같은 재료로 이루어진 단일 부재로부터 형성될 수 있다. 다른 실시예에서, 곡선형 관(811, 821, 831 및 841)과 천이 요소(812A, 812B, 822A, 822B, 832A, 832B, 842A 및 842B)는 소정 재료로 이루어진 별도의 부재로부터 형성될 수 있고, 제조 공정 동안에 융합될 수 있다. 별법으로서, 천이 요소를 마련할 필요가 없고, 곡선형 관(811, 821, 831 및 841)을 밀봉하며, 제조 공정 동안에 상기 곡선형 관의 단부에 접속 단자를 마련할 수 있다.In one embodiment, the curved tubes 811, 821, 831 and 841 and the transition elements 812A, 812B, 822A, 822B, 832A, 832B, 842A and 842B are formed from a single member made of a material such as quartz glass or the like. Can be. In another embodiment, the curved tubes 811, 821, 831, and 841 and the transition elements 812A, 812B, 822A, 822B, 832A, 832B, 842A, and 842B may be formed from separate members of any material and , During the manufacturing process. Alternatively, there is no need to provide a transition element, sealing the curved tubes 811, 821, 831 and 841, and connecting terminals at the ends of the curved tube during the manufacturing process.

또한, 밀봉 말단부(819, 829, 839 및 849)는 천이 요소(812A, 812B, 822A, 822B, 832A, 832B, 842A 및 842B)의 단부에 연결될 수 있다. 밀봉 말단부(819, 829, 839 및 849)는 천이 요소(812A, 812B, 822A, 822B, 832A, 832B, 842A 및 842B)의 단부를 밀봉하는 수단을 포함할 수 있다. 예컨대, 두갈래형 캡을 밀봉 수단으로서 사용할 수 있다. 또한, 핀치 시일을 사용할 수 있다. 또한, 다양한 유리 재료를 포함할 수 있는 단계형 시일부를 사용할 수 있다.In addition, the sealing distal ends 819, 829, 839 and 849 may be connected to the ends of the transition elements 812A, 812B, 822A, 822B, 832A, 832B, 842A and 842B. Sealing distal ends 819, 829, 839, and 849 may include means for sealing the ends of the transition elements 812A, 812B, 822A, 822B, 832A, 832B, 842A, and 842B. For example, a bifurcated cap can be used as the sealing means. In addition, pinch seals can be used. It is also possible to use stepped seals which may comprise various glass materials.

카본 와이어 히터(815, 825, 835 및 845)는 곡선형 관(811, 821, 831 및 841) 내에 삽입될 수 있고, 단말점 요소(813A, 813B, 823A, 823B, 833A, 833B, 843A 및 843B) 사이에서 연장될 수 있다. 또한, 단말점 요소(813A, 813B, 823A, 823B, 833A, 833B, 843A 및 843B)는 도 6a 및 도 6b에 도시된 바와 같이 압축 와이어 카본 부재(516)를 포함할 수 있다. 카본 와이어 히터(515)는 도 6a 및 도 6b에 도시된 바와 같이 역시 압축된 상태인 압축 와이어 카본 부재(516)에 매설될 수 있다. 와이어 카본 부재(516) 및 카본 와이어 히터(515)는 단말점 요소의 내부에서 실질적으로 단말점 요소의 축선에 평행하게 연장되도록 수납된다.Carbon wire heaters 815, 825, 835 and 845 can be inserted into curved tubes 811, 821, 831 and 841, and end point elements 813A, 813B, 823A, 823B, 833A, 833B, 843A and 843B ) May extend between. In addition, the end point elements 813A, 813B, 823A, 823B, 833A, 833B, 843A, and 843B may include a compressed wire carbon member 516 as shown in FIGS. 6A and 6B. The carbon wire heater 515 may be embedded in the compressed wire carbon member 516 that is also in a compressed state, as shown in FIGS. 6A and 6B. The wire carbon member 516 and the carbon wire heater 515 are housed so as to extend substantially parallel to the axis of the end point element inside the end point element.

도 8에서는 4개의 곡선형 부분이 실질적으로 원형을 형성하는 것으로 도시되 어 있지만, 이는 본 발명에 있어서 필수적인 것은 아니다. 별법으로서, 실질적으로 타원형인 형상, 실질적으로 정사각형인 형상, 및 실질적으로 직사각형인 형상 등과 같은 다양한 형상을 사용할 수 있다. 한 가지 실시예에서, 곡선형 관은 석영 유리 재료를 포함할 수 있다. 변형례에서, 다른 재료를 사용할 수 있다.Although four curved portions are shown as substantially circular in FIG. 8, this is not essential to the present invention. Alternatively, various shapes may be used, such as substantially elliptical shapes, substantially square shapes, substantially rectangular shapes, and the like. In one embodiment, the curved tube may comprise a quartz glass material. In a variant, other materials may be used.

예컨대, 카본 와이어 히터(815, 825, 835 및 845)는 각각 직경이 5 내지 15 ㎛인 탄소 섬유 300 내지 350개를 한 다발로 묶어서 제조할 수 있는 카본 와이어를 포함할 수 있다. 그 후, 복수 개(약 9개)의 상기 다발은, 직경이 2 mm이고 카본 와이어로서 사용되는 니트 코드(즉, 꼬은 끈) 형태의 카본 와이어로 짜여진다.For example, the carbon wire heaters 815, 825, 835, and 845 may include carbon wire that can be manufactured by bundleing 300 to 350 carbon fibers, each having a diameter of 5 to 15 μm in a bundle. Thereafter, the plurality (about 9) of the bundles are woven from carbon wire in the form of a knit cord (i.e., twisted string) having a diameter of 2 mm and used as a carbon wire.

카본 와이어 히터 및 와이어 카본 부재는, 각각 직경이 약 7 미크론인 300 내지 350개의 탄소 섬유를 포함할 수 있는데, 이들 탄소 섬유는 하나의 섬유 다발로 묶여지며, 9개의 상기 섬유 다발은 직경이 2 mm인 니트 코드(즉, 꼬은 끈)로 짜여진다. 여기서, 상기 니트 코드는 대략 실내 온도에서 10 옴/미터의 전기 저항을 갖고, 약 1000 ℃의 온도에서 5 옴/미터의 전기 저항을 갖는다. 또한, 묶여진 5개의 상기 탄소 섬유의 전기 저항은 대략 실내 온도에서 2 옴/미터이고, 약 1000 ℃에서 1 옴/미터이다. 그 결과, 와이어 카본 부재(516)에 의해 발생되는 열은 카본 와이어 히터(515)에 의해 발생되는 열보다 훨씬 작다.The carbon wire heater and the wire carbon member may comprise 300 to 350 carbon fibers, each about 7 microns in diameter, wherein the carbon fibers are bundled into one fiber bundle, and the nine fiber bundles are 2 mm in diameter. It is woven with an in-knit cord (ie twisted string). Here, the knit cord has an electrical resistance of 10 ohms / meter at approximately room temperature, and an electrical resistance of 5 ohms / meter at a temperature of about 1000 ° C. In addition, the electrical resistance of the five bundled carbon fibers is approximately 2 ohms / meter at room temperature and 1 ohms / meter at about 1000 ° C. As a result, the heat generated by the wire carbon member 516 is much smaller than the heat generated by the carbon wire heater 515.

카본 와이어에서, 카본 와이어의 직조 폭은 대략 2 내지 5 mm일 수 있고, 카본 와이어의 표면 보풀(518; 도 7 참조)은 대략 0.5 내지 2.5 mm의 높이로 형성될 수 있다. 예컨대, 표면 보풀은 도 7에 도시된 바와 같이 카본 와이어의 외표면으로부터 돌출하는 부러진 탄소 섬유의 일부분일 수 있다. 곡선형 관의 내벽에 보풀 은 접촉하지만 카본 와이어 히터의 몸체는 접촉하지 않도록, 카본 와이어 히터를 구성할 수 있다. 이러한 방식에서, 석영 유리(SiO2)와 카본 와이어 히터에 함유된 탄소(C)의 반응이 고온에서 최소화되어, 석영 유리의 열화와 카본 와이어의 내구도 저하가 줄어든다.In the carbon wire, the woven width of the carbon wire may be approximately 2 to 5 mm, and the surface fluff 518 (see FIG. 7) of the carbon wire may be formed at a height of approximately 0.5 to 2.5 mm. For example, the surface fluff may be a portion of broken carbon fiber that protrudes from the outer surface of the carbon wire as shown in FIG. 7. The carbon wire heater can be configured such that fluff contacts the inner wall of the curved tube but not the body of the carbon wire heater. In this way, the reaction of the quartz glass (SiO 2 ) and the carbon (C) contained in the carbon wire heater is minimized at high temperatures, thereby reducing the deterioration of the quartz glass and the deterioration of the durability of the carbon wire.

이러한 구성을 구현하기 위하여, 곡선형 관의 내경은 카본 와이어 히터에 있어서 탄소 섬유의 직경 및 개수에 따라 선택될 수 있다. 또한, 탄소 섬유 및 카본 와이어 히터에 있어서 불순물의 양(회분 함량)은 10 ppm 미만이다. 별법으로서, 회분 함량은 3 ppm 미만이다.To realize this configuration, the inner diameter of the curved tube can be selected according to the diameter and number of carbon fibers in the carbon wire heater. In addition, the amount (ash content) of impurities in the carbon fiber and carbon wire heater is less than 10 ppm. Alternatively, the ash content is less than 3 ppm.

내부 접속 라인(814A, 814B, 824A, 824B, 834A, 834B, 844A 및 844B)은 천이 요소(812A, 812B, 822A, 822B, 832A, 832B, 842A 및 842B)의 일부분을 형성하는 유리관 내에 배치될 수 있다. 내부 접속 라인(814A, 814B, 824A, 824B, 834A, 834B, 844A 및 844B)은 도 6a 및 도 6b에 도시된 바와 같이 단말점 요소(813A, 813B, 823A, 823B, 833A, 833B, 843A 및 843B)에 연결될 수 있다. 예컨대, 내부 접속 라인(814A, 814B, 824A, 824B, 834A, 834B, 844A 및 844B)은 단말점 요소(813A, 813B, 823A, 823B, 833A, 833B, 843A 및 843B) 내에 압축될 수 있다.Internal connection lines 814A, 814B, 824A, 824B, 834A, 834B, 844A, and 844B can be disposed in glass tubes that form part of the transition elements 812A, 812B, 822A, 822B, 832A, 832B, 842A, and 842B. have. Internal connection lines 814A, 814B, 824A, 824B, 834A, 834B, 844A and 844B are shown as end point elements 813A, 813B, 823A, 823B, 833A, 833B, 843A and 843B as shown in FIGS. 6A and 6B. ) Can be connected. For example, internal connection lines 814A, 814B, 824A, 824B, 834A, 834B, 844A, and 844B may be compressed within end point elements 813A, 813B, 823A, 823B, 833A, 833B, 843A, and 843B.

도시된 바와 같이, 내부 접속 라인(814A, 814B, 824A, 824B, 834A, 834B, 844A 및 844B)은 밀봉 말단부(819, 829, 839 및 849)에 연결될 수 있다. 또한, 외부 접속 라인(817A, 817B, 827A, 827B, 837A, 837B, 847A 및 847B)은 밀봉 말단부(819, 829, 839 및 849)에 연결될 수 있다. 밀봉 말단부는 내부 접속 라인을 외 부 접속 라인에 연결하는 수단을 포함할 수 있다. 예컨대, 몰리브덴(Mo) 호일(도시 생략)을 사용하여, 내부 접속 라인(814A, 814B, 824A, 824B, 834A, 834B, 844A 및 844B)을 외부 접속 라인(817A, 817B, 827A, 827B, 837A, 837B, 847A 및 847B)에 연결할 수 있다. 또한, 밀봉 말단부(819, 829, 839 및 849)는 관의 단부를 폐쇄하는 하나 이상의 플러그 부재(도시 생략)를 포함할 수 있다. 예컨대, 밀봉 말단부는 미분 알루미나(Al2O3) 또는 미분 SiO2를 함유하는 시멘트를 더 포함할 수 있다.As shown, internal connection lines 814A, 814B, 824A, 824B, 834A, 834B, 844A, and 844B can be connected to sealing ends 819, 829, 839, and 849. In addition, external connection lines 817A, 817B, 827A, 827B, 837A, 837B, 847A, and 847B may be connected to sealing ends 819, 829, 839, and 849. The sealing end can comprise means for connecting the inner connection line to the outer connection line. For example, using molybdenum (Mo) foil (not shown), internal connection lines 814A, 814B, 824A, 824B, 834A, 834B, 844A and 844B can be connected to external connection lines 817A, 817B, 827A, 827B, 837A, 837B, 847A, and 847B). In addition, the sealing distal ends 819, 829, 839 and 849 may include one or more plug members (not shown) that close the ends of the tube. For example, the sealing end portion may further include cement containing finely divided alumina (Al 2 O 3 ) or finely divided SiO 2 .

외부 접속 라인(817A, 817B, 827A, 827B, 837A, 837B, 847A 및 847B)은 가열 요소(810, 820, 830 및 840)를 하나 이상의 전원(도시 생략)에 연결하는 데 사용될 수 있다. 가열 요소(810, 820, 830 및 840)는 독립적으로 제어될 수 있다.External connection lines 817A, 817B, 827A, 827B, 837A, 837B, 847A, and 847B can be used to connect heating elements 810, 820, 830, and 840 to one or more power sources (not shown). The heating elements 810, 820, 830 and 840 can be controlled independently.

변형례에서, 도 8에 도시된 관(소직경 관)보다 큰 직경을 갖는 추가적인 유리관(도시 생략)을 마련할 수 있고, 그 결과 소직경 관은 대직경 관 내에 삽입될 수 있고, 이들 관은 융합 또는 용접 수단에 의해 통합될 수 있다.In a variant, an additional glass tube (not shown) having a diameter larger than that shown in FIG. 8 (small diameter tube) can be provided, so that the small diameter tube can be inserted into a large diameter tube, and these tubes It can be integrated by fusion or welding means.

내부 접속 라인(814A, 814B, 824A, 824B, 834A, 834B, 844A 및 844B)과 외부 접속 라인(817A, 817B, 827A, 827B, 837A, 837B, 847A 및 847B)은 직경이 1 내지 3 mm인 몰리브덴(Mo) 또는 텅스텐(W) 로드를 포함할 수 있다. 내부 접속 라인과 외부 접속 라인의 직경은 필요에 따라 선택될 수 있지만, 상기 직경이 지나치게 작으면 전기 저항이 커질 수 있고, 이는 바람직하지 못하다. 한편, 상기 직경이 지나치게 크면 단자의 크기가 더 커지기 때문에 바람직하지 못하다.The internal connection lines 814A, 814B, 824A, 824B, 834A, 834B, 844A and 844B and the external connection lines 817A, 817B, 827A, 827B, 837A, 837B, 847A and 847B have molybdenum diameters of 1 to 3 mm. (Mo) or tungsten (W) rods. The diameters of the inner and outer connecting lines can be selected as needed, but too small of the diameter can result in large electrical resistance, which is undesirable. On the other hand, if the diameter is too large, it is not preferable because the size of the terminal becomes larger.

한 가지 실시예에서, 곡선형 히터 세그먼트를 제조하는 절차는, 천이 요소를 포함하는 곡선형 관을 만드는 단계와, 곡선형 관 내에 카본 와이어 가열 요소를 조립하는 단계와, 천이 요소에 단말점 요소를 조립하는 단계와, 카본 와이어 히터의 양단부에 단말점 요소를 연결하는 단계와, 내부 접속 라인과 외부 접속 라인을 접속하는 밀봉 말단부를 조립하는 단계, 그리고 밀봉 이전에 곡선형 히터 세그먼트의 내부 압력을 1 Torr 이하로 낮추는 단계를 포함할 수 있다.In one embodiment, the procedure for manufacturing a curved heater segment includes the steps of making a curved tube comprising a transition element, assembling a carbon wire heating element within the curved tube, and attaching the end point element to the transition element. Assembling, connecting end point elements at both ends of the carbon wire heater, assembling the sealing end connecting the inner and outer connecting lines, and internal pressure of the curved heater segment prior to sealing. It may include lowering below the Torr.

도 9는 본 발명의 일 실시예에 따른 가열 조립체의 간략한 도해도이다. 도시된 실시예에서, 가열 조립체(900)는 3개의 가열 유닛(910, 920 및 930)과 유지 장치(950)를 포함한다. 3개의 가열 유닛이 도시되어 있지만, 이는 본 발명에 있어서 필수적인 것은 아니다. 변형례에서, 다른 개수의 가열 유닛을 사용할 수 있고, 다른 구성을 이용할 수 있으며, 가열 유닛은 다른 형상을 취할 수 있다.9 is a simplified diagram of a heating assembly in accordance with one embodiment of the present invention. In the embodiment shown, the heating assembly 900 includes three heating units 910, 920, and 930 and a holding device 950. Three heating units are shown, but this is not necessary for the present invention. In a variant, different numbers of heating units can be used, different configurations can be used, and the heating units can take different shapes.

각 가열 유닛(910, 920 및 930)은 원형 석영 유리관을 포함할 수 있고, 이 석영 유리관 내에는 탄소 섬유 다발을 포함하는 카본 와이어 히터가 전술한 바와 같이 봉입될 수 있다. 원형 석영 유리관의 단부는 천이 요소에 연결될 수 있다. 한 가지 실시예에서, 카본 와이어 히터는 곡선형 석영 유리관 내에 수납되고, 천이 요소는 카본 와이어 히터를 수용하지 않는다. 예컨대, 이러한 구성에 의하면 카본 와이어 히터로부터의 복사를 보다 효율적으로 제어할 수 있게 된다. 변형례에서, 상기 천이 요소 중 하나 이상의 일부분은 히터의 일부분을 포함할 수 있다.Each heating unit 910, 920, and 930 may comprise a round quartz glass tube, in which a carbon wire heater comprising a carbon fiber bundle may be enclosed as described above. The end of the round quartz glass tube can be connected to the transition element. In one embodiment, the carbon wire heater is housed in a curved quartz glass tube and the transition element does not receive the carbon wire heater. For example, this configuration makes it possible to more efficiently control radiation from the carbon wire heater. In a variant, one or more portions of the transition elements may comprise a portion of a heater.

도 9에서, 가열 유닛(910, 920 및 930)은 유지 장치(950) 내의 리세스 또는 그루브에 장착될 수 있다. 변형례에서, 가열 유닛(910, 920 및 930)은 도 8에 도시된 바와 같이 다중-구역 요소를 포함할 수 있다.In FIG. 9, the heating units 910, 920, and 930 may be mounted in recesses or grooves in the retaining device 950. In a variant, the heating units 910, 920, and 930 can include multi-zone elements as shown in FIG. 8.

도 10a 내지 도 10c는 본 발명의 일 실시예에 따른 다른 가열 조립체의 간략한 도해도이다. 도시된 실시예에서, 가열 조립체(1000)는 3개의 가열 요소(1010, 1020 및 1030)와, 유지 장치(1050), 그리고 커버(1070)를 포함한다. 3개의 가열 요소가 도시되어 있지만, 이는 본 발명에 있어서 필수적인 것은 아니다. 변형례에서, 다른 개수의 가열 유닛을 사용할 수 있고, 다른 구성을 이용할 수 있으며, 가열 유닛은 다른 형상을 취할 수 있다.10A-10C are simplified diagrams of another heating assembly in accordance with one embodiment of the present invention. In the embodiment shown, the heating assembly 1000 includes three heating elements 1010, 1020, and 1030, a retaining device 1050, and a cover 1070. Three heating elements are shown, but this is not necessary for the present invention. In a variant, different numbers of heating units can be used, different configurations can be used, and the heating units can take different shapes.

커버(1070)는 제1 석영 유리 평판을 포함할 수 있고, 유지 장치(1050)는 원형 리세스 또는 그루브(1011, 1021 및 1031)를 구비하는 제2 석영 유리 평판을 포함할 수 있는데, 상기 리세스에는 카본 와이어 히터가 배치될 수 있다. 한 가지 실시예에서, 커버(1070)와 유지 장치(1050)를 서로에 대해 융합함으로써, 카본 와이어 히터(1012, 1022 및 1032)는 도 10c에 도시된 바와 같이 상기 통합 부재에 봉입될 수 있다.The cover 1070 may comprise a first quartz glass plate and the retaining device 1050 may comprise a second quartz glass plate having circular recesses or grooves 1011, 1021 and 1031. The set may be arranged with a carbon wire heater. In one embodiment, by fusing cover 1070 and retaining device 1050 with respect to each other, carbon wire heaters 1012, 1022 and 1032 may be enclosed in the integrating member as shown in FIG. 10C.

또한, 가열 유닛(1000)은 천이 요소(1012A, 1012B, 1022A, 1022B, 1032A 및 1032B)와, 밀봉 말단부(1019, 1029 및 1039), 그리고 접속 단자(1017A, 1017B, 1027A, 1027B, 1037A 및 1037B)를 더 포함한다.In addition, the heating unit 1000 includes transition elements 1012A, 1012B, 1022A, 1022B, 1032A and 1032B, sealing end portions 1019, 1029 and 1039, and connecting terminals 1017A, 1017B, 1027A, 1027B, 1037A and 1037B. More).

가열 요소(1010, 1020 및 1030)는 유지 장치(1050) 내에 곡선형 리세스 또는 그루브(1011, 1021 및 1031)를 포함할 수 있고, 이들 리세스 내에는 탄소 섬유 다발을 포함하는 카본 와이어 히터(1015, 1025 및 1035)가 봉입될 수 있다. 곡선형 그루브(1011, 1021 및 1031)의 단부는 천이 요소(1012A, 1012B, 1022A, 1022B, 1032A 및 1032B)에 연결될 수 있다. 한 가지 실시예에서, 카본 와이어 히터(1015, 1025 및 1035)는 곡선형 그루브(1011, 1021 및 1031) 내에 장착되고, 천이 요소(1012A, 1012B, 1022A, 1022B, 1032A 및 1032B)는 카본 와이어 히터를 수용하지 않는다. 예컨대, 이러한 구성에 의하면 카본 와이어 히터로부터의 복사를 보다 효율적으로 제어할 수 있게 된다. 변형례에서, 상기 천이 요소(1012A, 1012B, 1022A, 1022B, 1032A 및 1032B) 중 하나 이상의 일부분은 히터의 일부분을 포함할 수 있다.Heating elements 1010, 1020, and 1030 may include curved recesses or grooves 1011, 1021, and 1031 within retaining device 1050, and within these recesses a carbon wire heater comprising a carbon fiber bundle ( 1015, 1025 and 1035 may be enclosed. The ends of the curved grooves 1011, 1021 and 1031 may be connected to the transition elements 1012A, 1012B, 1022A, 1022B, 1032A and 1032B. In one embodiment, the carbon wire heaters 1015, 1025, and 1035 are mounted in curved grooves 1011, 1021, and 1031, and the transition elements 1012A, 1012B, 1022A, 1022B, 1032A, and 1032B are carbon wire heaters. Does not accept. For example, this configuration makes it possible to more efficiently control radiation from the carbon wire heater. In a variation, one or more portions of the transition elements 1012A, 1012B, 1022A, 1022B, 1032A, and 1032B may comprise a portion of a heater.

카본 와이어에서, 카본 와이어의 직조 폭은 대략 2 내지 5 mm일 수 있고, 카본 와이어의 표면 보풀(518; 도 7 참조)은 대략 0.5 내지 2.5 mm의 높이로 형성될 수 있다. 예컨대, 표면 보풀은 도 7에 도시된 바와 같이 카본 와이어의 외표면으로부터 돌출하는 부러진 탄소 섬유의 일부분일 수 있다. 곡선형 관의 내벽에 보풀은 접촉하지만 카본 와이어 히터의 몸체는 접촉하지 않도록, 카본 와이어 히터를 구성할 수 있다. 이러한 방식에서, 석영 유리(SiO2)와 카본 와이어 히터에 함유된 탄소(C)의 반응이 고온에서 최소화되어, 석영 유리의 열화와 카본 와이어의 내구도 저하가 줄어든다.In the carbon wire, the woven width of the carbon wire may be approximately 2 to 5 mm, and the surface fluff 518 (see FIG. 7) of the carbon wire may be formed at a height of approximately 0.5 to 2.5 mm. For example, the surface fluff may be a portion of broken carbon fiber that protrudes from the outer surface of the carbon wire as shown in FIG. 7. The carbon wire heater can be configured so that the lining of the curved tube contacts the body of the carbon wire heater but not the lint. In this way, the reaction of the quartz glass (SiO 2 ) and the carbon (C) contained in the carbon wire heater is minimized at high temperatures, thereby reducing the deterioration of the quartz glass and the deterioration of the durability of the carbon wire.

이러한 구성을 구현하기 위하여, 곡선형 리세스 또는 그루브의 내경은 카본 와이어 히터에 있어서 탄소 섬유의 직경 및 개수에 따라 선택될 수 있다. 또한, 탄소 섬유 및 카본 와이어 히터에 있어서 불순물의 양(회분 함량)은 10 ppm 미만이다. 별법으로서, 회분 함량은 3 ppm 미만이다.To realize this configuration, the inner diameter of the curved recess or groove may be selected according to the diameter and number of carbon fibers in the carbon wire heater. In addition, the amount (ash content) of impurities in the carbon fiber and carbon wire heater is less than 10 ppm. Alternatively, the ash content is less than 3 ppm.

또한, 밀봉 말단부(1019, 1029 및 1039)는 천이 요소(1012A, 1012B, 1022A, 1022B, 1032A 및 1032B)의 단부에 연결될 수 있다. 밀봉 말단부(1019, 1029 및 1039)는 천이 요소(1012A, 1012B, 1022A, 1022B, 1032A 및 1032B)의 단부를 밀봉하는 수단을 포함할 수 있다. 예컨대, 두갈래형 캡을 밀봉 수단으로서 사용할 수 있다. 또한, 핀치 시일을 사용할 수 있다. 또한, 다양한 유리 재료를 포함할 수 있는 단계형 시일부를 사용할 수 있다.In addition, the sealing distal ends 1019, 1029 and 1039 may be connected to the ends of the transition elements 1012A, 1012B, 1022A, 1022B, 1032A and 1032B. Sealing distal ends 1019, 1029, and 1039 may include means for sealing the ends of the transition elements 1012A, 1012B, 1022A, 1022B, 1032A, and 1032B. For example, a bifurcated cap can be used as the sealing means. In addition, pinch seals can be used. It is also possible to use stepped seals which may comprise various glass materials.

카본 와이어 히터(1015, 1025 및 1035)는 곡선형 리세스 또는 그루브(1011, 1021 및 1031) 내에 삽입될 수 있고, 단말점 요소(1013A, 1013B, 1023A, 1023B, 1033A 및 1033B) 사이에서 연장될 수 있다. 또한, 단말점 요소(1013A, 1013B, 1023A, 1023B, 1033A 및 1033B)는 도 6a 및 도 6b에 도시된 바와 같이 압축 와이어 카본 부재(516)를 포함할 수 있다. 카본 와이어 히터는 도 6a 및 도 6b에 도시된 바와 같이 압축된 상태의 압축 와이어 카본 부재에 매설될 수 있다. 와이어 카본 부재 및 카본 와이어 히터는 단말점 요소의 내부에서 실질적으로 단말점 요소의 축선에 평행하게 연장되도록 수납될 수 있다.Carbon wire heaters 1015, 1025, and 1035 can be inserted into curved recesses or grooves 1011, 1021, and 1031 and extend between end point elements 1013A, 1013B, 1023A, 1023B, 1033A, and 1033B. Can be. In addition, the end point elements 1013A, 1013B, 1023A, 1023B, 1033A, and 1033B may include a compressed wire carbon member 516 as shown in FIGS. 6A and 6B. The carbon wire heater may be embedded in the compressed wire carbon member in the compressed state as shown in FIGS. 6A and 6B. The wire carbon member and the carbon wire heater may be housed so as to extend substantially parallel to the axis of the end point element inside the end point element.

도시된 실시예에서는, 원형이 도시되어 있지만, 이는 본 발명에 있어서 필수적인 것은 아니다. 별법으로서, 실질적으로 타원형인 형상, 실질적으로 정사각형인 형상, 및 실질적으로 직사각형인 형상 등과 같은 다양한 형상을 사용할 수 있다. 한 가지 실시예에서, 상기 관은 석영 유리 재료를 포함할 수 있다. 변형례에서, 다른 재료를 사용할 수 있다.In the illustrated embodiment, a prototype is shown, but this is not essential to the invention. Alternatively, various shapes may be used, such as substantially elliptical shapes, substantially square shapes, substantially rectangular shapes, and the like. In one embodiment, the tube may comprise a quartz glass material. In a variant, other materials may be used.

예컨대, 카본 와이어 히터(1015, 1025 및 1035)는 각각 직경이 5 내지 15 ㎛인 탄소 섬유 300 내지 350개를 한 다발로 묶어서 제조할 수 있는 카본 와이어를 포함할 수 있다. 그 후, 복수 개(약 9개)의 상기 다발은, 직경이 2 mm이고 카본 와이어로서 사용되는 니트 코드(즉, 꼬은 끈) 형태의 카본 와이어로 짜여진다. 카본 와이어 히터(1015, 1025 및 1035)는 유지 장치(1050) 내에 원형 형태로 배치될 수 있다. 그러나, 와이어 히터의 배치는 자유롭게 변경 가능하며, 전술한 바에 한정되지 않는다.For example, the carbon wire heaters 1015, 1025, and 1035 may include carbon wires that can be manufactured by bundleing 300 to 350 carbon fibers having a diameter of 5 to 15 μm in a bundle. Thereafter, the plurality (about 9) of the bundles are woven from carbon wire in the form of a knit cord (i.e., twisted string) having a diameter of 2 mm and used as a carbon wire. Carbon wire heaters 1015, 1025, and 1035 may be disposed in a circular shape within retaining device 1050. However, the arrangement of the wire heaters can be freely changed and is not limited to the above.

예컨대, 카본 와이어 히터를 상기 리세스 또는 그루브 내에 배치하고, 리세스 또는 그루브 내부를 비산화 분위기로 만든 이후에, 커버(1070) 및 유지 장치(1050)의 접합면을 융합함으로써, 가열 유닛을 마련할 수 있다. 카본 와이어 히터는 각각 직경이 5 내지 15 ㎛이고 섬유 다발 형태로 구성되게 되는 탄소 섬유 약 350개를 포함하며, 상기 섬유 다발 9개를 니트 코드 또는 꼬은 끈 형태로 직조 것은 직경이 2 mm이다. 직경이 5 미크론 미만인 탄소 섬유는, 바람직한 긴 형상의 히터로 만드는 직조 공정을 견뎌낼 수 있을 정도의 충분한 강도를 갖고 있지 않다. 또한, 상기 탄소 섬유가 지나치게 미세하여 바람직한 저항을 얻지 못하는 경우도 있고, 그 밖에 지나치게 많은 섬유 끈을 사용함으로써, 상기 섬유를 비실용적으로 사용하게 되는 경우도 있다. 또한, 직경이 15 미크론을 초과하는 탄소 섬유는 탄성이 부족할 수 있고, 탄소 섬유가 복수 개의 다발로 묶여진 경우에 직조하기 곤란할 뿐만 아니라, 묶여진 섬유는 그 중 일부의 강도가 부족할 수 있다.For example, a heating unit is prepared by disposing a carbon wire heater in the recess or groove and fusing the joining surfaces of the cover 1070 and the holding device 1050 after the recess or groove is made in a non-oxidizing atmosphere. can do. The carbon wire heaters each comprise about 350 carbon fibers, each having a diameter of 5 to 15 μm and configured in the form of fiber bundles, wherein the 9 fiber bundles are woven in the form of knit cords or braids having a diameter of 2 mm. Carbon fibers with a diameter of less than 5 microns do not have sufficient strength to withstand the weaving process of making a preferred elongate heater. In addition, the carbon fiber may be too fine to obtain desirable resistance, and in other cases, the fiber may be impractically used by using too many fiber strings. In addition, carbon fibers larger than 15 microns in diameter may lack elasticity, and are not only difficult to weave when the carbon fibers are bundled into a plurality of bundles, but the bundled fibers may also lack the strength of some of them.

또한, 탄소 섬유의 표면 보풀은 대략 0.5 내지 2.5 mm의 높이로 형성될 수 있다. 표면 보풀은 외표면으로부터 돌출하는 부러진 카본 와이어의 일부분일 수 있다. 카본 와이어 히터는 보풀에 의해 유지 장치에 연결되어, 표면 균일 가열성 이 우수하고 반도체 제조에 적합한 소형 가열 유닛이 얻어진다.In addition, the surface fluff of the carbon fibers may be formed at a height of approximately 0.5 to 2.5 mm. The surface fluff may be part of a broken carbon wire that projects from the outer surface. The carbon wire heater is connected to the holding device by fluff, so that a small heating unit excellent in surface uniformity heating and suitable for semiconductor manufacturing is obtained.

변형례에서, 카본 와이어 히터는 각각 직경이 5 내지 15 미크론이고 다발 형태로 직조되는 100 내지 800개의 탄소 섬유를 포함할 수 있다. 상기 다발 3개 이상을 와이어 또는 테이프 등과 같은 종방향 형상으로 직조할 수 있다. 카본 와이어 히터는 작동 온도에서 1 내지 20 옴/미터의 전기 저항을 가질 수 있다.In a variation, the carbon wire heaters may comprise 100 to 800 carbon fibers each 5 to 15 microns in diameter and woven into bundles. Three or more of the bundles may be woven into a longitudinal shape, such as a wire or tape. The carbon wire heater may have an electrical resistance of 1 to 20 ohms / meter at operating temperature.

단말점 요소(1013A, 1013B, 1023A, 1023B, 1033A 및 1033B)는 천이 요소(1012A, 1012B, 1022A, 1022B, 1032A 및 1032B)의 일부분을 형성하는 소직경 원형 석영 유리관 내에 배치될 수 있다. 단말점 요소(1013A, 1013B, 1023A, 1023B, 1033A 및 1033B)는 도 6a 및 도 6b에 도시된 바와 같이 압축 와이어 카본 부재를 포함할 수 있다. 카본 와이어 히터는 유지 장치의 리세스 또는 그루브 내에 삽입될 수 있고, 단말점 요소 사이에서 연장될 수 있다. 또한, 카본 와이어 히터는 도 6a 및 도 6b에 도시된 바와 같이 압축된 상태인 압축 와이어 카본 부재에 매설될 수 있다.The end point elements 1013A, 1013B, 1023A, 1023B, 1033A, and 1033B may be disposed in small diameter round quartz glass tubes that form part of the transition elements 1012A, 1012B, 1022A, 1022B, 1032A, and 1032B. The end point elements 1013A, 1013B, 1023A, 1023B, 1033A, and 1033B may comprise compressed wire carbon members as shown in FIGS. 6A and 6B. The carbon wire heater can be inserted into the recess or groove of the holding device and can extend between the end point elements. Also, the carbon wire heater may be embedded in the compressed wire carbon member in a compressed state as shown in FIGS. 6A and 6B.

내부 접속 라인(1014A, 1014B, 1024A, 1024B, 1034A 및 1034B)은 천이 요소(1012A, 1012B, 1022A, 1022B, 1032A 및 1032B)의 일부분을 형성하는 소직경 석영 유리관 내에 배치될 수 있다. 내부 접속 라인(1014A, 1014B, 1024A, 1024B, 1034A 및 1034B)은 도 6a 및 도 6b에 도시된 바와 같이 단말점 요소(1013A, 1013B, 1023A, 1023B, 1033A 및 1033B)에 각각 연결될 수 있다. 예컨대, 내부 접속 라인(1014A, 1014B, 1024A, 1024B, 1034A 및 1034B)은 단말점 요소(1013A, 1013B, 1023A, 1023B, 1033A 및 1033B) 내에 압축될 수 있다.Internal connection lines 1014A, 1014B, 1024A, 1024B, 1034A, and 1034B may be disposed in small diameter quartz glass tubes that form part of the transition elements 1012A, 1012B, 1022A, 1022B, 1032A, and 1032B. Internal connection lines 1014A, 1014B, 1024A, 1024B, 1034A and 1034B may be connected to end point elements 1013A, 1013B, 1023A, 1023B, 1033A and 1033B, respectively, as shown in FIGS. 6A and 6B. For example, internal connection lines 1014A, 1014B, 1024A, 1024B, 1034A and 1034B may be compressed within end point elements 1013A, 1013B, 1023A, 1023B, 1033A and 1033B.

도시된 바와 같이, 내부 접속 라인(1014A, 1014B, 1024A, 1024B, 1034A 및 1034B)은 밀봉 말단부(1019, 1029 및 1039)에 연결될 수 있다. 또한, 외부 접속 라인(1017A, 1017B, 1027A, 1027B, 1037A 및 1037B)은 밀봉 말단부(1019, 1029 및 1039)에 연결될 수 있다. 밀봉 말단부는 내부 접속 라인을 외부 접속 라인에 연결하는 수단을 포함할 수 있다. 예컨대, 몰리브덴(Mo) 호일(도시 생략)을 사용하여, 내부 접속 라인(1014A, 1014B, 1024A, 1024B, 1034A 및 1034B)을 외부 접속 라인(1017A, 1017B, 1027A, 1027B, 1037A 및 1037B)에 연결할 수 있다. 또한, 밀봉 말단부(1019, 1029 및 1039)는 관의 단부를 폐쇄하는 하나 이상의 플러그 부재(도시 생략)를 포함할 수 있다. 예컨대, 밀봉 말단부는 미분 알루미나(Al2O3) 또는 미분 SiO2를 함유하는 시멘트를 더 포함할 수 있다.As shown, internal connection lines 1014A, 1014B, 1024A, 1024B, 1034A and 1034B may be connected to the sealing distal ends 1019, 1029 and 1039. In addition, external connection lines 1017A, 1017B, 1027A, 1027B, 1037A and 1037B may be connected to the sealing distal ends 1019, 1029 and 1039. The sealing end can comprise means for connecting the inner connection line to the outer connection line. For example, using molybdenum (Mo) foil (not shown), the internal connection lines 1014A, 1014B, 1024A, 1024B, 1034A and 1034B can be connected to the external connection lines 1017A, 1017B, 1027A, 1027B, 1037A and 1037B. Can be. In addition, the sealing distal ends 1019, 1029 and 1039 may include one or more plug members (not shown) that close the ends of the tube. For example, the sealing end portion may further include cement containing finely divided alumina (Al 2 O 3 ) or finely divided SiO 2 .

외부 접속 라인(1017A, 1017B, 1027A, 1027B, 1037A 및 1037B)은 가열 요소(1010, 1020 및 1030)를 하나 이상의 전원(도시 생략)에 연결하는 데 사용될 수 있다. 가열 요소(1010, 1020 및 1030)는 독립적으로 제어될 수 있다.External connection lines 1017A, 1017B, 1027A, 1027B, 1037A and 1037B can be used to connect heating elements 1010, 1020 and 1030 to one or more power sources (not shown). The heating elements 1010, 1020 and 1030 can be controlled independently.

단말점 요소(1013A, 1013B, 1023A, 1023B, 1033A 및 1033B)는 소직경 석영 유리관을 부분적으로 채우는 것으로 도시되어 있지만, 이는 본 발명에 있어서 필수적인 것은 아니다. 변형례에서, 단말점 요소는 다른 크기와 위치를 취할 수 있다. 또한, 단말점 요소는 리세스 또는 그루브 내에 배치될 수 있다.The end point elements 1013A, 1013B, 1023A, 1023B, 1033A and 1033B are shown to partially fill a small diameter quartz glass tube, but this is not essential to the present invention. In a variant, the end point element may take different sizes and positions. The end point element can also be disposed in a recess or groove.

변형례에서, 하나 이상의 소직경 석영 유리관은 배제될 수 있다. 예컨대, 밀봉 말단부(1019)는 유지 장치(1050)의 바닥에 연결될 수 있고, 카본 와이어 히터 로부터의 단자 라인은 유지 장치(1050)에 형성된 개구(도시 생략)를 통해 바닥의 히터면까지 수직하게 인출될 수 있다. 밀봉 말단부(1019)는 단자 라인을 외부 접속 라인에 연결하는 수단을 포함할 수 있다.In a variant, one or more small diameter quartz glass tubes may be excluded. For example, the sealing distal end 1019 may be connected to the bottom of the holding device 1050 and the terminal line from the carbon wire heater is drawn vertically to the heater face of the floor through an opening (not shown) formed in the holding device 1050. Can be. The sealing distal end 1019 may comprise means for connecting the terminal line to an external connection line.

또한, 밀봉 말단부는 카본 와이어 히터가 산화되지 못하도록 질소 가스를 도입하는 수단과, 히터 및 밀봉 말단부의 내부 압력을 감소시키는 수단을 포함할 수 있다.Further, the sealed end portion may include means for introducing nitrogen gas to prevent the carbon wire heater from being oxidized, and means for reducing the internal pressure of the heater and the sealed end portion.

몰리브덴(Mo) 호일이 전도성 용도로 사용되고 있지만, 만약 탄성의 관점에서 몰리브덴(Mo) 호일이 바람직한 것이라면, 텅스텐(W) 호일 등과 같은 다른 재료가 대신 사용될 수 있다.Although molybdenum (Mo) foils are used for conductive applications, if molybdenum (Mo) foils are preferred in terms of elasticity, other materials such as tungsten (W) foils and the like may be used instead.

건조 시의 균열 발생을 방지하기 위해, (미분 SiO2 또는 Al2O3를 사용하는) 수지 또는 시멘트를 플러그 부재로서 사용할 수 있다.In order to prevent cracking during drying, a resin or cement (using fine SiO 2 or Al 2 O 3 ) can be used as the plug member.

변형례에서, 가열 요소(1010, 1020 및 1030)는 도 8에 도시된 바와 같이 다중-구역 세그먼트를 포함할 수 있다.In a variant, the heating elements 1010, 1020 and 1030 may comprise multi-zone segments as shown in FIG. 8.

각각 초미세 탄소 섬유로 구성되는 복수 개의 다발을 편직하여, 카본 와이어 히터를 제조할 수 있다. 카본 와이어 히터는 통상의 금속 가열 요소에 비해 가열 용량이 적고, 온도 변화 속도가 빠르다. 카본 와이어 히터는 고온에서의 내구도가 우수하다. 카본 와이어 히터는 각각 미세 탄소 섬유로 구성된 복수 개의 다발을 편직하는 것에 의해 제조되므로, 유연성이 우수하고, 반도체 제조용 가열 유닛과 같은 다양한 형상으로 쉽게 가공될 수 있다.A plurality of bundles each composed of ultrafine carbon fibers can be knitted to produce a carbon wire heater. Carbon wire heaters have a lower heating capacity and a faster rate of temperature change than conventional metal heating elements. Carbon wire heaters are excellent in durability at high temperatures. Since the carbon wire heater is manufactured by knitting a plurality of bundles each composed of fine carbon fibers, it is excellent in flexibility and can be easily processed into various shapes such as heating units for semiconductor manufacturing.

도 11a는 본 발명의 일 실시예에 따른 싱글 웨이퍼 히터 조립체의 간략한 블록선도이다. 도시된 실시예에서, 싱글 웨이퍼 히터 조립체(1100A)는 2개의 가열 조립체(1110 및 1120)를 포함하는 것으로 도시되어 있지만, 이는 본 발명에 있어서 필수적인 것은 아니다. 다른 실시예에서, 다른 구성이 사용될 수 있다. 예컨대, 원형, 비원형, 평면형, 비평면형 용례에 관한 실시예가 고려된다.11A is a simplified block diagram of a single wafer heater assembly in accordance with one embodiment of the present invention. In the illustrated embodiment, the single wafer heater assembly 1100A is shown to include two heating assemblies 1110 and 1120, but this is not essential to the present invention. In other embodiments, other configurations may be used. For example, embodiments of circular, non-circular, planar, non-planar applications are contemplated.

도 11a에서, 하나 이상의 카본 와이어 히터를 구비하는 하나 이상의 가열 요소로 이루어진 제1 가열 조립체(1110)가 기판(1130) 아래에 있는 것으로 도시되어 있다. 바닥 가열 조립체(1110)는 석영 유지 장치를 포함할 수 있고, 하나 이상의 카본 와이어 히터를 구비하는 하나 이상의 가열 요소는 상기 석영 유지 장치에 조립될 수 있다. 예컨대, 가열 조립체(1110)는 단일 세그먼트 가열 요소와 다중 세그먼트 가열 요소 중 적어도 하나를 사용하도록 구성될 수 있다. 가열 조립체(1110)는 기판 홀더(도시 생략)의 일부분일 수 있다.In FIG. 11A, a first heating assembly 1110 consisting of one or more heating elements with one or more carbon wire heaters is shown below the substrate 1130. The bottom heating assembly 1110 may include a quartz retainer, and one or more heating elements having one or more carbon wire heaters may be assembled to the quartz retainer. For example, the heating assembly 1110 may be configured to use at least one of a single segment heating element and a multi segment heating element. The heating assembly 1110 may be part of a substrate holder (not shown).

역시 하나 이상의 카본 와이어 히터를 구비하는 하나 이상의 가열 요소로 이루어진 제2 가열 조립체(1120)가 기판(1130) 위에 있는 것으로 도시되어 있다. 상측 가열 조립체(1120)는 석영 유지 장치를 포함할 수 있고, 하나 이상의 카본 와이어 히터를 구비하는 하나 이상의 가열 요소는 상기 석영 유지 장치에 조립될 수 있다. 예컨대, 가열 조립체(1120)는 단일 세그먼트 가열 요소와 다중 세그먼트 가열 요소 중 적어도 하나를 사용하도록 구성될 수 있다. 가열 조립체(1120)는 처리 챔버(도시 생략)에 있어서 상부 조립체의 일부분일 수 있다. 화살표 1170은 가열 유닛으로부터 방출되는 복사 에너지의 방향을 나타낸다. 가열 요소마다 복사 패턴이 서로 다를 수 있고, 다양한 복사(가열) 패턴이 웨이퍼의 상면 및 하면을 가로질러 제공될 수 있다.The second heating assembly 1120, which is also composed of one or more heating elements with one or more carbon wire heaters, is shown above the substrate 1130. Upper heating assembly 1120 may comprise a quartz retainer, and one or more heating elements having one or more carbon wire heaters may be assembled to the quartz retainer. For example, the heating assembly 1120 may be configured to use at least one of a single segment heating element and a multi segment heating element. Heating assembly 1120 may be part of an upper assembly in a processing chamber (not shown). Arrow 1170 indicates the direction of radiant energy emitted from the heating unit. The radiation pattern may differ from one heating element to another, and various radiation (heating) patterns may be provided across the top and bottom surfaces of the wafer.

하나 이상의 가열 조립체를 사용하면 기판(1130)을 보다 신속하고 보다 균일하게 가열할 수 있게 된다. 웨이퍼 홀더(1140)는 기판을 상기 2개의 가열 조립체 사이에서 배치 및 유지하는 데 사용될 수 있다. 별법으로서, 기판은 하측 가열 조립체(1110) 상에 배치될 수 있다.The use of one or more heating assemblies allows for heating the substrate 1130 faster and more uniformly. Wafer holder 1140 may be used to position and hold a substrate between the two heating assemblies. Alternatively, the substrate may be disposed on the lower heating assembly 1110.

제어기(1150A)는 하측 가열 조립체(1110), 상측 가열 조립체(1120) 및 웨이퍼 홀더(1140)에 연결되어 이들을 제어하는 데 사용될 수 있다. 기판의 바닥에 음영이 형성되는 것을 최소화하거나 및/또는 배제하도록, 상기 웨이퍼 홀더(1140)를 구성할 수 있다. 기판의 위치를 설정하고, 상측 가열 조립체 및 하측 가열 조립체에 있는 카본 와이어 히터 각각에 독립적으로 전력을 제공하는 데, 제어기(1150A)를 사용할 수 있다. 별법으로서, 상측 가열 조립체(1110), 하측 가열 조립체(1120), 및/또는 웨이퍼 홀더(1140)는 하나 이상의 온도 센서(도시 생략)를 포함할 수 있으며, 이 온도 센서도 또한 제어기에 연결되어, 상측 가열 조립체, 하측 가열 조립체, 및/또는 기판의 온도를 제어하는 데 사용될 수 있다.Controller 1150A may be connected to and used to control lower heating assembly 1110, upper heating assembly 1120 and wafer holder 1140. The wafer holder 1140 may be configured to minimize and / or eliminate shading at the bottom of the substrate. The controller 1150A can be used to position the substrate and provide power independently to each of the carbon wire heaters in the upper and lower heating assemblies. Alternatively, upper heating assembly 1110, lower heating assembly 1120, and / or wafer holder 1140 may include one or more temperature sensors (not shown), which are also connected to a controller, It can be used to control the temperature of the upper heating assembly, the lower heating assembly, and / or the substrate.

제어기(1150A)는 상기 가열 조립체에 있는 하나 이상의 카본 와이어 히터에 시변(time-varying) 전력 레벨을 제공할 수 있다. 이러한 시변 전력 레벨은 계단 함수, 경사 함수, 펄스 함수, 상수 함수, 변조 함수, 또는 이들의 조합을 포함할 수 있다. 카본 와이어 히터 및 가열 조립체의 낮은 열질량은 신속한 온도 변화를 허용한다.Controller 1150A may provide a time-varying power level to one or more carbon wire heaters in the heating assembly. Such time varying power levels may include step functions, gradient functions, pulse functions, constant functions, modulation functions, or combinations thereof. Low thermal mass of the carbon wire heater and heating assembly allows for rapid temperature changes.

도 11b는 본 발명의 일 실시예에 따른 멀티 웨이퍼 히터 조립체의 간략한 블록선도이다. 도시된 실시예에서, 멀티 웨이퍼 히터 조립체(1100B)는 3개의 가열 조립체(1151, 1152 및 1153)를 포함하는 것으로 도시되어 있지만, 이는 본 발명에 있어서 필수적인 것은 아니다. 다른 실시예에서는, 다른 개수의 가열 조립체를 사용할 수 있고, 다른 개수의 가열 장소를 사용할 수 있으며, 다른 구조를 취할 수 있다. 예컨대, 원형, 비원형, 평면형, 비평면형 용례에 관한 실시예가 고려된다. 화살표 1170은 가열 유닛으로부터 방출되는 복사 에너지의 방향을 나타낸다.11B is a simplified block diagram of a multi-wafer heater assembly in accordance with an embodiment of the present invention. In the illustrated embodiment, the multi-wafer heater assembly 1100B is shown to include three heating assemblies 1151, 1152 and 1153, but this is not essential to the present invention. In other embodiments, different numbers of heating assemblies may be used, different numbers of heating sites may be used, and other structures may be taken. For example, embodiments of circular, non-circular, planar, non-planar applications are contemplated. Arrow 1170 indicates the direction of radiant energy emitted from the heating unit.

가열 조립체(1151, 1152 및 1153)는 하나 이상의 카본 와이어 히터를 구비하는 하나 이상의 가열 요소를 포함할 수 있다. 가열 조립체(1151, 1152 및 1153)는 석영 유지 장치를 포함할 수 있고, 하나 이상의 카본 와이어 히터를 구비하는 하나 이상의 가열 요소는 상기 석영 유지 장치에 조립될 수 있다. 예컨대, 가열 조립체(1151, 1152 및 1153)는 단일 세그먼트 가열 요소와 다중 세그먼트 가열 요소 중 적어도 하나를 사용하도록 구성될 수 있다. 하나 이상의 가열 조립체는 기판 홀더를 포함할 수 있다.Heating assemblies 1151, 1152 and 1153 may include one or more heating elements having one or more carbon wire heaters. Heating assemblies 1151, 1152, and 1153 may include a quartz retainer, and one or more heating elements having one or more carbon wire heaters may be assembled to the quartz retainer. For example, the heating assemblies 1151, 1152, and 1153 may be configured to use at least one of a single segment heating element and a multi segment heating element. One or more heating assemblies may include a substrate holder.

도시된 실시예에서, 2개의 웨이퍼(1130A 및 1130B)가 도시되어 있지만, 이는 본 발명에 있어서 필수적인 것은 아니다. 다른 실시예에서는, 다른 개수의 웨이퍼를 사용할 수 있고, 다른 구조를 취할 수 있다. 2개의 가열 조립체 사이에서 기판을 개별적으로 배치하고 유지하기 위해, 별도의 웨이퍼 홀더(1140A 및 1140B)를 사용할 수 있다. 별법으로서, 기판은 상기 가열 조립체 상에 배치될 수 있다.In the illustrated embodiment, two wafers 1130A and 1130B are shown, but this is not essential to the present invention. In other embodiments, different numbers of wafers may be used and other structures may be employed. Separate wafer holders 1140A and 1140B can be used to position and hold the substrate separately between the two heating assemblies. Alternatively, the substrate may be disposed on the heating assembly.

멀티 웨이퍼 히터 조립체를 사용하면 처리량을 증대시킬 수 있다. 멀티 웨 이퍼 히터 조립체에 의하면, 복수 개의 기판(1130A 및 1130B)을 보다 빠르고 보다 균일하게 가열할 수 있게 된다.Using a multi-wafer heater assembly can increase throughput. According to the multi-wafer heater assembly, the plurality of substrates 1130A and 1130B can be heated faster and more uniformly.

제어기(1150B)는 가열 조립체(1151, 1152 및 1153) 및 웨이퍼 홀더(1140A 및 1140B)에 연결되어 이들을 제어하는 데 사용될 수 있다. 기판의 바닥에 음영이 형성되는 것을 최소화하거나 및/또는 배제하도록, 상기 웨이퍼 홀더(1140A 및 1140B)를 구성할 수 있다. 기판의 위치를 설정하고, 가열 조립체(1151, 1152 및 1153)에 있는 카본 와이어 히터 각각에 독립적으로 전력을 제공하는 데, 제어기(1150B)를 사용할 수 있다. 또한, 온도 센서를 제어기에 연결하여, 가열 조립체(1151, 1152 및 1153)와 기판의 온도를 제어하는 데 사용할 수 있다.Controller 1150B may be connected to and used to control heating assemblies 1151, 1152 and 1153 and wafer holders 1140A and 1140B. The wafer holders 1140A and 1140B can be configured to minimize and / or eliminate shading at the bottom of the substrate. The controller 1150B can be used to position the substrate and independently provide power to each of the carbon wire heaters in the heating assemblies 1151, 1152 and 1153. A temperature sensor may also be connected to the controller and used to control the temperature of the heating assemblies 1151, 1152 and 1153 and the substrate.

제어기(1150B)는 상기 가열 조립체에 있는 하나 이상의 카본 와이어 히터에 시변 전력 레벨을 제공할 수 있다. 이러한 시변 전력 레벨은 계단 함수, 경사 함수, 펄스 함수, 상수 함수, 변조 함수, 또는 이들의 조합을 포함할 수 있다. 카본 와이어 히터 및 가열 조립체의 낮은 열질량은 신속한 온도 변화를 허용한다.Controller 1150B may provide a time varying power level to one or more carbon wire heaters in the heating assembly. Such time varying power levels may include step functions, gradient functions, pulse functions, constant functions, modulation functions, or combinations thereof. Low thermal mass of the carbon wire heater and heating assembly allows for rapid temperature changes.

도 12는 본 발명의 다른 실시예에 따른 싱글 웨이퍼 히터 조립체의 간략한 블록선도이다. 도시된 실시예에서, 단일 가열 조립체(1210)는 하나 이상의 카본 와이어 히터를 구비하는 하나 이상의 가열 요소(1212)를 포함하는 것으로 도시되어 있다. 또한, 웨이퍼(1230), 웨이퍼 홀더(1240) 및 제어기(1250)가 도시되어 있지만, 이는 본 발명에 있어서 필수적인 것은 아니다. 다른 실시예에서는, 다른 구조를 취할 수 있다. 예컨대, 추가적인 가열 조립체가 사용될 수 있다. 별법으로서, 웨이퍼 홀더는 하나 이상의 웨이퍼를 유지할 수 있다.12 is a simplified block diagram of a single wafer heater assembly according to another embodiment of the present invention. In the illustrated embodiment, a single heating assembly 1210 is shown to include one or more heating elements 1212 having one or more carbon wire heaters. Also shown is wafer 1230, wafer holder 1240 and controller 1250, but this is not essential to the present invention. In other embodiments, other structures may be taken. For example, additional heating assemblies can be used. Alternatively, the wafer holder can hold one or more wafers.

제어기(1250)를 가열 조립체(1210)에 연결하여 가열 조립체를 제어할 수 있다. 또한, 온도 센서(도시 생략)를 제어기에 연결하여 가열 조립체(1210)의 온도를 제어하는 데 사용할 수 있다.The controller 1250 can be connected to the heating assembly 1210 to control the heating assembly. In addition, a temperature sensor (not shown) may be connected to the controller and used to control the temperature of the heating assembly 1210.

제어기(1250)는 가열 조립체(1210)에 있는 하나 이상의 가열 요소(1212)(카본 와이어 히터)에 시변 전력 레벨을 제공할 수 있다. 이러한 시변 전력 레벨은 계단 함수, 경사 함수, 펄스 함수, 상수 함수, 변조 함수, 또는 이들의 조합을 포함할 수 있다. 카본 와이어 히터 및 가열 조립체의 낮은 열질량은 신속한 온도 변화를 허용한다.The controller 1250 can provide a time varying power level to one or more heating elements 1212 (carbon wire heaters) in the heating assembly 1210. Such time varying power levels may include step functions, gradient functions, pulse functions, constant functions, modulation functions, or combinations thereof. Low thermal mass of the carbon wire heater and heating assembly allows for rapid temperature changes.

가열 조립체(1210)는 유지 장치를 포함할 수 있고, 이 유지 장치에는 하나 이상의 카본 와이어 히터를 구비하는 하나 이상의 가열 요소(1212)가 조립될 수 있다. 상기 유지 장치는 석영을 포함할 수 있다.Heating assembly 1210 may include a holding device, which may be assembled with one or more heating elements 1212 having one or more carbon wire heaters. The holding device may comprise quartz.

웨이퍼 홀더(1240)는 석영 또는 탄화규소 등과 같은 비금속 재료를 포함할 수 있다. 웨이퍼 홀더(1240)는 3개의 지지점을 구비하는 것으로 도시되어 있지만, 이는 본 발명에 있어서 필수적인 것은 아니다. 변형례에서는, 다른 개수의 지지점을 사용할 수 있고, 지지점은 다르게 구성될 수 있다. 예컨대, 비원형 용례에 관한 실시예가 고려된다.Wafer holder 1240 may include a non-metallic material such as quartz or silicon carbide. The wafer holder 1240 is shown having three support points, but this is not essential to the present invention. In a variant, different numbers of supports may be used, and the supports may be configured differently. For example, embodiments relating to non-circular applications are contemplated.

제어기(1250)를 웨이퍼 홀더(1240)에 연결하여 웨이퍼 홀더를 제어할 수 있다. 기판의 바닥에 음영이 형성되는 것을 최소화하거나 및/또는 배제하도록, 상기 웨이퍼 홀더(1240)를 구성할 수 있다. 웨이퍼 홀더(1240)는 기판을 이동 및/또는 배치하는 데 사용되도록 구성될 수 있다. 예컨대, 웨이퍼 홀더(1240)는 기판/웨이 퍼의 수직 운동, 수평 운동 및 회전 운동 중 적어도 하나를 제공하도록 구성될 수 있다. 별법으로서, 웨이퍼 홀더(1240)는 하나 이상의 온도 센서(도시 생략)를 포함할 수 있으며, 이 온도 센서도 또한 제어기에 연결되어, 기판의 온도를 제어하는 데 사용될 수 있다.The controller 1250 may be connected to the wafer holder 1240 to control the wafer holder. The wafer holder 1240 may be configured to minimize and / or eliminate shading at the bottom of the substrate. Wafer holder 1240 may be configured to be used to move and / or position a substrate. For example, wafer holder 1240 may be configured to provide at least one of vertical, horizontal and rotational motion of the substrate / wafer. Alternatively, wafer holder 1240 may include one or more temperature sensors (not shown), which may also be connected to a controller and used to control the temperature of the substrate.

도 13은 본 발명에 따른 싱글 웨이퍼 히터 조립체의 다른 실시예의 간략한 블록선도이다. 도시된 실시예에서, 단일 가열 조립체(1310)는 하나 이상의 카본 와이어 히터를 구비하는 하나 이상의 가열 요소(1312)와, 복수 개의 웨이퍼 유지 요소(1320)를 포함하는 것으로 도시되어 있다. 또한, 웨이퍼(1330), 기판 포지셔너(1340) 및 제어기(1350)가 도시되어 있지만, 이는 본 발명에 있어서 필수적인 것은 아니다. 다른 실시예서는, 다른 구조를 취할 수 있다. 예컨대, 추가적인 가열 조립체 및/또는 유지 조립체가 사용될 수 있다.13 is a simplified block diagram of another embodiment of a single wafer heater assembly in accordance with the present invention. In the illustrated embodiment, the single heating assembly 1310 is shown to include one or more heating elements 1312 with one or more carbon wire heaters, and a plurality of wafer holding elements 1320. Also shown is wafer 1330, substrate positioner 1340 and controller 1350, but this is not essential to the present invention. Other embodiments may take other structures. For example, additional heating assemblies and / or retention assemblies can be used.

가열 조립체(1310)는 석영 유지 장치를 포함할 수 있고, 하나 이상의 카본 와이어 히터를 구비하는 하나 이상의 가열 요소는 상기 석영 유지 장치에 조립될 수 있다.The heating assembly 1310 may include a quartz retainer, and one or more heating elements with one or more carbon wire heaters may be assembled to the quartz retainer.

단일 가열 조립체(1310)는 석영 또는 탄화 규소 등과 같은 비금속 재료를 포함할 수 있다. 가열 조립체(1310)는 3개의 웨이퍼 유지 요소(1320)를 구비하는 것으로 도시되어 있지만, 이는 본 발명에 있어서 필수적인 것은 아니다. 변형례에서는, 다른 개수의 웨이퍼 유지 요소(1320)를 사용할 수 있고, 웨이퍼 유지 요소(1320)를 다르게 구성할 수 있다. 예컨대, 곡선부 및/또는 직선부를 갖는 웨이퍼 유지 요소(1320)에 관한 실시예가 고려된다. 별법으로서, 가열 조립체(1310) 및/또는 웨이퍼 유지 요소(1320)는 하나 이상의 온도 센서(도시 생략)를 포함할 수 있는데, 이 온도 센서도 또한 제어기에 연결되어 기판의 온도를 제어하는 데 사용될 수 있다.Single heating assembly 1310 may comprise a non-metallic material such as quartz or silicon carbide. The heating assembly 1310 is shown having three wafer holding elements 1320, but this is not essential to the present invention. In a variant, different numbers of wafer holding elements 1320 can be used, and wafer holding elements 1320 can be configured differently. For example, an embodiment regarding a wafer holding element 1320 having curved and / or straight portions is contemplated. Alternatively, the heating assembly 1310 and / or wafer holding element 1320 may include one or more temperature sensors (not shown), which may also be connected to a controller and used to control the temperature of the substrate. have.

제어기(1350)는 가열 조립체(1310)에 연결되어 가열 조립체를 제어할 수 있으며, 제어기(1350)는 가열 조립체(1310)에 있는 하나 이상의 가열 요소(1312)(카본 와이어 히터)에 시변 전력 레벨을 제공할 수 있다. 이러한 시변 전력 레벨은 계단 함수, 경사 함수, 펄스 함수, 상수 함수, 변조 함수, 또는 이들의 조합을 포함할 수 있다. 카본 와이어 히터 및 가열 조립체의 낮은 열질량은 신속한 온도 변화를 허용한다.The controller 1350 can be connected to the heating assembly 1310 to control the heating assembly, wherein the controller 1350 provides time varying power levels to one or more heating elements 1312 (carbon wire heaters) in the heating assembly 1310. Can provide. Such time varying power levels may include step functions, gradient functions, pulse functions, constant functions, modulation functions, or combinations thereof. Low thermal mass of the carbon wire heater and heating assembly allows for rapid temperature changes.

제어기(1350)는 기판 포지셔너(1340)에 연결되어 기판 포지셔너를 제어할 수 있다. 기판 포지셔너(1340)는 기판을 이동 및/또는 배치시키도록 구성될 수 있다. 예컨대, 기판 포지셔너(1340)는 기판/웨이퍼의 수직 운동, 수평 운동 및 회전 운동 중 적어도 하나를 제공하도록 구성될 수 있다. 별법으로서, 기판 포지셔너(1340)는 다르게 구성될 수 있다.The controller 1350 may be connected to the substrate positioner 1340 to control the substrate positioner. Substrate positioner 1340 may be configured to move and / or position the substrate. For example, the substrate positioner 1340 may be configured to provide at least one of vertical, horizontal, and rotational movement of the substrate / wafer. Alternatively, substrate positioner 1340 can be configured differently.

도 14는 본 발명에 따른 싱글 웨이퍼 히터 조립체의 다른 실시예의 간략한 블록선도이다.14 is a simplified block diagram of another embodiment of a single wafer heater assembly in accordance with the present invention.

도시된 실시예에서, 싱글 웨이퍼 히터 조립체(1400)는 2개의 가열 조립체(1410 및 1420)를 포함하는 것으로 도시되어 있지만, 이는 본 발명에 있어서 필수적인 것은 아니다. 다른 실시예에서는, 다른 구조가 사용될 수 있다. 예컨대, 원형, 비원형, 평면형, 비평면형 용례에 관한 실시예가 고려된다. 도 14에는 측면 도, 평면도 및 저면도가 도시되어 있다.In the illustrated embodiment, the single wafer heater assembly 1400 is shown to include two heating assemblies 1410 and 1420, but this is not essential to the present invention. In other embodiments, other structures may be used. For example, embodiments of circular, non-circular, planar, non-planar applications are contemplated. 14 shows a side view, a top view and a bottom view.

도 14에서, 하나 이상의 카본 와이어 히터를 구비하는 하나 이상의 가열 요소로 구성되는 제1 가열 조립체(1410)는 기판(1430) 아래에 있는 것으로 도시되어 있다. 바닥측 가열 조립체(1410)는 석영 유지 장치와, 이 석영 유지 장치에 조립될 수 있고 하나 이상의 카본 와이어 히터를 구비하는 하나 이상의 가열 요소(1412)를 포함할 수 있다. 예컨대, 가열 요소(1412)는 단일 세그먼트 가열 요소와 다중 세그먼트 가열 요소 중 적어도 하나를 사용하도록 구성될 수 있다. 별법으로서, 가열 조립체(1410)는 기판 유지 장치(도시 생략)를 포함할 수 있다.In FIG. 14, a first heating assembly 1410 consisting of one or more heating elements with one or more carbon wire heaters is shown below the substrate 1430. The bottom side heating assembly 1410 may include a quartz retainer and one or more heating elements 1412 that may be assembled to the quartz retainer and have one or more carbon wire heaters. For example, the heating element 1412 may be configured to use at least one of a single segment heating element and a multi segment heating element. Alternatively, the heating assembly 1410 may include a substrate holding device (not shown).

하나 이상의 카본 와이어 히터를 구비하는 하나 이상의 가열 요소(1422)로 이루어진 제2 가열 조립체(1420)가 기판(1430) 위에 있는 것으로 도시되어 있다. 상측 가열 조립체(1420)는 석영 유지 장치를 포함할 수 있고, 하나 이상의 카본 와이어 히터를 구비하는 하나 이상의 가열 요소는 상기 석영 유지 장치에 조립될 수 있다. 예컨대, 가열 요소(1422)는 단일 세그먼트 가열 요소와 다중 세그먼트 가열 요소 중 적어도 하나를 사용하도록 구성될 수 있다. 가열 조립체(1420)는 처리 챔버(도시 생략)에 있어서 상부 조립체의 일부분일 수 있다. 화살표 1470은 가열 유닛으로부터 방출되는 복사 에너지의 방향을 나타낸다. 가열 요소마다 복사 패턴이 서로 다를 수 있고, 다양한 복사(가열) 패턴이 웨이퍼의 상면 및 하면을 가로질러 제공될 수 있다. 하나 이상의 가열 조립체를 사용하면 기판(1430)을 보다 신속하고 보다 균일하게 가열할 수 있게 된다.The second heating assembly 1420, which is made up of one or more heating elements 1422 with one or more carbon wire heaters, is shown above the substrate 1430. Upper heating assembly 1420 may include a quartz retainer, and one or more heating elements having one or more carbon wire heaters may be assembled to the quartz retainer. For example, the heating element 1422 can be configured to use at least one of a single segment heating element and a multi segment heating element. Heating assembly 1420 may be part of an upper assembly in a processing chamber (not shown). Arrow 1470 indicates the direction of radiant energy emitted from the heating unit. The radiation pattern may differ from one heating element to another, and various radiation (heating) patterns may be provided across the top and bottom surfaces of the wafer. The use of one or more heating assemblies allows for faster and more uniform heating of the substrate 1430.

웨이퍼 홀더(1440)는 기판을 상기 2개의 가열 조립체 사이에서 배치 및/또는 유지하는 데 사용될 수 있다. 별법으로서, 기판은 하측 가열 조립체(1410) 상에 배치될 수 있다.Wafer holder 1440 may be used to position and / or hold a substrate between the two heating assemblies. Alternatively, the substrate may be disposed on the lower heating assembly 1410.

제어기(1450A)는 하측 가열 조립체(1410), 상측 가열 조립체(1420) 및 웨이퍼 홀더(1440)에 연결되어 이들을 제어하는 데 사용될 수 있다. 기판의 바닥에 음영이 형성되는 것을 최소화하거나 및/또는 배제하도록, 상기 웨이퍼 홀더(1440)를 구성할 수 있다. 기판의 위치를 설정하고, 상측 가열 조립체 및 하측 가열 조립체에 있는 카본 와이어 히터 각각에 독립적으로 전력을 제공하는 데, 제어기(1450)를 사용할 수 있다. 별법으로서, 상측 가열 조립체(1410), 하측 가열 조립체(1420), 및/또는 웨이퍼 홀더(1440)는 하나 이상의 온도 센서(도시 생략)를 포함할 수 있으며, 이 온도 센서도 또한 제어기에 연결되어, 상측 가열 조립체, 하측 가열 조립체, 및/또는 기판의 온도를 제어하는 데 사용될 수 있다.The controller 1450A can be connected to and used to control the lower heating assembly 1410, the upper heating assembly 1420, and the wafer holder 1440. The wafer holder 1440 may be configured to minimize and / or eliminate shading at the bottom of the substrate. The controller 1450 can be used to position the substrate and provide power independently to each of the carbon wire heaters in the upper and lower heating assemblies. Alternatively, upper heating assembly 1410, lower heating assembly 1420, and / or wafer holder 1440 may include one or more temperature sensors (not shown), which are also connected to a controller, It can be used to control the temperature of the upper heating assembly, the lower heating assembly, and / or the substrate.

제어기(1450)는 상기 가열 조립체에 있는 하나 이상의 카본 와이어 히터에 시변 전력 레벨을 제공할 수 있다. 이러한 시변 전력 레벨은 계단 함수, 경사 함수, 펄스 함수, 상수 함수, 변조 함수, 또는 이들의 조합을 포함할 수 있다. 카본 와이어 히터 및 가열 조립체의 낮은 열질량은 신속한 온도 변화를 허용한다.Controller 1450 can provide time varying power levels to one or more carbon wire heaters in the heating assembly. Such time varying power levels may include step functions, gradient functions, pulse functions, constant functions, modulation functions, or combinations thereof. Low thermal mass of the carbon wire heater and heating assembly allows for rapid temperature changes.

예컨대, 가열 요소는 석영관 및/또는 석영 유지 장치 내에 봉입될 수 있는 하나 이상의 카본 와이어 히터를 포함할 수 있다. 각각의 부분은 복수 개의 선형 가열 요소를 구비하는 것으로 도시되어 있지만, 이는 본 발명에 있어서 필수적인 것은 아니다. 변형례에서는, 다른 구조를 취할 수 있다. 예컨대, 곡선부 및/또는 직선부를 갖는 가열 요소에 관한 실시예가 고려된다. 또한, 원형 웨이퍼가 도시되 어 있지만, 이는 본 발명에 있어서 필수적인 것이 아니다. 별법으로서, 비원형 웨이퍼 및/또는 기판이 수납될 수 있다.For example, the heating element may comprise one or more carbon wire heaters that may be enclosed in a quartz tube and / or quartz retainer. Each portion is shown with a plurality of linear heating elements, but this is not essential to the invention. In a modification, another structure can be taken. For example, an embodiment of a heating element with curved and / or straight portions is contemplated. In addition, although a circular wafer is shown, this is not essential to the present invention. Alternatively, non-circular wafers and / or substrates may be stored.

도 15는 본 발명에 따른 싱글 웨이퍼 히터 조립체의 다른 실시예의 간략한 블록선도이다. 도 15에는 측면과, 상면/저면이 도시되어 있다.15 is a simplified block diagram of another embodiment of a single wafer heater assembly in accordance with the present invention. 15 shows the side and top / bottom surfaces.

도시된 실시예에서, 단일 가열 조립체(1510)는 복수 개의 U자형 가열 요소(1520)를 구비하는 것으로 도시되어 있지만, 이는 본 발명에 있어서 필수적인 것은 아니다. 다른 실시예에서는, 다른 구조가 사용될 수 있다. 예컨대, 다른 개수의 가열 요소 및/또는 다른 형상이 사용될 수 있다. U자형 가열 요소는 하나 이상의 카본 와이어 히터를 구비하는 하나 이상의 가열 요소를 각각 포함할 수 있다. 카본 와이어 히터는 석영관 및/또는 석영 유지 장치에 봉입될 수 있다. 또한, 원형 웨이퍼가 도시되어 있지만, 이는 본 발명에 있어서 필수적인 것이 아니다. 별법으로서, 비원형 웨이퍼 및/또는 기판이 수납될 수 있다.In the illustrated embodiment, a single heating assembly 1510 is shown having a plurality of U-shaped heating elements 1520, but this is not essential to the present invention. In other embodiments, other structures may be used. For example, other numbers of heating elements and / or other shapes may be used. The U-shaped heating element may each comprise one or more heating elements with one or more carbon wire heaters. Carbon wire heaters may be enclosed in quartz tubes and / or quartz retainers. In addition, although a circular wafer is shown, this is not essential to the present invention. Alternatively, non-circular wafers and / or substrates may be stored.

카본 와이어 히터를 U자형 구조로 구부려서, 필요한 가열 요소의 개수를 줄일 수 있다. 일부 경우에, 관형 히터가 디스크형 히터보다 더 저렴하게 제조, 수리할 수 있고, 더 나은 융통성을 제공할 수 있다.By bending the carbon wire heater in a U-shaped structure, the number of heating elements required can be reduced. In some cases, tubular heaters may be cheaper to manufacture and repair than disc-shaped heaters, and may provide better flexibility.

예컨대, 가열 요소(1520)는 단일 세그먼트 가열 요소 및 다중 세그먼트 가열 요소 중 적어도 하나를 사용하도록 구성될 수 있다. 가열 요소마다 복사 패턴이 서로 다를 수 있고, 다양한 복사(가열) 패턴이 웨이퍼의 상면 및 하면을 가로질러 제공될 수 있다.For example, the heating element 1520 may be configured to use at least one of a single segment heating element and a multi segment heating element. The radiation pattern may differ from one heating element to another, and various radiation (heating) patterns may be provided across the top and bottom surfaces of the wafer.

하나 이상의 가열 조립체를 사용하면 기판(1530)을 보다 신속하고 보다 균일 하게 가열할 수 있게 된다. 웨이퍼 홀더(1540)는 기판을 상기 U자형 가열 조립체 내에 배치 및/또는 유지하는 데 사용될 수 있다. 별법으로서, 기판은 가열 조립체의 하부 상에 배치될 수 있다.The use of one or more heating assemblies allows for faster and more uniform heating of the substrate 1530. Wafer holder 1540 may be used to place and / or hold a substrate within the U-shaped heating assembly. Alternatively, the substrate may be disposed on the bottom of the heating assembly.

제어기(1550)는 가열 요소(1520) 및 웨이퍼 홀더(1540)에 연결되어 이들을 제어하는 데 사용될 수 있다. 기판의 바닥에 음영이 형성되는 것을 최소화하거나 및/또는 배제하도록, 상기 웨이퍼 홀더(1540)를 구성할 수 있다. 기판의 위치를 설정하고, 가열 조립체에 있는 카본 와이어 히터 각각에 독립적으로 전력을 제공하는 데, 제어기(1550)를 사용할 수 있다. 별법으로서, 가열 요소(1520), 및/또는 웨이퍼 홀더(1540)는 하나 이상의 온도 센서(도시 생략)를 포함할 수 있으며, 이 온도 센서도 또한 제어기에 연결되어, 가열 조립체 및/또는 기판의 온도를 제어하는 데 사용될 수 있다.Controller 1550 may be connected to and used to control heating element 1520 and wafer holder 1540. The wafer holder 1540 may be configured to minimize and / or eliminate shading at the bottom of the substrate. The controller 1550 can be used to position the substrate and provide power independently to each of the carbon wire heaters in the heating assembly. Alternatively, the heating element 1520, and / or the wafer holder 1540 may include one or more temperature sensors (not shown), which are also connected to a controller, such that the temperature of the heating assembly and / or substrate It can be used to control.

제어기(1550)는 상기 가열 조립체에 있는 하나 이상의 카본 와이어 히터에 시변 전력 레벨을 제공할 수 있다. 이러한 시변 전력 레벨은 계단 함수, 경사 함수, 펄스 함수, 상수 함수, 변조 함수, 또는 이들의 조합을 포함할 수 있다. 카본 와이어 히터 및 가열 조립체의 낮은 열질량은 신속한 온도 변화를 허용한다.Controller 1550 may provide time varying power levels to one or more carbon wire heaters in the heating assembly. Such time varying power levels may include step functions, gradient functions, pulse functions, constant functions, modulation functions, or combinations thereof. Low thermal mass of the carbon wire heater and heating assembly allows for rapid temperature changes.

본원에 기술된 가열 요소는 화학적 순도가 높고, 매우 낮은 레벨의 금속 오염을 제공하므로, 카본 와이어 히터는 처리중 공정 가스와의 공정 반응 또는 에칭으로부터 히터를 보호하기 위한 추가적인 보호층 또는 차폐물 없이도, 처리 챔버 내에 삽입될 수 있다. 추가적인 차폐물 및 보호층은 히터의 성능을 저하시킬 수 있다.Since the heating elements described herein have high chemical purity and provide very low levels of metal contamination, the carbon wire heaters can be treated without additional protective layers or shields to protect the heaters from process reactions or etching with process gases during processing. It can be inserted into the chamber. Additional shields and protective layers can degrade the heater's performance.

본원에 기술된 웨이퍼 가열 조립체는 공정 성능을 향상시킬 수 있으며, 예컨대 웨이퍼 내에 있는 복수 개의 가열 영역을 독립적으로 열 제어함으로써 웨이퍼의 뒤틀림이 제로 수준까지 줄어들면서 온도의 급상승(ramp-up)이 보다 빨라질 수 있게 되고, 카본 와이어 히터와 연관된 낮은 열질량에 의해 온도 응답이 빨라짐으로써 변화에 대하여 보다 신속하게 응답할 수 있게 된다.The wafer heating assemblies described herein can improve process performance, for example, by independently thermally controlling a plurality of heating zones within the wafer, resulting in faster ramp-up of temperature while reducing warp to zero levels. The lower thermal mass associated with the carbon wire heater allows for a faster temperature response, which allows for faster response to changes.

본원에 기술된 웨이퍼 가열 조립체는 펄스식 열처리에 사용될 수 있는데, 이러한 펄스식 열처리는 카본 와이어 히터 요소와 연관된 낮은 열질량에 의해 온도 응답이 빨라짐으로써 가능해지는 것이고, 이러한 펄스식 열처리를 통하여 통상의 처리에 비해 층간 박막 형성에 있어서 보다 나은 박막 제어를 할 수 있게 된다.The wafer heating assembly described herein can be used for pulsed heat treatment, which is made possible by the rapid response of temperature by the low thermal mass associated with the carbon wire heater element, and through such pulsed heat treatment, conventional processing Compared to the above, it is possible to perform better thin film control in forming interlayer thin film.

본 발명에 따르면, 온도 조절이 향상된다. 본 발명은 수직 방향의 지향성이 증대된 히터 요소를 사용하여 홀더 상의 웨이퍼/기판을 원하는 상태로 가열할 수 있는 가열 유닛을 제공한다. 본원에 제안된 가열 유닛의 구조에 의하면, 통상 250 ℃ 이상의 공정 온도에서 웨이퍼 영역을 가로질러 온도 구배를 제어할 수 있게 된다. 한 가지 구성에서, 서로로부터 격리될 수 있는 독립적인 히터 요소가 허용하는 웨이퍼를 가로지르는 온도 구배는, 통상의 시스템에서 전형적으로 사용되는 고체 블록 히터에 의해 달성될 수 있는 상기 온도 구배보다 크다. 다른 실시예에서, 비평면형 구조가 실시될 수 있다. 예컨대, 히터 요소는 웨이퍼 에지의 옆에 및/또는 위에 위치하도록 구성되어, 챔버 벽으로부터의 방출 손실 및 잠열 손실을 보상할 수 있다. 히터 요소를 웨이퍼의 표면 위에서 들어올리면, 웨이퍼를 가로지르는 온도 구배에 대한 제어가 향상되며, 그에 따라 균일도가 향상된다.According to the present invention, temperature control is improved. The present invention provides a heating unit capable of heating a wafer / substrate on a holder to a desired state by using a heater element with increased directivity in the vertical direction. The structure of the heating unit proposed herein makes it possible to control the temperature gradient across the wafer region, typically at a process temperature of 250 ° C. or higher. In one configuration, the temperature gradient across the wafer that is allowed by independent heater elements that can be isolated from each other is greater than the temperature gradient that can be achieved by solid block heaters typically used in conventional systems. In other embodiments, non-planar structures can be implemented. For example, the heater element may be configured to be positioned next to and / or above the wafer edge, to compensate for the emission loss and latent heat loss from the chamber wall. Lifting the heater element above the surface of the wafer improves control over the temperature gradient across the wafer, thereby improving uniformity.

본 발명의 특정 실시예만을 상세히 기술하였지만, 당업자는 본 발명의 신규한 교시 및 장점을 실질적으로 벗어나지 않으면서도 상기 실시예에 대한 많은 변형이 가능하다는 것을 쉽게 알 것이다. 따라서, 이러한 모든 변형은 본 발명의 범위 내에 포함되는 것으로 고려된다.Although only specific embodiments of the invention have been described in detail, those skilled in the art will readily appreciate that many modifications to the embodiments are possible without substantially departing from the novel teachings and advantages of the invention. Accordingly, all such modifications are considered to be included within the scope of this invention.

Claims (45)

복수 개의 리세스를 구비하고, 웨이퍼를 지지하도록 구성되어 있는 웨이퍼 지지부를 구비하는 유지 장치와,A holding device having a plurality of recesses and having a wafer support portion configured to support a wafer; 복수 개의 가열 유닛으로서, 이들 가열 유닛 중 적어도 하나의 가열 유닛은, 관과 접속 단자를 포함하며, 상기 관은 탄소 섬유 다발로 이루어지고 이 관 내에 봉입되는 카본 와이어 히터를 구비하고, 각 관은 상기 유지 장치의 리세스에 장착되며, 상기 접속 단자는 상기 카본 와이어 히터의 양단부에 연결되는 것인 복수 개의 가열 유닛, 그리고A plurality of heating units, wherein at least one of the heating units comprises a tube and a connection terminal, the tube having a carbon wire heater made of carbon fiber bundles and enclosed in the tube, wherein each tube is A plurality of heating units mounted to the recesses of the holding device, wherein the connection terminals are connected to both ends of the carbon wire heater; 상기 유지 장치에 연결되고, 웨이퍼 가열 조립체를 처리 챔버에 장착하도록 구성되어 있는 장착 조립체A mounting assembly coupled to the holding device and configured to mount a wafer heating assembly to the processing chamber 를 포함하는 웨이퍼 가열 조립체.Wafer heating assembly comprising a. 제1항에 있어서, 상기 가열 유닛 중 적어도 하나는 상기 유지 장치의 실질적으로 직선형인 리세스에 장착되는 실질적으로 직선형인 관을 포함하는 것인 웨이퍼 가열 조립체.The wafer heating assembly of claim 1, wherein at least one of the heating units comprises a substantially straight tube mounted in a substantially straight recess of the retaining device. 제1항에 있어서, 상기 가열 유닛 중 적어도 하나는 상기 유지 장치의 곡선형 리세스에 장착되는 곡선형 관을 포함하는 것인 웨이퍼 가열 조립체.The wafer heating assembly of claim 1, wherein at least one of the heating units comprises a curved tube mounted to a curved recess of the holding device. 제1항에 있어서, 상기 가열 유닛 중 적어도 하나는 상기 유지 장치의 원형 리세스에 장착되는 원형 관을 포함하는 것인 웨이퍼 가열 조립체.The wafer heating assembly of claim 1, wherein at least one of the heating units comprises a circular tube mounted in a circular recess of the retaining device. 제1항에 있어서, 상기 가열 유닛 중 적어도 하나는 상기 유지 장치의 정사각형 리세스에 장착되는 정사각형 관을 포함하는 것인 웨이퍼 가열 조립체.The wafer heating assembly of claim 1, wherein at least one of the heating units comprises a square tube mounted in a square recess of the retaining device. 제1항에 있어서, 상기 가열 유닛 중 적어도 하나는 상기 유지 장치의 직사각형 리세스에 장착되는 직사각형 관을 포함하는 것인 웨이퍼 가열 조립체.The wafer heating assembly of claim 1, wherein at least one of the heating units comprises a rectangular tube mounted in a rectangular recess of the retaining device. 제1항에 있어서, 상기 가열 유닛 중 적어도 하나는 상기 유지 장치의 타원형 리세스에 장착되는 타원형 관을 포함하는 것인 웨이퍼 가열 조립체.The wafer heating assembly of claim 1, wherein at least one of the heating units comprises an elliptical tube mounted in an elliptical recess of the retaining device. 제1항에 있어서, 상기 가열 유닛 중 적어도 하나는 상기 유지 장치의 리세스에 장착되는 U자형 관을 포함하는 것인 웨이퍼 가열 조립체.The wafer heating assembly of claim 1, wherein at least one of the heating units comprises a U-shaped tube mounted to a recess of the holding device. 제8항에 있어서, 상기 리세스는 U자형인 것인 웨이퍼 가열 조립체.The wafer heating assembly of claim 8, wherein the recess is U-shaped. 제1항에 있어서, 상기 가열 유닛 중 적어도 하나는 복수 개의 세그먼트를 포함하고, 각 세그먼트는 탄소 섬유 다발로 이루어진 카본 와이어 히터가 내부에 봉입되어 있는 실질적으로 직선형인 관과, 각 카본 와이어 히터의 각 단부에 연결되 는 접속 단자를 포함하며, 상기 실질적으로 직선형인 관은 각각 상기 유지 장치의 실질적으로 직선형인 리세스에 장착되는 것인 웨이퍼 가열 조립체.The method of claim 1, wherein at least one of the heating units includes a plurality of segments, each segment having a substantially straight tube enclosed therein with a carbon wire heater made of carbon fiber bundles, the angle of each carbon wire heater A connection terminal connected to an end, wherein said substantially straight tubes are each mounted in a substantially straight recess of said holding device. 제1항에 있어서, 상기 가열 유닛 중 적어도 하나는 복수 개의 세그먼트를 포함하고, 각 세그먼트는 탄소 섬유 다발로 이루어진 카본 와이어 히터가 내부에 봉입되어 있는 실질적으로 직선형인 관과, 각 카본 와이어 히터의 각 단부에 연결되는 접속 단자를 포함하며, 상기 복수 개의 세그먼트는 상기 유지 장치의 정사각형 리세스에 장착되는 것인 웨이퍼 가열 조립체.The method of claim 1, wherein at least one of the heating units includes a plurality of segments, each segment having a substantially straight tube enclosed therein with a carbon wire heater made of carbon fiber bundles, the angle of each carbon wire heater And a connecting terminal connected to an end, wherein the plurality of segments are mounted in a square recess of the retaining device. 제1항에 있어서, 상기 가열 유닛 중 적어도 하나는 복수 개의 세그먼트를 포함하고, 각 세그먼트는 탄소 섬유 다발로 이루어진 카본 와이어 히터가 내부에 봉입되어 있는 실질적으로 직선형인 관과, 각 카본 와이어 히터의 각 단부에 연결되는 접속 단자를 포함하며, 상기 복수 개의 세그먼트는 상기 유지 장치의 직사각형 리세스에 장착되는 것인 웨이퍼 가열 조립체.The method of claim 1, wherein at least one of the heating units includes a plurality of segments, each segment having a substantially straight tube enclosed therein with a carbon wire heater made of carbon fiber bundles, the angle of each carbon wire heater A connection terminal connected to an end, wherein the plurality of segments are mounted in a rectangular recess of the retaining device. 제1항에 있어서, 상기 가열 유닛 중 적어도 하나는 복수 개의 세그먼트를 포함하고, 각 세그먼트는 탄소 섬유 다발로 이루어진 카본 와이어 히터가 내부에 봉입되어 있는 곡선형 관과, 각 카본 와이어 히터의 각 단부에 연결되는 접속 단자를 포함하며, 상기 복수 개의 세그먼트는 상기 유지 장치의 곡선형 리세스에 장착되는 것인 웨이퍼 가열 조립체.2. A curved tube according to claim 1, wherein at least one of the heating units includes a plurality of segments, each segment having a curved tube enclosed therein with a carbon wire heater made of carbon fiber bundles, and at each end of each carbon wire heater. And a connecting terminal to which the plurality of segments are mounted in a curved recess of the retaining device. 제13항에 있어서, 상기 곡선형 리세스는 원형인 것인 웨이퍼 가열 조립체.The wafer heating assembly of claim 13, wherein the curved recess is circular. 제13항에 있어서, 상기 곡선형 리세스는 타원형인 것인 웨이퍼 가열 조립체.The wafer heating assembly of claim 13, wherein the curved recess is elliptical. 제1항에 있어서, 상기 유지 장치에 연결된 열 장벽과, 상기 열 장벽에 연결된 냉각 유닛을 더 포함하는 것인 웨이퍼 가열 조립체.The wafer heating assembly of claim 1, further comprising a heat barrier connected to the holding device and a cooling unit connected to the heat barrier. 제1항에 있어서, 상기 유지 장치에 연결된 온도 센서를 더 포함하는 것인 웨이퍼 가열 조립체.The wafer heating assembly of claim 1, further comprising a temperature sensor coupled to the holding device. 제1항에 있어서, 상기 가열 유닛은 관의 각 단부에 연결되는 천이 요소와, 상기 천이 요소에 연결되는 밀봉 말단부를 더 포함하고, 각 접속 단자는 적어도 하나의 밀봉 말단부에 연결되는 것인 웨이퍼 가열 조립체.The wafer heating of claim 1, wherein the heating unit further comprises a transition element connected to each end of the tube, and a sealing end connected to the transition element, each connecting terminal connected to at least one sealing end. Assembly. 제18항에 있어서, 상기 관 및 상기 천이 요소는 소정 재료의 단일 부재로 형성되는 것인 웨이퍼 가열 조립체.19. The wafer heating assembly of claim 18, wherein the tube and the transition element are formed from a single piece of material. 제19항에 있어서, 상기 소정 재료의 단일 부재는 석영 유리관을 포함하는 것인 웨이퍼 가열 조립체.20. The wafer heating assembly of claim 19, wherein the single member of predetermined material comprises a quartz glass tube. 제18항에 있어서, 상기 관은 소정 재료의 제1 부재로 형성되고, 상기 천이 요소는 소정 재료의 제2 부재로 형성되는 것인 웨이퍼 가열 조립체.19. The wafer heating assembly of claim 18, wherein the tube is formed from a first member of a predetermined material and the transition element is formed from a second member of a predetermined material. 제21항에 있어서, 상기 소정 재료의 제1 부재와 상기 소정 재료의 제2 부재 중 적어도 하나 또는 양자 모두는 석영 유리관을 포함하는 것인 웨이퍼 가열 조립체.The wafer heating assembly of claim 21, wherein at least one or both of the first member of the given material and the second member of the given material comprise a quartz glass tube. 제18항에 있어서, 상기 밀봉 말단부는 상기 천이 요소의 단부를 밀봉하는 수단을 포함하는 것인 웨이퍼 가열 조립체.19. The wafer heating assembly of claim 18, wherein the sealing distal end comprises means for sealing the end of the transition element. 제18항에 있어서, 상기 밀봉 말단부는 상기 관의 단부를 밀봉하는 수단을 포함하는 것인 웨이퍼 가열 조립체.19. The wafer heating assembly of claim 18, wherein the sealing distal end comprises means for sealing the end of the tube. 제18항에 있어서, 상기 가열 유닛은 카본 와이어 히터의 양단부에 연결되는 단말점 요소를 더 포함하고, 상기 단말점 요소는 압축 와이어 카본 부재를 포함하며, 상기 카본 와이어 히터는 상기 압축 와이어 카본 부재에 매설되는 것인 웨이퍼 가열 조립체.19. The apparatus of claim 18, wherein the heating unit further comprises an end point element connected to both ends of the carbon wire heater, the end point element comprising a compressed wire carbon member, wherein the carbon wire heater is connected to the compressed wire carbon member. Embedded in the wafer heating assembly. 제1항에 있어서, 상기 카본 와이어 히터는 카본 와이어를 포함하고, 상기 카 본 와이어는 적어도 하나의 탄소 섬유 다발을 포함하며, 각 탄소 섬유 다발은 직경이 각각 5 내지 15 ㎛인 적어도 300개의 탄소 섬유를 포함하는 것인 웨이퍼 가열 조립체.The carbon wire heater of claim 1, wherein the carbon wire heater comprises a carbon wire, the carbon wire comprises at least one carbon fiber bundle, each carbon fiber bundle having at least 300 carbon fibers each having a diameter of 5-15 μm. Wafer heating assembly comprising a. 제26항에 있어서, 상기 카본 와이어는 표면 보풀을 더 포함하는 것인 웨이퍼 가열 조립체.27. The wafer heating assembly of claim 26, wherein the carbon wire further comprises surface fluff. 제26항에 있어서, 상기 탄소 섬유에 있어서 회분 함량은 10 ppm 미만인 것인 웨이퍼 가열 조립체.27. The wafer heating assembly of claim 26, wherein the ash content of the carbon fibers is less than 10 ppm. 제1항에 있어서, 상기 유지 장치에 연결되는 커버를 더 포함하는 것인 웨이퍼 가열 조립체.The wafer heating assembly of claim 1, further comprising a cover connected to the holding device. 제29항에 있어서, 상기 웨이퍼 지지부는 상기 커버 상에 마련된 복수 개의 융기부를 포함하는 것인 웨이퍼 가열 조립체.30. The wafer heating assembly of claim 29, wherein the wafer support comprises a plurality of ridges provided on the cover. 제30항에 있어서, 적어도 하나의 융기부는 온도 센서를 포함하는 것인 웨이퍼 가열 조립체.31. The wafer heating assembly of claim 30, wherein the at least one ridge comprises a temperature sensor. 제1항에 있어서, 상기 웨이퍼 지지부는 상기 유지 장치 상에 마련된 복수 개 의 융기부를 포함하는 것인 웨이퍼 가열 조립체.The wafer heating assembly of claim 1, wherein the wafer support comprises a plurality of ridges provided on the holding device. 제32항에 있어서, 적어도 하나의 융기부는 온도 센서를 포함하는 것인 웨이퍼 가열 조립체.33. The wafer heating assembly of claim 32, wherein the at least one ridge comprises a temperature sensor. 제1항에 있어서, 상기 웨이퍼 지지부는 적어도 3개의 지지점을 구비하는 석영 웨이퍼 홀더를 포함하는 것인 웨이퍼 가열 조립체.The wafer heating assembly of claim 1, wherein the wafer support comprises a quartz wafer holder having at least three support points. 제1항에 있어서, 추가적인 가열 유닛으로서, 추가적인 관과 접속 단자를 포함하며, 상기 추가적인 관은 탄소 섬유 다발로 이루어지고 이 추가적인 관 내에 봉입되는 카본 와이어 히터를 구비하고, 상기 접속 단자는 상기 추가적인 가열 유닛의 카본 와이어 히터의 양단부에 연결되는 것인 추가적인 가열 유닛과,2. A further heating unit as recited in claim 1, further comprising additional tubes and connecting terminals, said additional tubes comprising a carbon wire heater made of carbon fiber bundles and enclosed in said additional tubes, said connecting terminals being further heated. Additional heating units connected to both ends of the carbon wire heater of the unit, 상기 유지 장치에 연결되고, 상기 추가적인 가열 유닛을 상기 웨이퍼 지지부 위에 배치하도록 구성되어 있는 추가적인 장착 조립체를 더 포함하는 것인 웨이퍼 가열 조립체.And an additional mounting assembly coupled to the holding device and configured to place the additional heating unit over the wafer support. 제1항에 있어서, 추가적인 가열 유닛으로서, 추가적인 관과 접속 단자를 포함하며, 상기 추가적인 관은 탄소 섬유 다발로 이루어지고 이 추가적인 관 내에 봉입되는 카본 와이어 히터를 구비하고, 상기 접속 단자는 상기 추가적인 가열 유닛의 카본 와이어 히터의 양단부에 연결되는 것인 추가적인 가열 유닛과,2. A further heating unit as recited in claim 1, further comprising additional tubes and connecting terminals, said additional tubes comprising a carbon wire heater made of carbon fiber bundles and enclosed in said additional tubes, said connecting terminals being further heated. Additional heating units connected to both ends of the carbon wire heater of the unit, 상기 유지 장치에 연결되고, 상기 추가적인 가열 유닛을 실질적으로 상기 웨이퍼 지지부 주위에 배치하도록 구성되어 있는 추가적인 장착 조립체를 더 포함하는 것인 웨이퍼 가열 조립체.And an additional mounting assembly coupled to the holding device and configured to place the additional heating unit substantially around the wafer support. 제1항에 있어서, 추가적인 가열 유닛으로서, 추가적인 관과 접속 단자를 포함하며, 상기 추가적인 관은 탄소 섬유 다발로 이루어지고 이 추가적인 관 내에 봉입되는 카본 와이어 히터를 구비하고, 상기 접속 단자는 상기 추가적인 가열 유닛의 카본 와이어 히터의 양단부에 연결되는 것인 추가적인 가열 유닛과,2. A further heating unit as recited in claim 1, further comprising additional tubes and connecting terminals, said additional tubes comprising a carbon wire heater made of carbon fiber bundles and enclosed in said additional tubes, said connecting terminals being further heated. Additional heating units connected to both ends of the carbon wire heater of the unit, 상기 추가적인 관에 연결되는 추가적인 유지 장치, 그리고An additional retaining device connected to the additional pipe, and 상기 추가적인 유지 장치에 연결되고, 상기 추가적인 가열 유닛을 상기 웨이퍼 지지부 위에 배치하도록 구성되어 있는 추가적인 장착 조립체를 더 포함하는 것인 웨이퍼 가열 조립체.And an additional mounting assembly coupled to the additional holding device and configured to place the additional heating unit over the wafer support. 제1항에 있어서, 추가적인 가열 유닛으로서, 추가적인 관과 접속 단자를 포함하며, 상기 추가적인 관은 탄소 섬유 다발로 이루어지고 이 추가적인 관 내에 봉입되는 카본 와이어 히터를 구비하고, 상기 접속 단자는 상기 추가적인 가열 유닛의 카본 와이어 히터의 양단부에 연결되는 것인 추가적인 가열 유닛과,2. A further heating unit as recited in claim 1, further comprising additional tubes and connecting terminals, said additional tubes comprising a carbon wire heater made of carbon fiber bundles and enclosed in said additional tubes, said connecting terminals being further heated. Additional heating units connected to both ends of the carbon wire heater of the unit, 상기 추가적인 관에 연결되는 추가적인 유지 장치, 그리고An additional retaining device connected to the additional pipe, and 상기 추가적인 유지 장치에 연결되고, 상기 추가적인 가열 유닛을 실질적으로 상기 웨이퍼 지지부 주위에 배치하도록 구성되어 있는 추가적인 장착 조립체를 더 포함하는 것인 웨이퍼 가열 조립체.And an additional mounting assembly coupled to the additional holding device and configured to place the additional heating unit substantially around the wafer support. 제1항에 있어서, 복수 개의 제2 리세스를 구비하고, 제2 웨이퍼를 지지하도록 구성된 제2 웨이퍼 지지부를 구비하는 제2 유지 장치와,2. The second holding apparatus according to claim 1, further comprising: a second wafer support portion having a plurality of second recesses, the second wafer support portion configured to support the second wafer; 제2 복수 개의 가열 유닛으로서, 이들 제2 복수 개의 가열 유닛 중 적어도 하나는, 관과 접속 단자를 포함하며, 상기 관은 탄소 섬유 다발로 이루어지고 이 관 내에 봉입되는 카본 와이어 히터를 구비하고, 상기 관은 각각 제2 유지 장치의 리세스에 장착되며, 상기 접속 단자는 제2 복수 개의 가열 유닛의 카본 와이어 히터의 양단부에 연결되는 것인 제2 복수 개의 가열 유닛과,A second plurality of heating units, at least one of the second plurality of heating units comprising a tube and a connecting terminal, the tube comprising a carbon wire heater made of a bundle of carbon fibers and enclosed in the tube; The pipes are respectively mounted in the recesses of the second holding device, the connecting terminals being connected to both ends of the carbon wire heaters of the second plurality of heating units; 상기 제2 유지 장치에 연결되고, 제2 유지 장치를 처리 챔버에 장착하도록 구성되어 있는 제2 장착 조립체를 더 포함하는 것인 웨이퍼 가열 조립체.And a second mounting assembly coupled to the second holding device and configured to mount the second holding device to the processing chamber. 처리 챔버의 기판 홀더 상에 기판을 배치하는 단계로서, 상기 기판 홀더는 복수 개의 가열 유닛을 포함하고, 각 가열 유닛은 관과 접속 단자를 포함하며, 상기 관은 탄소 섬유 다발로 이루어지고 이 관 내에 봉입되는 카본 와이어 히터를 구비하고, 각 관은 상기 기판 홀더의 리세스에 장착되며, 상기 접속 단자는 상기 카본 와이어 히터의 양단부에 연결되어 있는 것인 기판 배치 단계와,Disposing a substrate on a substrate holder of a processing chamber, the substrate holder comprising a plurality of heating units, each heating unit comprising a tube and a connecting terminal, the tube consisting of a bundle of carbon fiber and within the tube A substrate arrangement step of having a sealed carbon wire heater, wherein each tube is mounted to a recess of the substrate holder, and the connection terminal is connected to both ends of the carbon wire heater; 기판에 급속 열처리(Rapid Thermal Process)를 수행하는 단계Performing a rapid thermal process on the substrate 를 포함하고, 상기 접속 단자의 각각에 DC 전원이 연결되어 있으며, DC 전력이 상기 카본 와이어 히터에 신속하게 인가되는 것인 기판 처리 방법.And a DC power source connected to each of the connection terminals, wherein the DC power is quickly applied to the carbon wire heater. 반도체 웨이퍼를 지지하기 위한 지지 수단과,Support means for supporting a semiconductor wafer, 상기 반도체 웨이퍼의 서로 다른 영역을 독립적으로 가열하기 위한 가열 수단, 그리고Heating means for independently heating different regions of the semiconductor wafer, and 상기 지지 수단에 연결되어 있고, 웨이퍼 가열 조립체를 처리 챔버에 장착하기 위한 수단Means for mounting a wafer heating assembly to the processing chamber, the means being connected to the support means 을 포함하는 웨이퍼 가열 조립체.Wafer heating assembly comprising a. 복수 개의 카본 와이어 히터 구역을 독립적인 온도 센서에 의해 독립적으로 제어하여, 다중 구역 싱글 웨이퍼 히터 시스템에 각 독립 구역에 대한 신속 응답을 제공하는 단계를 포함하고, 상기 제어는 빠른 온도 변화로 인한 웨이퍼의 뒤틀림을 최소화하도록 조정 가능한 것인 기판 처리 방법.Independently controlling the plurality of carbon wire heater zones by independent temperature sensors, thereby providing a quick response for each independent zone to a multi-zone single wafer heater system, the control of the wafer due to rapid temperature changes. A substrate processing method that is adjustable to minimize distortion. 카본 와이어 히터 요소를 기판 지지부로서 사용하여, 열질량을 최소화하고, 신속한 열 사이클의 증분에 의한 제어를 처리할 수 있을 정도로 충분히 신속한 온도 변화를 허용하는 것인 기판 처리 방법.Using a carbon wire heater element as a substrate support to minimize thermal mass and allow a temperature change fast enough to handle control by rapid thermal cycle increments. 기판의 양면 상에서 카본 와이어 히터 요소를 사용하는 단계를 포함하고, 상기 히터 요소는 복수 개의 독립 히터 영역을 구비하며, 각 히터 요소와 하나 이상의 히터 영역으로부터의 온도 응답이 신속한 것인 기판 처리 방법.Using a carbon wire heater element on both sides of the substrate, the heater element having a plurality of independent heater regions, wherein the temperature response from each heater element and at least one heater region is rapid. 제1항에 있어서, 온도 응답 속도를 증가시키기 위해 카본 와이어 히터 요소에 상응하는 교호식 냉각 루프를 더 포함하고, 이 냉각 루프는 냉각용 가스 또는 그 밖의 열적 친화성이 있는 냉각제나 유체를 유동시키도록 구성되어 있는 것인 웨이퍼 가열 조립체.10. The system of claim 1, further comprising an alternate cooling loop corresponding to a carbon wire heater element for increasing the temperature response speed, said cooling loop flowing a cooling gas or other thermally compatible coolant or fluid. And a wafer heating assembly.
KR1020067016437A 2004-03-31 2005-02-01 Wafer heater assembly KR20070008569A (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/813,119 US20050217799A1 (en) 2004-03-31 2004-03-31 Wafer heater assembly
US10/813,119 2004-03-31

Publications (1)

Publication Number Publication Date
KR20070008569A true KR20070008569A (en) 2007-01-17

Family

ID=35052985

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020067016437A KR20070008569A (en) 2004-03-31 2005-02-01 Wafer heater assembly

Country Status (6)

Country Link
US (1) US20050217799A1 (en)
JP (1) JP2007537582A (en)
KR (1) KR20070008569A (en)
CN (1) CN101023197A (en)
TW (1) TWI305656B (en)
WO (1) WO2005103333A2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170100666A (en) * 2015-02-25 2017-09-04 가부시키가이샤 히다치 고쿠사이 덴키 Substrate processing apparatus, heater, and manufacturing method of semiconductor device

Families Citing this family (60)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201041455A (en) * 2002-12-16 2010-11-16 Japan Science & Tech Agency Plasma generation device, plasma control method, and substrate manufacturing method
GB0410743D0 (en) * 2004-05-14 2004-06-16 Vivactiss Bvba Holder for wafers
JP4723871B2 (en) * 2004-06-23 2011-07-13 株式会社日立ハイテクノロジーズ Dry etching equipment
JP2006222214A (en) * 2005-02-09 2006-08-24 Dainippon Screen Mfg Co Ltd Heat treatment apparatus
US7300891B2 (en) * 2005-03-29 2007-11-27 Tokyo Electron, Ltd. Method and system for increasing tensile stress in a thin film using multi-frequency electromagnetic radiation
US7265066B2 (en) * 2005-03-29 2007-09-04 Tokyo Electron, Ltd. Method and system for increasing tensile stress in a thin film using collimated electromagnetic radiation
US7452793B2 (en) * 2005-03-30 2008-11-18 Tokyo Electron Limited Wafer curvature estimation, monitoring, and compensation
US7789962B2 (en) * 2005-03-31 2010-09-07 Tokyo Electron Limited Device and method for controlling temperature of a mounting table, a program therefor, and a processing apparatus including same
JP4453021B2 (en) * 2005-04-01 2010-04-21 セイコーエプソン株式会社 Semiconductor device manufacturing method and semiconductor manufacturing apparatus
JP2007012734A (en) * 2005-06-29 2007-01-18 Matsushita Electric Ind Co Ltd Method and device for plasma etching
US8454749B2 (en) * 2005-12-19 2013-06-04 Tokyo Electron Limited Method and system for sealing a first assembly to a second assembly of a processing system
JP2007201128A (en) * 2006-01-26 2007-08-09 Sumitomo Electric Ind Ltd Semiconductor manufacturing apparatus, and wafer holder therefor
US7723648B2 (en) * 2006-09-25 2010-05-25 Tokyo Electron Limited Temperature controlled substrate holder with non-uniform insulation layer for a substrate processing system
JP4407685B2 (en) * 2006-10-11 2010-02-03 セイコーエプソン株式会社 Semiconductor device manufacturing method and electronic device manufacturing method
KR100862588B1 (en) * 2006-12-26 2008-10-10 주식회사 테라세미콘 Heating Apparatus for Furnace
US7671412B2 (en) * 2007-02-15 2010-03-02 Tokyo Electron Limited Method and device for controlling temperature of a substrate using an internal temperature control device
WO2009019749A1 (en) * 2007-08-03 2009-02-12 Teoss Co., Ltd. Silicon supporting device and silicon heating rapidly cooling apparatus utilizing the same
KR20090079540A (en) * 2008-01-18 2009-07-22 주식회사 코미코 Apparatus for supporting a substrate and apparatus for processing a substrate having the same
US8993939B2 (en) 2008-01-18 2015-03-31 Momentive Performance Materials Inc. Resistance heater
US20090308315A1 (en) * 2008-06-13 2009-12-17 Asm International N.V. Semiconductor processing apparatus with improved thermal characteristics and method for providing the same
US20100014097A1 (en) * 2008-07-17 2010-01-21 Nikon Corporation Algorithm correcting for correction of interferometer fluctuation
US20100247804A1 (en) * 2009-03-24 2010-09-30 Applied Materials, Inc. Biasable cooling pedestal
CN102473607A (en) * 2009-08-06 2012-05-23 住友电气工业株式会社 Film-forming apparatus
JP5570938B2 (en) * 2009-12-11 2014-08-13 株式会社日立国際電気 Substrate processing apparatus and semiconductor device manufacturing method
US20120085747A1 (en) * 2010-10-07 2012-04-12 Benson Chao Heater assembly and wafer processing apparatus using the same
KR20120105827A (en) * 2011-03-16 2012-09-26 삼성전자주식회사 Heater for fixing apparatus and fixing apparatus and image forming apparatus having the same
EP2829155B1 (en) * 2012-03-20 2022-09-07 Momentive Performance Materials Quartz, Inc. Resistance heater
DE102012005916B3 (en) * 2012-03-26 2013-06-27 Heraeus Noblelight Gmbh Device for irradiating a substrate
US9089007B2 (en) 2012-04-27 2015-07-21 Applied Materials, Inc. Method and apparatus for substrate support with multi-zone heating
CN102851652A (en) * 2012-09-28 2013-01-02 深圳市捷佳伟创新能源装备股份有限公司 Heater for MOCVD (metal-organic chemical vapor deposition) equipment
JP6165452B2 (en) * 2013-02-01 2017-07-19 株式会社日立ハイテクノロジーズ Plasma processing equipment
US9196514B2 (en) * 2013-09-06 2015-11-24 Applied Materials, Inc. Electrostatic chuck with variable pixilated heating
CN104731156B (en) * 2013-12-18 2016-06-08 北京北方微电子基地设备工艺研究中心有限责任公司 A kind of monitoring method of heating lamp
US11158526B2 (en) * 2014-02-07 2021-10-26 Applied Materials, Inc. Temperature controlled substrate support assembly
US10006717B2 (en) 2014-03-07 2018-06-26 Taiwan Semiconductor Manufacturing Company, Ltd. Adaptive baking system and method of using the same
US9543171B2 (en) * 2014-06-17 2017-01-10 Lam Research Corporation Auto-correction of malfunctioning thermal control element in a temperature control plate of a semiconductor substrate support assembly that includes deactivating the malfunctioning thermal control element and modifying a power level of at least one functioning thermal control element
CN105914163B (en) * 2015-02-25 2020-03-24 株式会社国际电气 Substrate processing apparatus, method of manufacturing semiconductor device, and heating unit
JP6630146B2 (en) * 2015-02-25 2020-01-15 株式会社Kokusai Electric Substrate processing apparatus, semiconductor device manufacturing method, and heating unit
JP6522481B2 (en) * 2015-10-05 2019-05-29 クアーズテック株式会社 Planar heater
US10161041B2 (en) 2015-10-14 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Thermal chemical vapor deposition system and operating method thereof
JP6730861B2 (en) * 2016-06-22 2020-07-29 日本特殊陶業株式会社 Holding device
JP6736386B2 (en) * 2016-07-01 2020-08-05 東京エレクトロン株式会社 Substrate liquid processing apparatus, substrate liquid processing method and recording medium
US20190311921A1 (en) * 2016-10-17 2019-10-10 Asml Netherlands B.V. A processing apparatus and a method for correcting a parameter variation across a substrate
US11164737B2 (en) * 2017-08-30 2021-11-02 Applied Materials, Inc. Integrated epitaxy and preclean system
CN108682635B (en) * 2018-05-03 2021-08-06 拓荆科技股份有限公司 Wafer seat with heating mechanism and reaction cavity comprising wafer seat
JP2020004526A (en) * 2018-06-26 2020-01-09 クアーズテック株式会社 Carbon wire heater
KR102098867B1 (en) * 2018-09-12 2020-04-09 (주)아이테드 Imprinting apparatus and imprinting method
KR20220000408A (en) * 2019-05-24 2022-01-03 어플라이드 머티어리얼스, 인코포레이티드 substrate processing chamber
US11542604B2 (en) 2019-11-06 2023-01-03 PlayNitride Display Co., Ltd. Heating apparatus and chemical vapor deposition system
TWI711717B (en) * 2019-11-06 2020-12-01 錼創顯示科技股份有限公司 Heating apparatus and chemical vapor deposition system
TWI727907B (en) * 2019-11-06 2021-05-11 錼創顯示科技股份有限公司 Heating apparatus and chemical vapor deposition system
JP7248608B2 (en) 2020-02-04 2023-03-29 日本碍子株式会社 electrostatic chuck heater
JP7326187B2 (en) * 2020-02-28 2023-08-15 クアーズテック株式会社 Plane heater
CN111725114B (en) * 2020-06-30 2023-07-14 北京北方华创微电子装备有限公司 Position correction device for heating lamp
US11605544B2 (en) 2020-09-18 2023-03-14 Applied Materials, Inc. Methods and systems for cleaning high aspect ratio structures
CN114496692B (en) * 2020-11-11 2024-03-12 中微半导体设备(上海)股份有限公司 Heating assembly, substrate bearing assembly and plasma processing device thereof
CN113201728B (en) * 2021-04-28 2023-10-31 錼创显示科技股份有限公司 Semiconductor wafer bearing structure and metal organic chemical vapor deposition device
CN115424913A (en) * 2021-06-01 2022-12-02 中微半导体设备(上海)股份有限公司 Plasma processing device and telescopic sealing part thereof
JP2023027962A (en) * 2021-08-18 2023-03-03 株式会社Screenホールディングス Substrate processing apparatus
CN115442927B (en) * 2022-11-04 2023-03-10 上海星原驰半导体有限公司 Composite temperature control disc

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2927857B2 (en) * 1990-01-19 1999-07-28 株式会社東芝 Substrate heating device
US5223113A (en) * 1990-07-20 1993-06-29 Tokyo Electron Limited Apparatus for forming reduced pressure and for processing object
JP3288200B2 (en) * 1995-06-09 2002-06-04 東京エレクトロン株式会社 Vacuum processing equipment
JPH10102257A (en) * 1996-09-27 1998-04-21 Nippon Process Eng Kk Coating forming device by chemical vapor deposition
TW452826B (en) * 1997-07-31 2001-09-01 Toshiba Ceramics Co Carbon heater
US6530994B1 (en) * 1997-08-15 2003-03-11 Micro C Technologies, Inc. Platform for supporting a semiconductor substrate and method of supporting a substrate during rapid high temperature processing
US6688375B1 (en) * 1997-10-14 2004-02-10 Applied Materials, Inc. Vacuum processing system having improved substrate heating and cooling
KR100334993B1 (en) * 1998-12-01 2002-05-02 추후제출 Heater
US6353209B1 (en) * 1999-03-04 2002-03-05 Board Of Trustees Of The Leland Stanford Junior University Temperature processing module
DE10059665C1 (en) * 2000-12-01 2002-07-11 Steag Hamatech Ag Process for the thermal treatment of substrates
JP2002270346A (en) * 2001-03-09 2002-09-20 Mitsubishi Heavy Ind Ltd Heating device and its manufacturing method, as well as film forming device
KR100547189B1 (en) * 2003-04-23 2006-01-31 스타전자(주) Manufacturing method of carbon heating device using graphite felt

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170100666A (en) * 2015-02-25 2017-09-04 가부시키가이샤 히다치 고쿠사이 덴키 Substrate processing apparatus, heater, and manufacturing method of semiconductor device
KR20190129141A (en) * 2015-02-25 2019-11-19 가부시키가이샤 코쿠사이 엘렉트릭 Substrate processing apparatus, heater and method of manufacturing semiconductor device
KR20200123870A (en) * 2015-02-25 2020-10-30 가부시키가이샤 코쿠사이 엘렉트릭 Substrate processing apparatus, heater and method of manufacturing semiconductor device

Also Published As

Publication number Publication date
JP2007537582A (en) 2007-12-20
TW200540937A (en) 2005-12-16
WO2005103333A2 (en) 2005-11-03
WO2005103333A3 (en) 2006-09-14
CN101023197A (en) 2007-08-22
US20050217799A1 (en) 2005-10-06
TWI305656B (en) 2009-01-21

Similar Documents

Publication Publication Date Title
KR20070008569A (en) Wafer heater assembly
US10879053B2 (en) Temperature controlled substrate support assembly
US20090095731A1 (en) Mounting table structure and heat treatment apparatus
US6768084B2 (en) Advanced rapid thermal processing (RTP) using a linearly-moving heating assembly with an axisymmetric and radially-tunable thermal radiation profile
US5079481A (en) Plasma-assisted processing magneton with magnetic field adjustment
US8404048B2 (en) Off-angled heating of the underside of a substrate using a lamp assembly
KR100910068B1 (en) Processing equipment for object to be processed
US20110168673A1 (en) Plasma processing apparatus, plasma processing method, and mechanism for regulating temperature of dielectric window
JP7250076B2 (en) Systems and methods for thermal management of bolted wafer chucks for wafer processing systems
JP2007251126A (en) Semiconductor batch heating assembly
KR101195666B1 (en) Filament lamp and light illumination type thermal processing apparatus
JP2001160479A (en) Ceramic heating resistor and board processing device using the same
US6729261B2 (en) Plasma processing apparatus
US10403521B2 (en) Modular substrate heater for efficient thermal cycling
WO2012058005A2 (en) Apparatus having improved substrate temperature uniformity using direct heating methods
US20040045813A1 (en) Wafer processing apparatus, wafer stage, and wafer processing method
KR20100024892A (en) Filament lamp and light irradiation type heating apparatus
JP7308950B2 (en) Cryogenic electrostatic chuck
JP2004263209A (en) Vacuum treatment apparatus
KR101224529B1 (en) Heat treatment apparatus
JPH07273175A (en) Holding member
WO2024070071A1 (en) Stage
KR100532142B1 (en) Quartz Molded Heater Assembly for Chemical Vapor Deposition
WO2023200465A1 (en) Showerhead assembly with heated showerhead
JP2024507833A (en) Methods, systems, and apparatus for processing substrates using one or more amorphous carbon hardmask layers

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid