TW200410320A - An ultra-shallow junction formation by amorphous silicon/silicon dioxide stacked structure for nano MOS device fabrication - Google Patents

An ultra-shallow junction formation by amorphous silicon/silicon dioxide stacked structure for nano MOS device fabrication Download PDF

Info

Publication number
TW200410320A
TW200410320A TW91135615A TW91135615A TW200410320A TW 200410320 A TW200410320 A TW 200410320A TW 91135615 A TW91135615 A TW 91135615A TW 91135615 A TW91135615 A TW 91135615A TW 200410320 A TW200410320 A TW 200410320A
Authority
TW
Taiwan
Prior art keywords
junction
rta
layer
amorphous
amorphous silicon
Prior art date
Application number
TW91135615A
Other languages
Chinese (zh)
Inventor
Tan-Fu Lei
Tzu-Yun Chang
Huang-Chun Wen
Original Assignee
Univ Nat Chiao Tung
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Univ Nat Chiao Tung filed Critical Univ Nat Chiao Tung
Priority to TW91135615A priority Critical patent/TW200410320A/en
Priority to JP2003190893A priority patent/JP2004193550A/en
Publication of TW200410320A publication Critical patent/TW200410320A/en

Links

Landscapes

  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

The present invention provides a new ultra-shallow junction formation method for nano-MOS technology applications by using conventional ion implantation and rapid thermal annealing techniques without requirement of low energy implant equipments to fabricate ultra-shallow junctions. Diffusion from implanted amorphous silicon (DIA) is performed by junction implant through an amorphous capping layer; the amorphous layer thus acts as a surface solid diffusion source during annealing. A thin oxide is deposited to serve as etching stop layer beneath the amorphous layer. This bilayer amorphous-oxide structure enables easy removal of the amorphous layer and provides good process control and device reliability. By using amorphous silicon layer as the diffusion source for junction formation, implant defects are reduced. Defect-free ultra-shallow junctions can be formed.

Description

200410320 五、發明說明(l) 發明領域 •本發明有關於標準互補式金氧半電晶體(CM0SFET)之 製程,特別是關於一種奈米級M0S技術中之超淺接面的形 成方法。 發明背景 —1C製造工業必須不斷縮小M0SFET元件以增加數位IC的 密度及速度,縮小元件通道的長度及寬度可以增加IC的密 度,且M0SFET的飽和汲極電流必須增加以達成較快的速 度。近35年來的技術改進都是遵循rM〇〇re定律」,即晶 片上的電晶體數目每三年倍增一次。但當元件縮小到閘極 長度小於1 # m時,M0SFET就開始呈現一些異於長通道 M0SFET的現象。當閘極長度小於〇 · 5 # m時,汲極飽和電流 Idsat不隨閘極長度的減少而增加,元件中就出現短通道效 應。在極小的M0SFET中,IDSAT預期是不受閘極長度影響 的,因此在需要較大的M0SFET飽和電流下,減小氧化θ物的 厚度就成為最有效的方式。 目前的M0S元件結構將開始碰到嚴重的問題,元件橫 向尺寸小於0 · 0 5 // m者需要極薄的閘極氧化物,但閘極氧 化物不能縮減到1〜1 · 5nm以下,因為電子很容易穿透這麼 薄的氧化物。而且在這種尺寸下,難以避免pN接面的互相 影響。 元件與製造的創新勢必繼續出現,以縮小積體電路的 尺寸,使技術進步而超越國際半導體技術里程規劃200410320 V. Description of the invention (l) Field of the invention • The present invention relates to the manufacturing process of standard complementary metal-oxide-semiconductor (CM0SFET), especially to a method for forming an ultra-shallow junction in nano-scale M0S technology. BACKGROUND OF THE INVENTION—The 1C manufacturing industry must continue to shrink MOSFET devices to increase the density and speed of digital ICs. Reducing the length and width of component channels can increase the density of ICs, and the saturation drain current of MOSFETs must be increased to achieve faster speeds. The technological improvements in the past 35 years are in accordance with rMore's law, which means that the number of transistors on the wafer doubles every three years. However, when the component is shrunk to a gate length less than 1 # m, the M0SFET begins to exhibit some phenomena different from the long channel M0SFET. When the gate length is less than 0.5 m, the drain saturation current Idsat does not increase as the gate length decreases, and a short-channel effect occurs in the element. In very small MOSFETs, IDSAT is not expected to be affected by the gate length. Therefore, when a large MOSFET saturation current is required, reducing the thickness of the θ oxide becomes the most effective way. The current M0S element structure will start to encounter serious problems. Those with a lateral dimension of less than 0 · 0 5 // m need a very thin gate oxide, but the gate oxide cannot be reduced below 1 ~ 1 · 5nm because Electrons can easily penetrate such thin oxides. Moreover, at this size, it is difficult to avoid mutual influence of the pN junction. Innovations in components and manufacturing are bound to continue to appear to reduce the size of integrated circuits and enable technological advancements to exceed international semiconductor technology milestones

200410320 五、發明說明(2) (International Semiconductors CMOS元件的 所用的製程產生 兩項主要要求是 通」態的南電流 「關閉」態,也 出現汲極到源極 能進入源極深入 在汲極偏壓較小 要的設計參數, 在「開通」 減少閘極長度, 時’就需要高度 的寄生電阻。將 通常是接面長度 接面的主要準據200410320 V. Description of the invention (2) (The process used by International Semiconductors CMOS devices produces two main requirements: the on-state and the south-current “off” state. There is also a drain-to-source entry into the source. The key design parameter is to reduce the gate length when “opening”, and a high level of parasitic resistance is needed. It will usually be the main criterion for the junction length.

Technology Roadmap of ITRS)。 持續縮小對於次微米電晶體中形成主動層 了一系列嚴酷的挑戰。降低M0SFET尺寸的 抑制「關閉」態的洩漏電流,以及「開 低電阻。在閘極長度極小時,即使元件在 因為閘極長度減小使臨界電壓ντ降低,而 的洩漏電流。靠近汲極的空間電荷區亦可 基片中,使閘極偏壓不能控制電位,於是 時發生穿通現象。「關閉」態的電流是主 不能因接面變淺而降低。 態時,為了降低M0SFET的通道電阻,必須 但當通道電阻與源極及汲極電阻一樣小 活化的摻雜物以降低源極/汲極及延伸區 植入的摻雜原子電性活化所需的擴散週期 的限制因素。這些矛盾論點就是研究超淺 超淺接面之形成: 超淺接面之形成已成為元件縮小的嚴 超低的熱量以限制擴散,作 戰义須用 移除製鋥的損耗。、乂/ 費多的活性摻雜物以及 馬摻雜且完全活化的淺接面。最嚴格 :「=產生 極延伸區」的接面深度,對於目前⑽⑽製程而言原極接Technology Roadmap of ITRS). Continuous shrinking poses a series of severe challenges for forming active layers in sub-micron transistors. Reducing the size of the M0SFET suppresses the "off" leakage current, and "turns on the low resistance. When the gate length is extremely small, even if the element reduces the threshold voltage ντ due to the reduced gate length, the leakage current is near the drain The space charge region can also be in the substrate, so that the gate bias cannot control the potential, and then a punch-through phenomenon occurs. The current in the "off" state cannot be reduced because the junction is shallow. In order to reduce the channel resistance of the M0SFET, dopants must be activated when the channel resistance is as small as the source and drain resistance to reduce the electrical activation of the doped atoms implanted in the source / drain and extension regions. The limiting factor of the diffusion cycle. These contradictory arguments are to study the formation of super shallow super shallow junctions: The formation of super shallow junctions has become a strict and ultra-low heat of component shrinkage to limit diffusion. For combat purposes, it is necessary to remove the system loss. , 乂 / Fedor active dopants, and horse-doped and fully activated shallow junctions. The most stringent: the junction depth of "= produced polar extension zone".

五、發明說明(3) 必須在2 0〜4 0 n m之間。 1知的方法疋,先施以低能量的離子佈植,繼之以一 I^ACKapid Thermal Annealing,快速加熱退火)步驟,於 是形成可以複製的淺接面。不過離子佈植技術造成矽晶體 的損傷,使退火必須在高溫下進行,而且在埶擴散、瞬間 加,擴,(TED)、硼加速擴散及氧化加速擴散而驅使摻雜 物殊入時,也會受到摻雜物的穿通效應。已有許多策略如 f制退火溫度、以脈衝退火而降低熱量、高升/降速率等 ==現,接面,但都是暫時的解決方案,快速的加熱 1 ^(RTP)仍然面臨固態溶解度限制低活化的問題。 其他製造超淺接面之方法: 離子技術是用電漿摻雜法(plad)及電漿浸入式 :電漿摻雜法較好,因為其易於控制、 源而加上加速右不過晶圓是直接置於電漿 (_、快快速氣相摻雜* 的原通為常'控::摻雜物的穿通效應,先使用非活性 晶化,[證實呈現疋要在接面佈植前將石夕表面預先非 引起植入區邊緣不過=的佈植本身卻會 形錯位,這種高:在非晶界面近處形成間隙性的環 里的非晶性佈植所產生的損傷難以用退 五、發明說明(4) 火完全消除’額外的缺陷也會 應。 曰曰加柄間加速擴散(TED)效 淺接面亦可用向外擴散形成, 玻璃(PSG)及硼玻璃(BSG) 的氧化物如磷 克服了上述離子佈植的問題然 及接面深度的大幅擴張。 n卜擴放通常導致片電阻 雷射加熱處理(LTP)曾是一鍤^ , 能方法,Rl i θ ; 種取代摻雜物活化RTP的可 低且不均勻。 ^τρ仍不適於生產’因為其產能 發明綜$ 子佈植211明提出一種接面形成的製程,保留目前的離 裝備。、速加熱退火的技術,但無需使用低能量的佈植 表面2 非晶矽而擴散的方法(dia)其概念是形成- t g散源,且在擴散後移除此一屏蔽層。 晶矽I後以薄氧化物作為蝕刻阻擋層,1在沉積非 6i,RTA ^ m ^ 植法形成一高摻雜的非晶矽層。在後續 的非曰6 ^成接面後以溼式蝕刻移除此兩層。這種雙層 了良:石的製氧二物使非晶矽層能輕易移除,因此提供 J I耘控制與元件可靠度。 200410320 發明詳迷 由植入的非晶矽擴散而形成超淺接面: 請參考圖1,首先生長一薄的氧化物作為阻擋層,並 以離子佈植法形成一高摻雜的非晶矽層,在後續的RTA步 驟中’摻雜物擴散進入下面的矽層中,形成一 p — n接面, 形成接面後以溼式蝕刻移除此兩層。 透過此預先沉積的非晶矽層而進行佈植時可以控制摻 穿=物的穿通效應,同時植入峰值的缺陷也侷限於非晶矽層 :丄於是抑制了瞬間加速擴散(TED)效應,結果易於控制9 外::ΐ能量與大劑量可以施加而形成高摻雜的層。以向 ::::::成接面則使換雜物完全活化,而且完全不產 利,屏蔽層比使用氧化物更有 石夕的妒ΐ i ί 種薄膜中的擴散性差異所致,非晶 非曰乳化物的擴散係數(3xl0_4cm2/s)大,使用 會進入接面中的推雜物的數量有所二用 -理由是Li 面摻雜濃度的接面。不使用氧化物的另 散性的起氧增強的擴散卿,㈣ 雜物的穿通】2的::匕,用預先非晶化的佈植以降低掺 邊緣的缺陷佈植本身會引起植入區 在非Β曰界面近處形成間隙性的環形錯位區。 第9頁 五、發明說明(6) 完全移除離子佈植所引起的缺陷在 是一項嚴肅的考量,額外的缺p A = ^漏電^接枯 明在離早# # n 1卜的缺也會增強TED效應。本發 採用非晶屏蔽層的優‘點,並加以修飾,先 儿槓 表面非晶層,於是可批也丨Μ 需額外的佈植步驟。 工咎雜物的通道效應,無 中實'di形成可複製的完全活化的接面,同時在石夕 中貝it沒有佈植損傷’呈現出特別優異的結果。 制,⑻你二輔項^實驗如下’分別著重於⑴接面擴散機 應。(B)佈植此1的效應,以及(C)RTA溫度與時間的效 DIA實驗程序: 用以形成超淺接面的材料是n—型,摻雜磷的,(1〇〇) :的矽晶圓,具有標稱電阻率4〜7D_cm。在標準RCA清 ;^ ’於一兩溫氣壓1 〇 5 〇 °c下加熱成長一 5 〇 〇 〇埃厚的s i %V. Description of the invention (3) Must be between 20 and 40 nm. Known method: First, a low-energy ion implantation is applied, followed by an I ^ ACKapid Thermal Annealing (rapid heating annealing) step, and a shallow junction that can be copied is formed. However, the ion implantation technology causes damage to the silicon crystal, so that the annealing must be performed at high temperature, and when the dopant is driven by the diffusion of thorium, instantaneous addition and expansion (TED), accelerated diffusion of boron and accelerated diffusion of oxidation, the Will be subject to the punch-through effect of dopants. There are many strategies such as annealing temperature for f system, reducing heat by pulse annealing, high rise / fall rate, etc. == present and interface, but all are temporary solutions, rapid heating 1 ^ (RTP) still faces the limit of solid solubility Low activation problem. Other methods for manufacturing super shallow junctions: Ion technology uses plasma doping (plasma) and plasma immersion: Plasma doping is better because it is easy to control and source plus acceleration. However, the wafer is Directly placed in the plasma (_, fast and fast gas phase doping *, the original pass is always controlled: the penetration effect of the dopant, first use inactive crystallization, [confirmed that The surface of Shi Xi's surface does not cause the implantation area but the implantation itself will be dislocated. This is high: the damage caused by the amorphous implantation in the form of a gap ring near the amorphous interface is difficult to use V. Explanation of the invention (4) Fire completely eliminates the additional defects. It can also be said that the superficial junction of accelerated diffusion (TED) can also be formed by outward diffusion. The glass (PSG) and boron glass (BSG) Oxides such as phosphorus overcome the above-mentioned problems of ion implantation and the large expansion of the junction depth. N The expansion and discharge usually leads to the chip resistance laser heating treatment (LTP), which was a method, Rl i θ; Substitute dopants to activate RTP can be low and non-uniform. ^ Τρ is still not suitable for production 'because of its capacity Ming Zong Zi Zi Zhi 211 proposed a process for forming junctions, retaining the current separation equipment. The technology of rapid heating annealing, but does not require the use of low-energy diffusion surface 2 amorphous silicon diffusion method (dia) The concept is to form a -tg scattered source, and remove this shielding layer after diffusion. After crystalline silicon I, a thin oxide is used as an etch barrier layer. 1 After depositing non-6i, RTA ^ m ^ implantation method to form a highly doped Amorphous silicon layer. The two layers are removed by wet etching after the subsequent non-metallic junction. This double layer is good: the oxygen-making material of the stone enables the amorphous silicon layer to be easily removed, so Provides JI control and component reliability. 200410320 Invention details The super shallow junction formed by the implanted amorphous silicon diffusion: Please refer to Figure 1, first grow a thin oxide as a barrier layer, and use the ion implantation method A highly doped amorphous silicon layer is formed. In the subsequent RTA step, the dopant diffuses into the underlying silicon layer to form a p-n junction. After the junction is formed, the two layers are removed by wet etching. Controlling the penetration of dopants when implanting through this pre-deposited amorphous silicon layer At the same time, the defects of implanting peaks are also limited to the amorphous silicon layer: Therefore, the transient accelerated diffusion (TED) effect is suppressed, and the result is easy to control. 9: The energy and large dose can be applied to form a highly doped layer. The direction of the ::::::: interface makes the replacement of the material completely active, and it is completely unprofitable. The shielding layer is more jealous than the use of oxides. The difference in diffusibility in the film, The amorphous non-emulsified emulsion has a large diffusion coefficient (3xl0_4cm2 / s). The number of dopants that can enter the junction is two-fold-the reason is the junction with a doping concentration on the Li plane. Diffusion of oxygen-enhanced diffusion, penetration of impurities] 2 :: Dagger, pre-amorphous implantation to reduce edge-containing defects. The implantation itself will cause the implanted area to be near the non-B interface. A gap-shaped annular dislocation region is formed everywhere. 5. Description of the invention on page 9 (6) It is a serious consideration to completely remove the defects caused by ion implantation. The additional defect p A = ^ Leakage ^ Connected to the early ## n 1 卜 的 失It will also enhance the TED effect. The present invention adopts the advantages of the amorphous shielding layer and modifies it. First, the amorphous layer on the surface is used, so it can be approved and requires additional implantation steps. The channel effect of the industrial debris, without the solid 'di' form a fully activated interface that can be replicated, and at the same time, it has no implant damage in Shixi Zhongbei's showing particularly excellent results. System, the two supplementary items of ^ The experiments are as follows', respectively focusing on the application of the interface diffusion machine. (B) the effect of implanting this 1, and (C) the effect of RTA temperature and time on the DIA experimental procedure: the material used to form the super shallow junction is n-type, doped with phosphorus, (100): Silicon wafers with a nominal resistivity of 4 ~ 7D_cm. In a standard RCA clear; ^ 'at a temperature of one or two temperature and air pressure of 1 500 ° C to grow to a thickness of 50,000 Angstroms i%

使用習知的微影法及溼式蝕刻技術形成主動區,以h 〇 之高密度電漿化學蒸鍍(HDPCVD)生長一層20埃厚的氧化物 墊作為以後钱刻非晶石夕的餘刻阻播層。以低壓化學蒸鑛 去(L P C V D)/儿積5 0 0埃的非晶石夕的钱刻阻擒層,將各種能量 /、知彳里的BF/植入非晶石夕的餘刻阻擔層,接著進行各種rta 程序。其後以習知的多晶矽蝕刻溶液(HN03 + H20 + NH4F)移除 非晶石夕層,並以B〇E(HF:NH4F = 6: 1)移除薄的蝕刻阻擋層。 移除屏蔽氧化物後立刻將晶圓載入物理蒸鍍系統(PVD) 中’沉積一 TaN緩衝層及500 0埃厚的Al-Si-Cu薄膜。定義Active lithography and wet etching techniques are used to form the active area, and a 20 angstrom thick oxide pad is grown with high density plasma chemical vapor deposition (HDPCVD) at h 0 as the rest of the future money engraving of amorphous stone. Blocking layer. Low-pressure chemical vaporization (LPCVD) / earth engraving layer of amorphous stone with a thickness of 500 angstroms, a variety of energies /, BF in Zhili / implanted with amorphous stone Layer, followed by various rta programs. Thereafter, the amorphous polysilicon layer was removed with a conventional polycrystalline silicon etching solution (HN03 + H20 + NH4F), and the thin etch stop layer was removed with BOE (HF: NH4F = 6: 1). Immediately after removing the shielding oxide, the wafer was loaded into a physical vapor deposition system (PVD) and a TaN buffer layer and a 500 Angstrom Al-Si-Cu film were deposited. definition

第10頁 200410320 五、發明說明(7) --- 金屬電極位置,將A1_Si—Cu沉積在晶圓背面上。圖2示出 D I A樣品準備的流程。 1·實驗A:DIA接面形成機制 在貫驗A中,使用佈植能量4〇keV及劑量5X1 〇i5原子 /cm2的卯2+摻雜物,RTA條件示於表1中,RTA條件是950 °( 5s、1050。。5s 及 1050 °C Is· 1 · 1 ·接面深度與片電阻 爛離子二次質譜(SIMS)的RTA與沒有RTA的樣品圖示於 圖3 ’保留屏蔽非晶矽層以觀察硼透過屏蔽非晶矽、氧化 物墊及矽基片的分配,佈植後未RTA前的植入峰值被控制 在,晶矽内部,使缺陷侷限在屏蔽層内部。此硼離子之圖 在氧化物邊界處(深度約45随與52]1111)呈現兩個不連續的 於在SIMS量測中的質量干擾效應,氧化物區的數量 y刀析是無法做到的,也無法精確定出氧化物的精密界面。 =過我們可以見到若限制氧化物的厚1 1氧化物層不影 二』usS1 an佈植圖,一層氧化物墊不會影響摻雜物的 或擴散。佈植後未RTA前的接面深度是67.7nm,在rta之j 的接面深度,分別對RTA條件95(rc 5s、1〇5(rc 5s及 〇C Is則疋72.6nm、84.2nm及62.1nm,在接面表面 濃度高達2xl(P原子/em3,接近於固態溶解度的極 ”比較m樣品的蝴分配與佈植後未RTA前的圖,我 以見到可以形成斜率類似於佈植後未RTA前之圖的陡峭接Page 10 200410320 V. Description of the invention (7) --- A1_Si-Cu is deposited on the back of the wafer at the position of the metal electrode. Figure 2 shows the flow of D I A sample preparation. 1.Experiment A: DIA junction formation mechanism In Experiment A, the implantation energy is 40 keV and a dose of 5 × 10 i5 atoms / cm2 of 卯 2+ dopants. The RTA conditions are shown in Table 1. The RTA conditions are 950 ° (5s, 1050. 5s and 1050 ° C Is · 1 · 1 · Junction Depth and Sheet Resistance RTA and SIMA Samples without RTA are shown in Figure 3 The silicon layer is used to observe the distribution of boron through shielding amorphous silicon, oxide pads, and silicon substrates. The implantation peak before RTA after implantation is controlled to be inside the crystalline silicon, so that defects are confined within the shielding layer. This boron ion The figure shows two discontinuous mass interference effects in the SIMS measurement at the boundary of the oxide (the depth is about 45 followed by 52) 1111. The number of oxide regions cannot be analyzed by y. The precise interface of the oxide is precisely determined. = We can see that if the thickness of the oxide is limited, the thickness of the oxide layer is not affected. The usS1 an pattern shows that an oxide pad will not affect the dopant or the diffusion. The depth of the junction before RTA after implantation was 67.7 nm. The junction depth at rta j was tested under RTA conditions 95 (rc 5s, 105). (rc 5s and 0C Is 疋 72.6nm, 84.2nm and 62.1nm, the surface concentration of the interface is as high as 2xl (P atom / em3, which is close to the solid solubility pole). Comparison of the sample distribution of m samples without RTA after implantation In the previous picture, I can see that a steep connection can be formed with a slope similar to the picture before RTA after implantation.

第11頁 200410320 五、發明說明(8)Page 11 200410320 V. Description of the invention (8)

面。我們假定DI A接面之形成減少了佈植缺陷的數量及TED 效應。 可以見到摻雜物的穿通效應仍然造成硼分配的尾部’ 與透過薄的屏蔽氧化物的佈植研究比較即可獲得解釋。透 過屏蔽氧化物的佈植著重於減少穿通效應,不過已經發現 硼原子會碰到散射進來的穿通效應,當硼原子經過氧化物 時,非晶矽層的核散射展開離子束的角分配。使用一種傾 斜的佈植,離子束開始時被引導離開< 1 〇 〇 >軸通道,但 因為在氧化物層中的散射結果,部分離子可能被引回 <100〉軸通道,不過與直接的穿通效應相比,此種散射的 穿通效應較小,穿通效應因DIA而大幅下降。 表2示出這些樣品的片電阻,約14〇〜240Ω/ □,接近 於6 0〜8 0 nm接面的理想片電阻值,因此可以加入大量摻 雜’而且完全被活化。 1. 2.擴散機制 DIA接面的擴散機制亦可由圖3中的SIMS圖而觀察到, 我們可以見到在短RTA時間(1 〇 50 °C 1 s),蝴圖形峰值向左 偏移,而非向内擴散,此種摻雜物的向外擴散在接面RTA 時普遍見到。屏蔽非晶矽因此作為一覆蓋層而抑制向外擴 散的摻雜劑數量。在低溫與長RTA時間(95〇ΰ(: 5s樣品)、 時,向外擴散的植入峰植亦可見到。但是注意硼圖’形" 1 0 0 0埃之後,95(TC 5s的樣品呈現向内的擴散,1〇5〇 口丨 1 s的樣品則否。因此必須要有充分的RTA時間才能克服向surface. We assume that the formation of the DI A junction reduces the number of implant defects and the TED effect. It can be seen that the punch-through effect of the dopant still causes the tail of the boron distribution to be explained in comparison with the implantation studies through thin shielding oxides. The implantation through shielding oxides focuses on reducing the punch-through effect, but it has been found that boron atoms will encounter the punch-in effect that is scattered. When the boron atoms pass through the oxide, the nuclear scattering of the amorphous silicon layer expands the angular distribution of the ion beam. Using an oblique implant, the ion beam is initially guided away from the < 1 00 > axis channel, but due to the scattering in the oxide layer, some ions may be directed back to the < 100> axis channel, but with Compared with the direct punch-through effect, this scattering punch-through effect is smaller, and the punch-through effect is greatly reduced by DIA. Table 2 shows the sheet resistance of these samples, about 14 ~ 240Ω / □, which is close to the ideal sheet resistance value of the junction of 60 ~ 80 nm, so a large amount of doping can be added and fully activated. 1. 2. Diffusion mechanism The diffusion mechanism on the DIA interface can also be observed from the SIMS chart in Figure 3. We can see that at a short RTA time (1050 ° C 1 s), the peak of the butterfly pattern shifts to the left. Rather than diffusing inward, the outward diffusion of such dopants is commonly seen when interfacing with RTA. The shielding amorphous silicon thus acts as a cover layer to suppress the amount of dopants that diffuse outward. At low temperature and long RTA time (95 ° F (: 5s sample), the outward diffusion of the implanted peak planting is also visible. However, note that the shape of the boron diagram after “100 ° A”, 95 (TC 5s The sample showed inward diffusion, but the sample at 1050 s and 1 s was not. Therefore, sufficient RTA time is required to overcome the

第12頁 200410320 五、發明說明(9) " ----- =ϋ ί散,屏蔽非晶石夕層則作為一擴散源,使#雜物的圖 擴散。在1〇5(rc 5s的退火條件下,由掺雜物的向内 ϊ ί形成深接面’在長RTA時間i,由於向内擴散與向外 擴政效應,使非晶矽層中的硼離子分佈降低。 1 · 3 ·實驗a之總結 上我們的結論是RTA時的擴散機制是先向外擴散,同時 在較長的RTA期間,摻雜物從屏蔽的非晶矽層擴散。屏蔽 =非晶矽層首先作為一覆蓋層抑制向外擴散的搀雜物數 «,在較長的RT A時間後,非晶矽層然後作為一擴散源, 掺雜物的圖則向内擴散,此與DIA接面形成法的概念是一 致的。 2 ·貫驗B : DIA接面與佈植能量間的關係 在貝驗B中’ BF2+佈植能量為35keV、40keV、45keV、 5 0keV ’劑篁為2χΐ 〇i5原子/cm2,RTA條件表示於表3中,在 不同的退火時間下,RTA溫度從9 0 0 °C變化到1 0 〇 〇 °c。 2 · 1 ·接面深度與片電阻 佈植後未RTA前的硼SIMS圖,如圖4所示,呈現硼在 RTA之如經過屏蔽非晶矽、氧化物墊及矽基片的分佈。佈 植的植入峰值控制在非晶矽的内部,使缺陷侷限於屏蔽層 的内部。正如所預測者,較高的佈植能量使摻雜劑植入晶 圓較深之處’硼的圖在氧化物邊界處顯示兩個不連續的點Page 12 200410320 V. Description of the invention (9) " ----- = ϋ ί scattered, shielded amorphous stone layer is used as a diffusion source, so that the map of # 杂物 diffuses. Under the annealing conditions of 105 (rc 5s), a deep junction is formed by the inward ϊ of the dopants. At a long RTA time i, due to the inward diffusion and outward expansion effects, the The distribution of boron ions is reduced. 1 · 3 · In the summary of experiment a, we conclude that the diffusion mechanism at RTA is the first outward diffusion, while the dopant diffuses from the shielded amorphous silicon layer during the longer RTA. Shielding = The number of impurities that the amorphous silicon layer first serves as a cover layer to suppress outward diffusion «After a long RT A time, the amorphous silicon layer then serves as a diffusion source, and the dopant pattern diffuses inward, This is consistent with the concept of the DIA interface formation method. 2 · Experiment B: The relationship between the DIA interface and the planting energy in the shell test B. The 'BF2 + planting energy is 35keV, 40keV, 45keV, 50keV' agent篁 is 2χΐ 〇i5 atoms / cm2, and the RTA conditions are shown in Table 3. Under different annealing times, the RTA temperature changed from 900 ° C to 100 ° C. 2 · 1 · Junction depth and sheet SIMS diagram of boron before RTA after resistor placement, as shown in Figure 4, showing boron in RTA as shielded amorphous silicon, oxide pads and silicon-based The implantation peak of the implantation is controlled inside the amorphous silicon, so that the defects are limited to the inside of the shielding layer. As predicted, higher implantation energy makes the dopants implanted deeper into the wafer ' Diagram of boron shows two discontinuous points at the oxide boundary

200410320 五、發明說明(ίο) (j罙度= 45nm及57nm),佈植後未!^以前的接面深度對佈植能 置35keV 至50keV 分別為14. 3nm、23. 3nm、35 9nm 及 37 3nm。在矽基片表面或接面表面的硼濃度峰值,在π ί下佈植&quot;&quot;約為1X1019原子/Cm3 ’在45與5〇keV的佈 峰值::雜』1 〇2。原子/⑽3。此即低掺雜物佈植能量使濃度 界面,形成低起始界面濃度。我們的結果顯 的峰值::佈植能*形成較深的接面深度,但其較大 差於形成低的片電阻。•面表面濃度的甚大 左/、將巨幅影響元件特性。 摻雜Ξν二堯7二別顯广BF2+ 4〇keV、45keV及5〇keV樣品在 關係,dia接而3面片電阻及接面深度與RTA時間之間的 Q/ nc m7. 呈現低的片電阻,對50keV樣品甚至&lt;8 00 比較m接面電阻隨RTA溫度與時間的增加而減少。 少。實際二垃可ίί見到接面電阻亦隨佈植能量的增加而減 中。通當桩而木度決定於S 1MS量測,其示於圖8、9、1 0 而增加。 /衣度應該隨著佈植能量、RTA溫度及RTA時間200410320 V. Description of the invention (ίο) (j 罙 degree = 45nm and 57nm), not after the implantation! ^ The previous interface depth can be set to 35keV to 50keV for the implantation at 14.3nm, 23.3nm, 35 9nm and 37 3nm. The peak of the boron concentration on the surface of the silicon substrate or the junction surface is implanted under π "approximately 1X1019 atoms / Cm3" at 45 and 50 keV. The peak of the cloth :: hetero "1 02. Atom / ⑽3. This means that low dopant implantation energy causes the concentration interface to form a low initial interface concentration. The peak value of our results is :: the planting energy * forms a deeper junction depth, but it is much worse than forming a low sheet resistance. • The surface concentration is very high. Left /, will greatly affect the component characteristics. The doped Ξν Eryao 7 2Bie wide BF2 + 40 keV, 45 keV and 50 keV samples are related, dia is followed by 3 sheet resistance and Q / nc m7 between the junction depth and RTA time. It shows a low slice Resistance, for 50keV samples and even <8 00 comparison m junction resistance decreases with increasing RTA temperature and time. less. In fact, it can be seen that the interface resistance also decreases with the increase of the implantation energy. The degree of woodiness depends on the S 1MS measurement, which is shown in Figures 8, 9, and 10 and increases. / Clothing degree should be based on the planting energy, RTA temperature and RTA time

圖8、9 X 1 a I 1 0 〇 〇 °c 1 〇 中’不出 RTA 的 900 °C 25s、l〇〇〇°c 5s、 析呈現近^而條★件的SIMS數據,SIMS量測之表面與界面分 面信號,需要j。具有原生氧化物的樣品會造成尖峰表 時灌入氧1,一則置的穩定區。移除表面效應必須在量測 表面效應=蒋生長一表面氧化物而正規化,此處SIMS圖的 為界限g得到除,因為缺少氧源。以硼濃度1018原子/cm3 、 的接面深度標示於曲線說明標籤上,p — n接Figure 8, 9 X 1 a I 1 0 0 0 ° c 1 0 'not showing RTA at 900 ° C 25s, 100 ° c 5s, analysis of the SIMS data, which is close to the standard, SIMS measurement The surface and interface facet signals require j. Samples with native oxides will cause spikes in the surface to be filled with oxygen 1 and a stable region. The removal of the surface effect must be normalized by measuring the surface effect = Jiang growth of a surface oxide, where the SIMS diagram is divided by the limit g because of the lack of an oxygen source. The junction depth at the boron concentration of 1018 atoms / cm3 is indicated on the curve description label.

第14頁 200410320 面的深度隨佈植能量而增加,接面深度亦隨RTA時間的增 加而更深,如圖9、10所示,較高的RTA溫度亦增強摻雜曰物 的擴散(圖8與9 ). … 不過’以相等佈植能量但變化的RTA條件比較爛的樣 品圖後’我們注意到不同RT A條件的效應並不明顯,不同 RTA條件僅造成接面深度5〜1 Onm的差異,接面深度主要決 定於起始佈植能量,亦即與以人的效應比較,接面深度是 更強烈受到佈植能量的影響的。 又 一 ◦ 5s RTA樣品的侧SIMS圖與佈植後未RTA前的圖 同時示於圖11中,以觀察RTA之後的摻雜劑擴散,RTA樣品 # 的SIMS表面效應沒有移除,接面深度在RTA之後僅增加 5 1 0 nm,9 5 0 C 2 5 s及1 〇 〇 〇 °c 1 〇 s的樣品中亦得到類似的 L果。表面的硼濃度約降一個數量級,導致4〇keV樣品之 表面/辰度1 019原子/cm1 2,45及50keV樣品則下降較少,約落 在Q原子/cm2附近。不充分的摻雜物濃度對樣品造 j較大的片電阻與較大的漏電流,不過45 &amp;5〇keV樣品具 車乂大的峰值/辰度,有利於形成低片電阻的接面。亦值得 =意的是硼圖的斜率在RTA之前後都相同,意味著陡峭的 接面可以用D IA法製成。 表4示出這些不同RTA及佈植條件的接面深度之摘要。·Page 14 200410320 The depth of the surface increases with the implantation energy, and the depth of the interface also increases with the increase of RTA time, as shown in Figures 9 and 10. Higher RTA temperatures also enhance the diffusion of dopants (Figure 8). And 9).… But 'after the sample map with poor RTA conditions with the same implanted energy but with poor RTA conditions', we noticed that the effects of different RT A conditions are not obvious, and the different RTA conditions only cause the junction depth of 5 ~ 1 Onm The difference, the depth of the interface is mainly determined by the initial implantation energy, that is, compared with the effect of humans, the depth of the interface is more strongly affected by the implantation energy. Another side SIMS image of the 5s RTA sample is shown in Figure 11 along with the image before RTA after implantation. To observe the dopant diffusion after RTA, the SIMS surface effect of RTA sample # has not been removed, and the junction depth Similar results were obtained in samples with only 5 10 nm, 9 50 C 2 5 s, and 1000 ° c 10 s after RTA. The boron concentration on the surface decreased by about an order of magnitude, resulting in a surface / chenity of 1 019 atoms / cm1 at 40 keV samples, and a decrease of 45, 50 and 50 keV samples, which fell around Q atoms / cm2. Insufficient dopant concentration has a large sheet resistance and a large leakage current for the sample, but the 45 &amp; 50keV sample has a large peak / cage degree, which is beneficial to the formation of a low sheet resistance junction. . It is also worthwhile to note that the slope of the boron diagram is the same before and after the RTA, which means that the steep junction can be made by the D IA method. Table 4 shows a summary of the junction depths for these different RTAs and implant conditions. ·

第15頁 1 · 2 · ρ+ η接面洩漏電流 2 •圖1 2及圖1 3不出D I Α接面的反向偏壓汽漏電流密度與 不同佈植能I的累積圖。所量測的二極體區域為丨〇 〇χ丨〇 〇 200410320 五、發明說明(12) V m,接面洩漏電流隨佈植能量辦 呈現較低的浪漏電流。$是因面所致’較深接面 a w w m + % 4人 為接面缺陷通常位於晶圓表 面附近,因此淺接面包含大却八、&gt; μ α ^ 八°卩分攻些缺陷區域。不過當接 面深入單晶基片時,洩漏電沪攸你、☆ π ^ 拉二Τ ☆ ΛΛ以丄 ., %路徑文阻,因此洩漏電流隨 接面深度的增加而減少。我侗 4 ^ ^ ^ 双們可以推測40keV洩漏電流密 度應該是在45與35keV圖之間sT7 t 法 y ^ 间某處,45keV與50keV樣品的 低洩漏電流密度約l〇_7A/cm2,$人μ - μ ^ 士 適合於το件的應用。 2 · 3 ·反向偏壓接面泡漏特性 使用肝4 156半導體參數分析儀量測方形二極體以DI A ^形成的接面之電流-電壓特性,在不同溫度下所量測的 反向電流-電壓特性(Ir相對於示於圖14。 圖15示出反向偏壓接面中的接面汽漏分量示意圖,二 β體的全部反向電流大約是中性區的擴散分量與空乏區的 發生電流之和,如下面式子丨所示: =qADniV(NAx Ld) + qAniW/2 τ (式子1) 其中Δ為接面面積,D為擴散係數,〜為本質密度,Να 為党體雜質密度,Ld為擴散長度,w為空乏寬度,r為有 效生命期。 式子1中,1din正比於T3exp(-EA/kT),Igen正比於Page 15 1 · 2 · ρ + η junction leakage current 2 • Figure 12 and Figure 13 do not show the reverse bias steam leakage current density at the D I Α junction and the cumulative plot of different plant energy I. The measured diode area is 丨 〇 〇χ 丨 〇 〇 200410320 V. Description of the invention (12) V m, the junction leakage current shows a lower wave leakage current with the plant energy office. $ 是 是 面面 的 ’Deeper junction a w w m +% 4 Artificial junction defects are usually located near the wafer surface, so shallow junctions include large but large, &gt; μ α ^ 8 ° points to attack some defect areas. However, when the interface penetrates into the single crystal substrate, the leakage current will increase, and the leakage current will decrease as the interface depth increases. We can predict that the leakage current density of 40 keV should be between 45 and 35 keV, sT7 t method y ^ somewhere, the low leakage current density of 45 keV and 50 keV samples is about 10-7A / cm2, $ Human μ-μ ^ persons are suitable for applications of το pieces. 2 · 3 · Reverse-biased junction bubble leakage characteristics Using a liver 4 156 semiconductor parameter analyzer to measure the current-voltage characteristics of junctions formed by square diodes with DI A ^, measured at different temperatures. Directional current-voltage characteristics (Ir vs. shown in Figure 14. Figure 15 shows a schematic diagram of the junction vapor leakage component in the reverse bias junction. The total reverse current of the two β-body is about the diffusion component of the neutral zone and The sum of the generated currents in the empty region is shown in the following formula: = qADniV (NAx Ld) + qAniW / 2 τ (Equation 1) where Δ is the junction area, D is the diffusion coefficient, ~ is the intrinsic density, and Να Is the party impurity density, Ld is the diffusion length, w is the empty width, and r is the effective lifetime. In Equation 1, 1din is proportional to T3exp (-EA / kT), and Igen is proportional to

第16頁 200410320 五、發明說明(13) T3/2exp(-EA/2kT),其中Ea為活化能量。對於擴散電流,Ea 等於Eg(Si的能隙),對於發生電流,&amp;等於Eg/2。兩個接 面、A漏分量’接面區域洩漏電流密度(jra )及接面周邊洩漏 電流选度(JRP) ’如圖1 6所示可以分開:Page 16 200410320 V. Description of the invention (13) T3 / 2exp (-EA / 2kT), where Ea is the activation energy. For the diffusion current, Ea is equal to Eg (the energy gap of Si), and for the generation current, &amp; is equal to Eg / 2. The two interfaces, the leakage component A ', the leakage current density (jra) in the interface area, and the leakage current selection (JRP)' in the periphery of the interface can be separated as shown in Figure 16:

L = A x jra + P X JRp + △ I jr = Jra + (P/A) x jRp + A I /AL = A x jra + P X JRp + △ I jr = Jra + (P / A) x jRp + A I / A

JrP - JrPI + JrP2JrP-JrPI + JrP2

Jrpi :沿石夕/氧化物界面的周邊電流密度(A/cm)Jrpi: Peripheral current density along the Shi Xi / oxide interface (A / cm)

Jrp2 :沿周邊的周邊電流密度(A/cm) 其中A :接面面積(cm2) P :接面周長(cm) jra :區域電流密度(A/cm2)Jrp2: Peripheral current density (A / cm) along the periphery where A: Junction area (cm2) P: Junction perimeter (cm) jra: Regional current density (A / cm2)

Jrp :周邊電流密度(A/cm) △ 1 :系統洩漏電流 若反向洩漏電流密度作為p/ A比值的函數而畫出,則 斜率為JRP,其與Y軸的交點為Jra,如圖17所示。我們發現 此法十分成功地適用於D丨A接面。 將面積為 1 0 0x 1 0 0 _、200x200 _ 及 300x3 00 /zm的二Jrp: Peripheral current density (A / cm) △ 1: System leakage current If the reverse leakage current density is plotted as a function of p / A ratio, the slope is JRP, and the intersection point with the Y axis is Jra, as shown in Figure 17 As shown. We have found this method to be very successful for D 丨 A junctions. Divide the area between 1 0 0x 1 0 0 _, 200x200 _ and 300x3 00 / zm.

,體加以里測,—3 v的反向接面電流就定為其周邊相對於 區域比值圖的洩漏電流。圖^為^⑽^ 5s rta樣品的p/A 比值對=^佈植能量的圖,佈植缺陷通常在植入尖峰處最 =、’通常罪近接面表面,因此導致接面漏電的缺陷大部分 罪,接面周邊,同時矽與氧化物之界面亦產生界面陷阱, 於疋周邊成為主要的漏電路徑;周邊的效應可以在p/A比When measured in-situ, the reverse junction current of -3 v is determined as the leakage current of its periphery relative to the area ratio map. Figure ^ is the p / A ratio of ^ ⑽ ^ 5s rta sample = ^ implantation energy, implantation defects are usually the most at the implantation peak =, 'usually sin near the surface of the interface, so the defect of the interface leakage is large Part of the crime, the interface around the interface, at the same time, the interface between silicon and oxide also generates interface traps, which become the main leakage path around the plutonium; peripheral effects can be in the p / A ratio

200410320 五、發明說明(14) ' &quot; 值圖中顯示。當P/A比值圖的斜率隨佈植能量的增加而降 t時,我們可以假設50keV樣品的帛面上缺陷效應最小, =假定這是因$高能量佈i所形&amp;的較深接面所致,因 為佈植缺陷影響接面周邊的漏電,在較深的接面中,含有 缺陷的周邊比例較小。我們希皆六R n 到更明顯的佈植缺們品中以MA法見 ^ ^ ^ 蜗丨曰减夕里测活化能量以進一步驗證此 JRA與JRP都是擴散電流盥蘇&amp; 蕪八Μ τ Α Α 生電流的和,所以我們可以 糟刀析JRA與JRP而瞭解接面特性 ^ _ 機制,於是觀察反向電以丄τ為了研究區域及周邊電流 Ι/kT)的關聯性,如圖19 n ra/T3)及ln(JRP/T3)相對於 量測反向接fir y.囷9所不。變化溫度從25 〇c到j 9〇 t 里:反向接面’在_3V時定出p+n接 Arrhenius圖之斜率(1 τ /T3 + 、 $屬冤机 區域洩漏電流密度的活R目對於1/kT)即為活化能量。 E (1. 12eV),抟a 士 月匕里為〇· 9 5eV,接近於矽的 的缺陷,擴散電流::f步驟在區域範圍内並未產生明顯 同的斜率,在低溫時,FRA。對於周邊電流密度,有兩個不 中主導JRP ’因為沿著石夕52eV,近乎E〆2,J州在此區 在接面中的缺陷成為發化物的界面有一些界面狀態, 生電流在此種溫度下 “ ’其降低活化能量,結果發 此較高的活化能^表厂、為主導。在高溫時,EA為1 · 1 3eV, 電流成為主要的漏^ = Jf2在此區中主導Λρ的電流,擴散 0 · 9 5 e V及1 · 1 3 e ν,原=,。在向溫區jrp及jra的斜率分別為 阱存在於矽/氣仆私1因是’對於周邊電流密度,有一些陷 乳化物的界面處。佈植步驟並未產生實質的 200410320200410320 V. Description of the invention (14) '&quot; When the slope of the P / A ratio graph decreases with the increase of the implantation energy, we can assume that the defect effect on the plantar surface of the 50keV sample is the smallest, = assuming that this is due to the deeper connection of the & It is caused by surface defects, because the defect of the implantation affects the leakage around the interface, in the deeper interface, the proportion of the periphery containing the defect is small. We wish to see the MA method in the more prominent fabrics. ^ ^ ^ Snail 丨 Measure the activation energy in the evening to further verify that both JRA and JRP are diffusion currents. &Amp; Wu Ba Μ τ Α Α generates the sum of currents, so we can analyze JRA and JRP to understand the interface characteristics ^ _ mechanism, so we observe the reverse electricity and 丄 τ to study the correlation between the area and the surrounding current (I / kT), as shown in Figure 19 n ra / T3) and ln (JRP / T3) are not connected to fir y. 囷 9 relative to the measurement. Change temperature from 25 ℃ to j 9 〇t: the reverse junction 'sets the slope of the P + n connection to the Arrhenius diagram at _3V (1 τ / T3 +, $ is the active R of the leakage current density in the region For the 1 / kT) is the activation energy. E (1. 12eV), 抟 a is 9.5 eV, which is close to the defects of silicon, and the diffusion current :: f step does not produce the same slope in the region. At low temperature, FRA. Regarding the peripheral current density, there are two leading JRPs. Because along the Xixi 52eV, nearly E〆2, the state of J in this region has some interface states at the interface where the defect becomes a chemical product. The current is generated here. At lower temperatures, it lowers the activation energy. As a result, the higher activation energy is shown in Table 2. At high temperatures, EA is 1 · 1 3eV, and current becomes the main leakage ^ = Jf2 dominates Λρ in this region. Current, diffusion 0 · 9 5 e V and 1 · 1 3 e ν, original = ,. The slopes of the jrp and jra in the temperature zone are wells existing in the silicon / aircraft 1 because 'for the peripheral current density, There are some trapped emulsions at the interface. The implantation step did not produce a substantial 200410320

五、發明說明(15) 缺陷。 _ △ u不m μ流沒馮參數之區域電流密度相對於反 壓之圖,對於一理想的接面Jra應該是不受電壓影響的:= 是因為在區域範圍内不產生缺陷,且擴散電流主^ 以區域電流不受電壓影響。不過,由於接面串聯電卩^,所 是見到洩漏電流與電壓之間的線性關係,圖2丨示出以7 度為參數之周邊電流密度相對於反向偏壓之圖 q 我們可以 見到JRP是比較受偏壓電壓的影響的。 在不同電壓下量測的區域及周邊洩漏電流之 Arrhenius圖示於圖22及圖23,所計算的區域漏電之、、舌 能量約1 eV,在擴散電流主導的高溫下,周邊汽漏電^化 Arrhenius圖呈現1· lev的活化能量。不過低溫的活== 量隨反向電壓的增加而降低,在低偏壓下,熱發射是: 電流的主要機制,但在高偏壓下,穿通距離顯&amp;縮=^生 (d 1 &gt;d2 ),如圖2 4所示,發生電流大部分是因為較高的穿 通機會而增加,因此活化能量就降低了。主導機制η由發牙 轉為擴散的溫度約為9 0 °C。 久 對於不同溫度之jRp及JRA之比值相對於電壓之圖示於圖 25,雖然JRP及JRA隨所加電壓而增加,但Jrp/Jra比值則幾乎 維持一樣,因此在DIA接面形成法中見不到明顯的接面漏 電。圖26示出對不同面積50 0x50 0 //m、l〇〇〇x5 0 0 “爪及 l〇〇〇xlOOO//m之ln(jR/T3)相對於1/kT之圖,活化能量幾乎 維持約相同的1 e V。主導機制由發生轉為擴散的溫度約為 90〜100 °C,此結果與先前的觀察是一致的。V. Description of the Invention (15) Defects. _ △ u not m μ The plot of the current density of the area relative to the back pressure of the Feng parameter. For an ideal junction Jra should not be affected by voltage: = because no defects occur in the area, and the diffusion current The main current is not affected by voltage. However, because the junction is connected in series, the linear relationship between the leakage current and the voltage is seen. Figure 2 丨 shows the graph of the peripheral current density relative to the reverse bias with a parameter of 7 degrees. We can see To JRP is relatively affected by the bias voltage. The Arrhenius diagrams of the area and surrounding leakage currents measured at different voltages are shown in Figures 22 and 23. The calculated area leakage and tongue energy are about 1 eV. At high temperatures dominated by the diffusion current, the surrounding steam leakage is reduced. The Arrhenius diagram shows the activation energy of 1. lev. However, the activity at low temperature decreases with the increase of the reverse voltage. At low bias, heat emission is: the main mechanism of current, but at high bias, the penetration distance is significantly smaller than ^ sheng (d 1 &gt; d2), as shown in Fig. 24, most of the generated current is increased due to a higher punch-through opportunity, so the activation energy is reduced. The predominant mechanism η changes from hair to diffusion at a temperature of about 90 ° C. The graph of the ratio of jRp and JRA versus voltage for different temperatures for a long time is shown in Figure 25. Although JRP and JRA increase with the applied voltage, the Jrp / Jra ratio remains almost the same. No obvious junction leakage. FIG. 26 shows a graph of ln (jR / T3) vs. 1 / kT for different areas of 50 × 50 0 // m, 1000 × 50 0 “claw and 1000 × 1000 // m, the activation energy is almost Maintain approximately the same 1 e V. The temperature at which the dominant mechanism changes from occurrence to diffusion is approximately 90 ~ 100 ° C, and this result is consistent with previous observations.

200410320200410320

2· 4·實驗B之總結 DIA法可以形成具有高表 的淺且陡峭的接面,經由非曰^又、低電阻及良好電性 效應,而且將植入峰值缺陷 〃雜物的牙通2 · 4 · Summary of Experiment B The DIA method can form a shallow and steep junction with a high surface, through non-condensing, low resistance, and good electrical effects, and the implantation of peak defects, impurities, and teeth through

Arrhenius B t ^ ^ 可能在接面周邊形成。為了確定、言此品匕中,不過缺陷 關,後續的實驗將佈植能量降到;;k:广疋否與佈植有 3·實驗C:DIA接面與RTA條件的關聯性 在實驗C中,BF,佈植能量降至4〇keV,劑量 原子^广,m條件示於表5,RTA時間、溫度及_;降 ^鼠^青洗流率的形式表示)為影響接面形成的變數,本 :概:&quot;較快的下降率影響接面深度及摻雜物活化濃度 3 · 1 ·接面深度與片電阻 圖27示出初始佈植後與經過rta後的ρ — η接面中的硼 S^MS圖,RTA樣品的SIMS表面效應沒有移除,佈植後未 月1J的接面深度5〇 · 5nm。在實驗C中,短RTA時間之向外擴散 機制亦可由9 50 °C、1 0 0 0 °C與105(TC &lt;5s樣品觀察得到 fRTA時間及高RTA溫度使摻雜物擴散深入基片中。這些樣 品的接面深度決定於1〇18原子/cm3,以圖形内的說明標籤 不出’摻雜物峰值濃度在1〇丨9〜1(P原子/cm3之間。Arrhenius B t ^ ^ may form around the interface. In order to determine and say this product, but the defect is closed, the subsequent experiments will reduce the planting energy to; k: Whether Guangye and planting have 3. Experiment C: The correlation between the DIA interface and the RTA conditions is in Experiment C. Medium, BF, the implantation energy is reduced to 40 keV, the dose atom is wide, and the m conditions are shown in Table 5. The RTA time, temperature, and temperature are shown in the form of reducing the rat flow rate. Variable, this: General: &quot; Fast decline rate affects the junction depth and dopant activation concentration 3 · 1 · junction depth and sheet resistance Figure 27 shows the ρ — η connection after initial implantation and after rta S ^ MS image of boron in the surface, the SIMS surface effect of the RTA sample was not removed, and the junction depth of the 1J junction was 50.5 nm. In experiment C, the out-diffusion mechanism of short RTA time can also be observed at 9 50 ° C, 100 ° C and 105 (TC &lt; 5s samples) to obtain the fRTA time and high RTA temperature to make the dopants diffuse into the substrate. The depth of the junction of these samples is determined by 1018 atoms / cm3. The peak concentration of the dopant is not within the range of 10-9 ~ 1 (P atoms / cm3) as shown in the figure.

200410320 五、發明說明(17) 下降率對接面深度的效應已經證實了,較快的下降率 在抑制硼擴散及獲得高度活化上十分有效,於是可以形成 南濃度的淺而陡峭的接面。在快速加熱處理儀器中,晶圓 是被環繞箱室的燈管迅即加熱的,不過晶圓的冷卻則決定 於通過箱室的氮氣流,下降率類似於冷卻率,就是氮氣清 洗机的速率。一般用的流率是3 sccm,為了增加下降 率,n2 氣流增s5sccm qD1 樣品(1〇5〇t &lt;5s,N2:5sccm)呈 現的結果符合於其他的報告,得到深度4〇nm的陡峭接面, 且其峰值濃度與未經RTA處理的初始佈植圖相當。200410320 V. Description of the invention (17) The effect of the decline rate on the depth of the joint has been confirmed. A faster decline rate is very effective in suppressing the diffusion of boron and obtaining a high degree of activation, so a shallow and steep joint with a south concentration can be formed. In the rapid heat processing equipment, the wafer is heated immediately by the lamp tube surrounding the chamber, but the cooling of the wafer is determined by the nitrogen flow through the chamber. The decline rate is similar to the cooling rate, which is the rate of the nitrogen scrubber. The commonly used flow rate is 3 sccm. In order to increase the decline rate, the n2 air flow is increased by s5sccm qD1 sample (105t &lt; 5s, N2: 5sccm). The results presented are consistent with other reports, and the steepness of the depth is 40nm. And the peak concentration is equivalent to the initial planting map without RTA treatment.

實驗C的接面深度及片電阻整理於表6中,片電阻隨接 面深度的增加而降低。雖然沒有C1樣品(1〇5Q c’ &lt;5s,% : 3sccm)以SIMS量測的接面深度,但由片電阻值 可以預期是在50nm左右,因此可以確證 的影響。這種效應的更多證據在後面章節二 樣顯示。 外圖28為以SSM I50展阻探針(SRP)量測的電阻及所計 鼻的摻雜濃度之圖,展阻量測提供一種活化濃度的電性量 測,當將原始的展阻轉成摻雜物濃度時,只有摻雜物是電 性活化的,意即其在晶格位置上,才能量測出來。比較 SIMS的硼圖與SRP分析,可以檢視對摻雜物活化的 =,對樣品〇1(1〇5〇。〇,&lt;5^3“])’播雜物化學濃度匕 峰值(SIMS)約4xl019原子/cm3,圖28中電性濃度峰值 亦約4xl(F原子/W。使用M㈣條件結合ma接面 形成法可以得到完全活化的摻雜物。The junction depth and sheet resistance of Experiment C are summarized in Table 6, and the sheet resistance decreases as the junction depth increases. Although there is no C1 sample (105Q c '&lt; 5s,%: 3sccm) as measured by SIMS, the sheet resistance value can be expected to be around 50nm, so the effect can be confirmed. More evidence of this effect is shown in the following sections. Figure 28 shows the resistance measured by the SSM I50 spreading probe (SRP) and the doping concentration of the nose. The spreading measurement provides an electrical measurement of the activation concentration. When the dopant concentration is formed, only the dopant is electrically activated, which means that it can be measured at the lattice position. Comparing the boron diagram of SIMS with the SRP analysis, you can check the activation of the dopant =, the peak of the chemical concentration (SIMS) of the sample 〇1 (105. 00, < 5 ^ 3 "]) It is about 4xl019 atoms / cm3, and the peak value of the electrical concentration in Figure 28 is also about 4xl (Fatoms / W. Using M㈣ conditions combined with the ma junction formation method can obtain fully activated dopants.

200410320 五、發明說明 (18)200410320 V. Description of Invention (18)

3 · 2 · P+ η接面洩漏電流 二向偏壓攻漏電流密度相對於不同RTA條件的累計圖 圖29,發現三種RTA溫度95(rc、1〇〇(rc及1〇5〇t的浪 =流密度隨溫度的增加而降低,較長的m時間亦顯示 =制洩漏電流,此與前面章節中的結果一致,較深的接面 呈現較小的洩漏電流。大RTA下降率的主要考慮是晶圓冷 卻的一致性,從累計的漏電圖,我們可以見到晶圓的一致 性良好,即使對D1 RTA條件亦然。 =Cl(105〇 C,&lt;5s,N2: 3sccm)的洩漏電流是圖29樣品中 最小的。假设 漏電流受接面深度影響,我們可以假定◦ 1 的接面深度比D1深,於是RTA下降率對接面深度的效應因 此提供了進一步的證明。 3 · 3 ·反向偏壓接面漏電特性 使用HP4 156半導體參數分析儀量測以DIA所形成的接 面之電流-電壓特性,在不同溫度下所量測的反向電流一電 壓特性(IR相對於VR )示於圖3 0。 在圖31中,由不同面積500x500/zm、1000x500//m及 1 000x1 00 0 /z ni的三個二極體量出其接面洩漏電流,並以 P/A比值的函數而畫出圖形,顯示p/A比值與RTA條件無 關。這意味著接面中的缺陷已經減少,且其對接面p/A的 效應無關緊要。接面區域及周邊的漏電將分別討論。 分析JRA與JRP而透視接面特性,D 1 (1 0 5 03 · 2 · P + η junction leakage current Bidirectional bias attack leakage current density vs. different RTA conditions cumulative Figure 29, three RTA temperatures 95 (rc, 100 (rc and 105 = The current density decreases with increasing temperature, and a longer m time also shows = control leakage current, which is consistent with the results in the previous chapters. Deeper junctions show less leakage current. Main considerations for large RTA decline rates It is the consistency of wafer cooling. From the cumulative leakage chart, we can see that the wafer consistency is good, even for D1 RTA conditions. = Cl (105〇C, &lt; 5s, N2: 3sccm) leakage The current is the smallest of the samples in Figure 29. Assuming that the leakage current is affected by the depth of the interface, we can assume that the depth of the interface is deeper than D1, so the effect of the RTA decline rate on the depth of the interface provides further proof. 3 · 3 · Reverse bias junction leakage characteristics Use the HP4 156 semiconductor parameter analyzer to measure the current-voltage characteristics of the junction formed by DIA. The reverse current-voltage characteristics (IR vs. VR) measured at different temperatures ) Is shown in Figure 30. In Figure 31, different faces The three diodes of 500x500 / zm, 1000x500 // m and 1 000x1 00 0 / z ni were measured for the leakage current at the interface, and the graph was plotted as a function of the P / A ratio, showing the p / A ratio and RTA The conditions are irrelevant. This means that the defects in the interface have been reduced, and the p / A effect of the interface is irrelevant. The leakage current in the interface area and the surrounding area will be discussed separately. Analysis of JRA and JRP and perspective of the interface characteristics, D 1 ( 1 0 5 0

第22頁 200410320 五、發明說明(19) °C,&lt;5s,N2 : 5sccm)樣品 兩者的活化能量都呈現 的1· 05eV,因此幾乎沒 產生,擴散電流主導這 的溫度現在被抑制到室 是所用較低的佈植能量 5 0 k e V降到4 0 k e V時,佈 内,達成了佈植缺陷的 圖33及圖34是在不 密度相對於反向電壓之 這再度證實接面中幾乎 漏電機制。 的Arrehnius圖示於圖32。JRA與JRP 接近於s^Eg,即Jra 的 1〇3e^jRp 有缺陷因佈值而在接面區域及周邊 ,區域。主導機制由發生轉成擴散 μ以下’我們推測所有缺陷之移除 的結果,當我們將佈植能量由 植峰值確證完全在屏蔽非晶矽層 完全移除。 同的溫度下量測的區域及周邊電流 圖,Jra與JRP都不受反向電壓影響, 沒有缺陷形成,擴散電流是主要的 在不同電壓下量測的區域及周邊洩漏電流之 Arrhenius圖示於圖35及圖36,對區域漏電所計算的活化 能量約leV,Arrhenius圖對於周邊洩漏電流所呈現的活化 能量約為1. 05 eV。 不同溫度之JRP對JRA之比值示於圖37,可以見到其受溫 度或反向電壓的影響甚小,因此在D IA接面形成法中見不 到明顯的接面漏電。 圖38示出不同面積(500x500 //m、1000x500 及 1 0 0 0xl 000 //m)之ln(JR/T3)相對於1/kT之圖,因為接面的 區域及周邊洩漏電流均為擴散主導,所以對不同P/ A比值 所計算的活化能量大約相同。Page 22 200410320 V. Description of the invention (19) ° C, &lt; 5s, N2: 5sccm) The activation energy of both samples showed 1.05eV, so it was hardly produced, and the temperature dominated by the diffusion current is now suppressed to The lower implantation energy used in the chamber is 50 ke V to 40 ke V, and the implantation defects are achieved in the cloth. Figures 33 and 34 are again confirmed at the density and the reverse voltage. Almost leakage mechanism. The Arrehnius diagram is shown in Figure 32. JRA and JRP are close to s ^ Eg, that is, the 103e ^ jRp of Jra is defective in the interface area and the surrounding area due to the cloth value. The dominant mechanism is changed from occurrence to diffusion below μ ′ We speculate the result of the removal of all defects. When we implanted the energy from the peak of the implantation, we confirmed that the amorphous silicon layer was completely removed. The area and surrounding current measured at the same temperature, both Jra and JRP are not affected by the reverse voltage, no defects are formed, and the diffusion current is the Arrhenius diagram of the area and surrounding leakage current measured at different voltages. 05 eV。 Figure 35 and Figure 36, the activation energy calculated for regional leakage is about leV, Arrhenius diagram for the surrounding leakage current activation energy is about 1. 05 eV. The ratio of JRP to JRA at different temperatures is shown in Figure 37. It can be seen that it is little affected by temperature or reverse voltage. Therefore, no obvious interface leakage is seen in the D IA interface formation method. Figure 38 shows the plots of ln (JR / T3) vs. 1 / kT in different areas (500x500 // m, 1000x500, and 1 0 0xl 000 // m), because the leakage current in the area of the junction and the surrounding area are diffused. Dominant, so the calculated activation energy for different P / A ratios is about the same.

第23頁 200410320 五、發明說明(20) 3· 4·實驗C之總結 DI A接面中的接面深度、片電阻及電性都發現與RTa的 條件無關’接面深度隨退火溫度及RTA時間的增加而增 ,。並且探討RTA下降率,發現下降率增加將降低硼的擴 政’並呈現南活化濃度。藉降低佈植能量,所規劃的D j A 法可以形成幾乎無佈值缺陷的接面。區域及周邊都已經探 討,發現屏蔽的非晶矽層能夠隔離佈植峰值造成的缺陷。 4 ·總結 具有高物理及電濃度及良好雷极&amp; &amp; 丁电性的淺而陡峭的接面可 以用所規劃的D I Α接面形成法形成,给私&amp; 7取’貫驗結果與DIA法的概 心一致。屏蔽非晶矽層幾乎移除了 DIA法可以形成無缺陷的接面。 、才缺陷’以 的技術所得到的串聯電 我們的無缺陷的DIA接 才妾面使十奈米的PM0S應 下述的申請專利範圍, 由Skotnicki的圖顯示以不同 阻與接面深度之完整比較(圖3 9 ), 面也附記在此圖上,可以見到DI a 用登陸於可行之域。 本發明的精神及領域僅受限於 不受限於上述的實施例。Page 23 200410320 V. Description of the invention (20) 3.4 Summary of experiment C The junction depth, sheet resistance, and electrical properties in the DI A junction were found to be independent of the conditions of RTa. The junction depth varies with the annealing temperature and RTA. Increase with time. In addition, the decline rate of RTA was discussed, and it was found that an increase in the decrease rate will reduce the expansion of boron ’and present a South activation concentration. By reducing the implantation energy, the planned D j A method can form a junction with almost no fabric defect. The area and surroundings have been discussed and found that the shielded amorphous silicon layer can isolate defects caused by the peaks of the implant. 4 · Summarizing shallow and steep junctions with high physical and electrical concentration and good thunder &amp; electrical properties can be formed using the planned DI Α junction formation method, and the private &amp; 7 results will be taken. Consistent with the DIA law. Shielding the amorphous silicon layer almost removes the DIA method to form a defect-free junction. ”Defects” series technology obtained by our technology. Our non-defective DIA connection surface makes ten nanometers of PM0S should be applied for the following patent application scope. Skotnicki's diagram shows the completeness of the different resistance and interface depth. For comparison (Figure 3-9), the surface is also attached to this map, and you can see that DI a is landing in the feasible field. The spirit and field of the present invention are limited only by the embodiments described above.

200410320 圖式簡單說明 圖 1 為 D I A ( D i f f u s i ο n f r 〇 m i m p 1 a n t e d a m 〇 r p h 〇 u s si 1 icon,由植入的非晶矽而擴散)方法之示意圖。 圖2 為DIA (Diffusion from implanted amorphous s i 1 i c ο η ’由植入的非晶石夕而擴散)方法之製程圖。 表1為實驗Α之RTA條件表。 圖3為實驗A之硼離子二次質譜圖。 表2為實驗A之片電阻結果。 表3為實驗B之RTA時間與溫度條件表。 圖4為佈植後未RTA前的硼SIMS圖。 圖5為40keV BF2+植入樣品的片電阻與RTA時間及溫度 之關係圖。 圖6為45keV BF2+植入樣品的片電阻與RTA時間及溫度 之關係圖。 圖7為50keV BF2+植入樣品的片電阻與RTA時間及溫度 之關係圖。 圖8為在RTA 900 C 25秒後之不同蝴植入能量的sims 圖。200410320 Brief Description of the Drawings Figure 1 is a schematic diagram of the D I A (D i f f s i ο n f r om m m p 1 a n t e d a m 0 r p h 0 s si 1 icon, diffused by implanted amorphous silicon) method. Figure 2 is a process diagram of the DIA (Diffusion from implanted amorphous s i 1 i c ο η ′) method. Table 1 is a table of RTA conditions for experiment A. Figure 3 shows the secondary mass spectrum of boron ion in experiment A. Table 2 shows the sheet resistance results of experiment A. Table 3 is a table of RTA time and temperature conditions for experiment B. Figure 4 is a SIMS image of boron before RTA after implantation. Figure 5 is a graph of the relationship between sheet resistance and RTA time and temperature of a 40keV BF2 + implanted sample. Figure 6 shows the relationship between the sheet resistance of a 45keV BF2 + implanted sample and the RTA time and temperature. Figure 7 is a graph of the relationship between sheet resistance and RTA time and temperature for a 50keV BF2 + implant sample. Fig. 8 is a sims diagram of different butterfly implantation energies after 25 seconds of RTA 900 C.

圖9為在RTA 1000 °C 5秒後之不同蝴植入能量的simS 圖。 圖10為在RT A 1 000 °c 10秒後之不同硼植入能量的 SIMS 圖。 圖11為佈值後未RTA前和RTA 1 0 00 t 5秒後之不同爛 植入能量的S IMS圖。 表4為實驗B中接面深度與佈植能量及RTA條件的關係Figure 9 is a simS diagram of different butterfly implantation energies after 5 seconds at RTA 1000 ° C. Figure 10 is a SIMS plot of different boron implantation energies after 10 seconds at RT A 1 000 ° c. Fig. 11 is a graph showing the difference in implanted energy before RTA before RTA and after RTA 1 00 t 5 seconds after implantation. Table 4 shows the relationship between the junction depth and the implantation energy and RTA conditions in Experiment B.

第25頁 200410320 圖式簡單說明 表。 圖1 2為D IA接面的反向偏壓(3V)洩漏電流密度與不同 佈植能量RTA 1 0 5 0 °C 5秒的累計圖。 圖13為DIA接面的反向偏壓(3V)泡漏電流密度與不同 佈植能量RTA 1 0 0 0 °C 5秒的累計圖。 圖14為50keV RTA 1 0 00 °C 5秒樣品之反向電流-電壓 特性在不同溫度所量測的圖。 圖1 5為反向偏壓接面的洩漏分量示意圖。 圖1 6示出接面洩漏電流IR為周邊電流IRP與區域電流 IRA之和。 圖1 7為反向洩漏電流密度對不同P/A比值之圖。 圖1 8為對1 〇 5 0 C 5秒R T A條件之反向接面浅漏電流密 度對P/A比值之圖。 圖19為反向電流相對於ι/kT之Arrhenius圖。 圖2 0為以溫度為參數之區域電流密度相對於反向偏壓 之圖。 圖2 1為以溫度為參數之周邊電流密度相對於反向 之圖。 、 i 圖22為在不同電壓下的區域電流密度相對於l/kT之 Arrhen i us 圖。 圖23為在不同電壓下的周邊電流密度相對於丨/以之 Arrhen i us H ° 。圖24為在(a)低偏壓及(b)高偏壓之發生電流機制示咅Page 25 200410320 Schematic description of the table. Figure 12 is the cumulative graph of reverse bias (3V) leakage current density and different implantation energy RTA 1 0 5 0 ° C for 5 seconds at the D IA interface. Figure 13 is the cumulative graph of reverse bias (3V) bubble leakage current density and different implantation energy RTA 1 0 0 0 ° C for 5 seconds at the DIA interface. Figure 14 shows the reverse current-voltage characteristics of a 50keV RTA 100 ° C sample for 5 seconds at different temperatures. Figure 15 is a schematic diagram of the leakage component of the reverse bias junction. Figure 16 shows that the junction leakage current IR is the sum of the peripheral current IRP and the area current IRA. Figure 17 is a graph of reverse leakage current density versus different P / A ratios. Fig. 18 is a graph of the shallow leakage current density versus the P / A ratio for the reverse junction at the condition of 1050 C 5 seconds R T A. Figure 19 is an Arrhenius plot of reverse current versus ι / kT. Figure 20 is a graph of current density versus reverse bias for a region with temperature as a parameter. Figure 21 is a graph of the peripheral current density relative to the reverse direction with temperature as a parameter. , I Figure 22 is the Arrhen i us plot of the area current density versus l / kT at different voltages. Figure 23 shows the peripheral current density under different voltages relative to Arrhen i us H °. Figure 24 shows the current generation mechanism at (a) low bias and (b) high bias.

第26頁 200410320 圖式簡單說明 圖25為對於不同溫度之JRP對JRA之比值相對於電壓之示 意圖。 圖26示出不同面積之Arrhenius圖。 表5為實驗C中之RTA時間、溫度及下降率的條件表。 圖27為佈值後未RTA前40keV BF2+劑量5x1 015原子/cm2 和不同RTA條件後之硼SI MS圖。 表6為實驗C的接面深度與片電阻數據。 圖2 8為以展阻探針(SRP )量測的電阻及所計算的摻雜 濃度之圖。 圖2 9為反向偏壓洩漏電流密度相對於不同R T A條件的 累計圖。 圖3 0為在不同溫度下所量測的反向電流-電壓特性。 圖3 1為不同面積的二極體其接面洩漏電流相對於p / a 比值之圖。 圖32為接面區域及周邊電流密度之Arrhenius圖。 圖3 3是在不同的溫度下量測的區域電流密度相對於反 向偏壓之圖。 圖3 4是在不同的溫度下量測的周邊電流密度相對於反 向偏壓之圖。 圖3 5是在不同的電壓下量測的區域電流费度之 Arrhen i us 圖。 圖3 6是在不同的電壓下量測的周邊電流岔度之 Arrhen i us 圖。 圖37是不同溫度之JRP/JRA比值對電壓之圖。Page 26 200410320 Brief description of the diagrams Figure 25 is the schematic diagram of the ratio of JRP to JRA versus voltage for different temperatures. Figure 26 shows Arrhenius plots for different areas. Table 5 is a table of conditions of RTA time, temperature, and rate of decrease in Experiment C. FIG. 27 is a boron SI MS chart of the 40 keV BF2 + dose 5 × 1 015 atoms / cm 2 before RTA and the different RTA conditions after the cloth value. Table 6 shows the junction depth and sheet resistance data of experiment C. Fig. 28 is a graph of the resistance measured by the spreading probe (SRP) and the calculated doping concentration. Figure 29 is a cumulative plot of reverse bias leakage current density versus different R T A conditions. Figure 30 shows the reverse current-voltage characteristics measured at different temperatures. Figure 31 is a graph of the leakage current of the diodes with different areas relative to the p / a ratio. FIG. 32 is an Arrhenius plot of the current density in the junction area and the periphery. Figure 33 is a graph of the area current density versus reverse bias measured at different temperatures. Figure 34 is a graph of peripheral current density versus reverse bias measured at different temperatures. Figures 3 to 5 are Arrhen i us plots of regional current costs measured at different voltages. Figure 36 is an Arrhen i us plot of the peripheral current bifurcation measured at different voltages. Figure 37 is a graph of JRP / JRA ratio versus voltage for different temperatures.

第27頁 200410320 圖式簡單說明 圖38是不同面積之Arrheuius圖。 圖3 9示出不同技術所得之片電阻與接面深度之完整比 較0 第28頁Page 27 200410320 Simple illustration of the drawing Figure 38 is the Arrheuius plot for different areas. Figure 39 shows the complete comparison of chip resistance and junction depth obtained by different technologies. Page 28

II

Claims (1)

200410320 六、申請專利範圍 1. -種利用非晶矽及氧化層堆疊形成奈米級超 程,包括步驟如下: 牧¢7之衣 在標準的互補式金氧半電晶體(CM〇SFET)製程 閘極介電層與閘極電極形成後,$義出閘極區域’ 電漿輔助化學氣相沈積(PECVD)成長一 石夕’在其上沈積-非_覆蓋層,再進行離子佈—植乳化 非晶矽覆蓋層當作一固態擴散源’配合退火的方 = 質得以擴散進入晶圓内並活化之,於是 ’、 的超淺接面; 之於疋形成奈“件所需 然後利用濕式蝕刻技術將非晶矽層除去,此 長的超薄氧化層便成為一姓刻終止層,以防止儀刻 淺接面的表面造成傷害 x t對超 2·如申請專利範圍第!項之製矛呈,其中二氧化 低溫(1 00〜40 0 °C )之電漿輔助化學氣相 ^疋:用 之超薄氧化層。 和艽積來成長5〜30埃 3·如申請專利範圍⑼㉟之製程,其 ^ 40〇Ϊ): Ικ辅助化學軋相沈積而沈積丨〇 〇〜5 〇 〇埃之 電 4·如申請專利範圍第!項之製程,其 日曰石曰曰。 溫( 800〜1100。〇快速退火(RTA)的方 人方式疋採用高 射退火。 飞或使用低溫的雷 5·如申請專利範圍第1項之製程,其中 離子種類包含二氟化硼(Bf2)、硼(B)和植所使用之 量是50 0〜500 0 0電子伏特(eV),所用 ^),所用的能 W里是每平方公分200410320 VI. Application for Patent Scope 1.-A nanometer-level over-range is formed by stacking amorphous silicon and oxide layers, including the following steps: The coat of ¢ 7 is manufactured in a standard complementary metal-oxide-semiconductor (CMOSFET) process. After the formation of the gate dielectric layer and the gate electrode, the gate region 'plasma-assisted chemical vapor deposition (PECVD) grows a stone's nest' is deposited on the non-_ cover layer, and then the ion cloth-plant emulsification Amorphous silicon cover layer is used as a solid-state diffusion source. With the annealing method, the material can diffuse into the wafer and activate it. Therefore, the super shallow junction is required for the formation of nano-components and then the wet method is used. The etching technology removes the amorphous silicon layer, and this long ultra-thin oxide layer becomes a etch stop layer to prevent damage to the surface of the shallow engraved interface xt. The plasma-assisted chemical vapor phase of low temperature (100 ~ 40 0 ° C) is used for the ultra-thin oxide layer. It is used to grow 5 ~ 30 angstroms. , Its ^ 40〇Ϊ): Ικ assisted chemical rolling phase precipitation And deposition 丨 〇〇 ~ 500 〇 埃 的 电 4. As in the process of applying for the scope of the patent application item !, the date is Shi Yue. Wen (800 ~ 1100. 0 rapid annealing (RTA) square method) using high-fire Annealing. Fly or use low temperature thunder 5. The process of item 1 in the scope of patent application, in which the ionic species include boron difluoride (Bf2), boron (B), and the amount used is 50,000 to 50,000 electrons. Volts (eV), ^) used, energy used W is per square centimeter 第29頁 200410320 六、申請專利範圍 1013〜1016個離子。 liglHi 第30頁Page 29 200410320 VI. Application scope 1013 ~ 1016 ions. liglHi Page 30
TW91135615A 2002-12-10 2002-12-10 An ultra-shallow junction formation by amorphous silicon/silicon dioxide stacked structure for nano MOS device fabrication TW200410320A (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
TW91135615A TW200410320A (en) 2002-12-10 2002-12-10 An ultra-shallow junction formation by amorphous silicon/silicon dioxide stacked structure for nano MOS device fabrication
JP2003190893A JP2004193550A (en) 2002-12-10 2003-07-03 Method of manufacturing ultra shallow junction surface of nano size

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW91135615A TW200410320A (en) 2002-12-10 2002-12-10 An ultra-shallow junction formation by amorphous silicon/silicon dioxide stacked structure for nano MOS device fabrication

Publications (1)

Publication Number Publication Date
TW200410320A true TW200410320A (en) 2004-06-16

Family

ID=32769090

Family Applications (1)

Application Number Title Priority Date Filing Date
TW91135615A TW200410320A (en) 2002-12-10 2002-12-10 An ultra-shallow junction formation by amorphous silicon/silicon dioxide stacked structure for nano MOS device fabrication

Country Status (2)

Country Link
JP (1) JP2004193550A (en)
TW (1) TW200410320A (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6164569B2 (en) * 2013-10-15 2017-07-19 住友電気工業株式会社 Thermoelectric element and method for manufacturing thermoelectric element

Also Published As

Publication number Publication date
JP2004193550A (en) 2004-07-08

Similar Documents

Publication Publication Date Title
US20070117326A1 (en) Material architecture for the fabrication of low temperature transistor
TW400551B (en) Fabrication method of semiconductor device using ion implantation
US7094639B2 (en) Method for fabricating semiconductor device
US7358167B2 (en) Implantation process in semiconductor fabrication
TW200816328A (en) Use of carbon co-implantation with millisecond anneal to produce ultra-shallow junctions
US8575652B2 (en) Semiconductor device and manufacturing method thereof
US20060099812A1 (en) Semiconductor device and method of fabricating a semiconductor device
EP0852394A2 (en) Method for making very shallow junctions in silicon devices
TW200539306A (en) Method of manufacturing semiconductor devices
JP2008510300A (en) Ultra shallow junction formation method
US9331081B2 (en) Semiconductor structure and manufacturing method thereof
JP3911658B2 (en) Manufacturing method of semiconductor device
Chao et al. Germanium $\hbox {n}^{+}/\hbox {p} $ Diodes: A Dilemma Between Shallow Junction Formation and Reverse Leakage Current Control
Tsui et al. A study on NiGe-contacted Ge n+/p Ge shallow junction prepared by dopant segregation technique
TW200410320A (en) An ultra-shallow junction formation by amorphous silicon/silicon dioxide stacked structure for nano MOS device fabrication
JP2008544517A (en) Semiconductor device having polysilicon electrode
US20040147070A1 (en) Ultra-shallow junction formation for nano MOS devices using amorphous-si capping layer
TW200537649A (en) A semiconductor device
US20060197120A1 (en) Gate electrode for semiconductor devices
Roll et al. Leakage current and defect characterization of p+ n-source/drain diodes
JP2700320B2 (en) Method for manufacturing semiconductor device
KR100212010B1 (en) Method for fabricating transistor of semiconductor device
Razali Phosphorus activation and diffusion in germanium
KR19990059071A (en) Manufacturing Method of Semiconductor Device
CN101789364B (en) Ion implantation method of semiconductor component