TW200408806A - Detection method and apparatus - Google Patents

Detection method and apparatus Download PDF

Info

Publication number
TW200408806A
TW200408806A TW92119549A TW92119549A TW200408806A TW 200408806 A TW200408806 A TW 200408806A TW 92119549 A TW92119549 A TW 92119549A TW 92119549 A TW92119549 A TW 92119549A TW 200408806 A TW200408806 A TW 200408806A
Authority
TW
Taiwan
Prior art keywords
photoluminescence
semiconductor structure
cleaning
semiconductor
patent application
Prior art date
Application number
TW92119549A
Other languages
Chinese (zh)
Inventor
Victor Higgs
Original Assignee
Aoti Operating Co Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Aoti Operating Co Inc filed Critical Aoti Operating Co Inc
Publication of TW200408806A publication Critical patent/TW200408806A/en

Links

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/94Investigating contamination, e.g. dust
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/62Systems in which the material investigated is excited whereby it emits light or causes a change in wavelength of the incident light
    • G01N21/63Systems in which the material investigated is excited whereby it emits light or causes a change in wavelength of the incident light optically excited
    • G01N21/64Fluorescence; Phosphorescence
    • G01N21/6489Photoluminescence of semiconductors
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers

Abstract

A method of quality control of cleaning processes for semiconductors such as silicon during device fabrication comprises taking an as-cleaned semiconductor structure following a critical cleaning process step; exposing the surface of the semiconductor structure to at least one high-intensity beam of light from a suitable light source, preferably a laser, and in particular a high-intensity laser, and collecting photoluminescence (PL) produced by excitation of the semiconductor structure by the light beam; making an analysis of the collected photoluminescence signal and using that analysis as the basis for a quality classification of the cleanliness of the semiconductor, in particular by: determining an average photoluminescence intensity emitted across the area of the structure; comparing the average with a predetermined acceptable specification range of photoluminescence; making a quality classification of the cleanliness of the semiconductor structure based thereon, and in particular rejecting or selecting for remedial action such as further cleaning semiconductor structures exhibiting a photoluminescence response outside the said predetermined acceptable specification range. In a preferred embodiment the method is applied as part of a quality control metric during device cleaning and processing. In a refinement of the method a spatially resolved PL map is also collected. An apparatus for performing the method is also described.

Description

200408806 玖、發明說明: 【發明所屬之技術領域】 本發明係關於一種供偵測半導體(諸如矽)中之表面層體 金屬污染用之非破壞性方法及裝置,此污染尤其係由先於 在半導體晶圓上進行的元件製造過程或於此過程中進行之 半導體晶圓的濕式清潔而引入。本發明尤其提供針對元件 製造過程中之濕式清潔過程之改良的品質控制度量。 【先前技術】 在過去的50年中,矽技術中所取得之進展已在晶片效能 方面產生了頭著的進步,且該等進展亦帶來了碎技術的迅 速成長。由於其加速了技術進展且因而對世界經濟產生了 影響,半導體工業之成功是無與倫比的。在199〇年代中, 半導體工業帶動高技術成為經濟及就業創造的主要來源。 歸因於能夠加倍在-晶片上之電晶體數量且提高晶片效能 而並未增加成本,此趨勢仍在維持。三十年前,每塊晶片 上僅有幾千個電晶體,而現在則達到了丨億。 使晶片體型變得更小意味其更為迅速且可允許更高之集 積度。為維持此趨勢,對原料之品質及元件製造過程之清 潔度產生了更為嚴格之要求。表面金屬濃度應低於約. lxio10原子/平方公分,否則在所製造之元件中可能會發生 良率損失(半導體材料之國时導ff路標·,讀ATECH ,3HH Industrial Terrace 如七 1()6,細如 τχ π%)。 因此,將來me設計要求更為有效之清潔過程以移除金 屬離子及顆粒。由於該等過程可修正石夕表面且影響ic效能200408806 (1) Description of the invention: [Technical field to which the invention belongs] The present invention relates to a non-destructive method and device for detecting surface layer metal pollution in semiconductors (such as silicon). The component manufacturing process performed on the semiconductor wafer or the wet cleaning of the semiconductor wafer performed during the process is introduced. In particular, the present invention provides improved quality control metrics for wet cleaning processes during component manufacturing. [Previous Technology] Over the past 50 years, the progress made in silicon technology has led to significant improvements in chip performance, and these advances have also led to the rapid growth of broken technologies. The success of the semiconductor industry is unparalleled because it has accelerated technological progress and thus impacted the world economy. In the 1990s, the semiconductor industry led high technology to become the main source of economic and job creation. Due to the ability to double the number of transistors on a wafer and increase the efficiency of the wafer without increasing costs, this trend continues. Thirty years ago, there were only a few thousand transistors on each wafer, but now they have reached 100 million. Making the chip size smaller means that it is faster and allows higher integration. To maintain this trend, stricter requirements have been imposed on the quality of raw materials and the cleanliness of component manufacturing processes. The surface metal concentration should be less than about. Lxio10 atoms / cm², otherwise yield loss may occur in the manufactured components (Guidelines for Semiconductor Materials Country Guide ff. Read ATECH, 3HH Industrial Terrace as 7 1 () 6 , As fine as τχ π%). Therefore, future me design will require a more effective cleaning process to remove metal ions and particles. Because these processes can modify the surface of Shi Xi and affect the IC performance

86768.DOC 200408806 ,此研究領域正受到愈來愈多之關注。在為1C製造進行的 晶圓製備過程中,可能會將表面金屬污染引入到晶圓表面 上。而該等表面金屬於元件加工過程中擴散入晶圓表體。 然後由於金屬可在1C製程冷卻過程中沈澱,通常會發生混 雜(complication)。接著該等金屬可以形成(decorate)缺陷, 且以沈澱物之形態存在。此可導致氧化物品質之損失、增 加之接合處漏洩及隨後的1C效能之降級。 儘管已開發出一種廣泛的方法以用於藉由利用高純度化 學物質之清潔來移除表面金屬,但是對於任一半導體加工 步騾而言,封表面清潔及濕式蝕刻過程之控制都很關鍵。 對於現有技術之元件的製造包括大約50個關鍵清潔步騾。 極需要對該等清潔過程之有效性進行精確評估。用於大晶 圓之新穎清潔技術使用單一晶圓清潔腔室。此特點允許了 比習知批量技術更為快速之清潔時間,從而減少了時間及 成本。但是,當清潔該大面積晶圓時,瞭解在整個晶圓表 面上進行清潔的效率亦很重要。 用以分析金屬污染之最為普遍之技術係全反射X光螢光 分析(TXRF)。TXRF之偵測極限隨不同金屬而變化,且大 部份為101G原子/平方公分之等級。僅分析了晶圓之小部份 區域〇 1平方公分),且該技術花了約一小時來做出一次量 測。可以精確量測貫穿一晶圓表面之金屬的空間變化。但 是此過程可能會較慢。 用以監測表面金屬之另一更消耗時間之方法係表體壽命 方法。在表面清潔之後,必須熱處理晶圓以將任何殘留表86768.DOC 200408806, this research area is receiving more and more attention. During wafer preparation for 1C manufacturing, surface metal contamination may be introduced onto the wafer surface. These surface metals diffuse into the wafer surface during the component processing. However, since metals can precipitate during the 1C process cooling process, it is common for the mixing to occur. These metals can then decorate defects and exist as precipitates. This can lead to loss of oxide quality, increased joint leakage and subsequent degradation of 1C performance. Although a wide range of methods have been developed to remove surface metals by cleaning with high-purity chemicals, the control of seal surface cleaning and wet etching processes is critical for any semiconductor processing step . The manufacture of prior art components involves approximately 50 critical cleaning steps. There is a great need for an accurate assessment of the effectiveness of such cleaning processes. Novel cleaning technology for large wafers uses a single wafer cleaning chamber. This feature allows faster cleaning times than conventional batch techniques, thereby reducing time and costs. However, when cleaning this large area wafer, it is also important to understand the efficiency of cleaning across the entire wafer surface. The most common technique used to analyze metal pollution is total reflection X-ray fluorescence analysis (TXRF). The detection limit of TXRF varies with different metals, and most of them are on the order of 101G atoms / cm². Only a small part of the wafer was analyzed (1 cm²), and the technique took about an hour to make a measurement. It can accurately measure the spatial variation of metal across a wafer surface. However, this process may be slower. Another more time-consuming method for monitoring surface metals is the body life method. After the surface is cleaned, the wafer must be heat treated to remove any residual

86768.DOC 200408806 面金屬驅趕入表體。其後可關於表體雜質分析該等晶圓。 此需假設在驅趕步驟中未引入額外污染。 由於以上種種原因,先前技術通常僅限於代表性批量取 樣’及/或貫穿—樣品晶圓區域而非整個晶圓之觀察,因為 時標不能實現對一給定批量中各單元之全面積測試。 【發明内容】 本發明(一目的係提供一種藉由偵測表面層體金屬污染 於元件製造過程中對半㈣(諸切)之清潔過程進行品= &制《万去及裝置,該方法及裝置減少了一些或所有的上 述缺點。 _ ,本發明之-特定目的係提供—種方法及裝置,以於元件 氣化過权中&供對半導體(諸切)之清潔過程有效性之 為快速的評估。 本的係提供—種有效性評估之方法及裝 ::去及裝置提供了更高的產出率’且尤其達 於孩清潔過程之改良的品質控制度量,在該度量中,可會 現對所有樣品的測試及/或於各關鍵清潔階段中I : 區域進行空間解析測試。 7 w 因此’根據本發明之第—能4琴 對半導體(諸㈣)之清料^ 種於元件製造過程中 下步驟: 〜㈣過私進行品質控制之方法包括以 二半/二狀怨中《半導體結構經受關鍵的清潔處理步驟; 將+導體結構之表面曝露於來自_適#光源(較佳為雷 尤度雷射)之至少—個高強度光束下,且收集86768.DOC 200408806 Surface metal drives into the body. These wafers can then be analyzed for surface impurities. This requires the assumption that no additional pollution is introduced during the drive-off step. Because of the above reasons, the previous technology is usually limited to the observation of representative batch sampling 'and / or through-sample wafer area rather than the entire wafer, because the time scale cannot realize the full area test of each unit in a given batch. [Summary of the Invention] One object of the present invention is to provide a cleaning process for a half-thickness (cuts) in a component manufacturing process by detecting surface layer metal contamination. And the device reduces some or all of the above disadvantages. The specific purpose of the present invention is to provide a method and a device for the gasification of components & for the effectiveness of the cleaning process of the semiconductor (cutting) For quick evaluation. This system provides a method and equipment for effectiveness evaluation :: The device provides a higher output rate, and especially improves the quality control metric of the cleaning process. In this metric, The test of all the samples and / or the spatial analysis of the I: area in each key cleaning stage may now be performed. 7 w Therefore, according to the first aspect of the present invention-the No. 4 piano for semiconductor (Zhu Yao) ^ kind In the component manufacturing process, the following steps: ~ The method of quality control that includes self-improvement includes the semi-conductor / semi-complaint "Semiconductor structure undergoes critical cleaning treatment steps; the surface of the + conductor structure is exposed from At least a source (preferably a laser ray Udoh) - A high-intensity light beam at, and collected

86768.DOC 200408806 藉由該光束激勵半導體結構而產生之光致發光(pL);對所 收集之光致發光訊號做出分析,且將此分析用作對該半導 體之清潔度進行品質分級的基礎,特定言之,藉由以下+ 驟執行此分析工序: 測定平均光致發光強度; 將該平均 <直與光致發光之一預定的可接受規才各範圍進行 比較; 基於該比較對該半導體結構之清潔度做出品質分級,且 尤其排除或選擇某些結構以採取矯正措施,譬如進一步清 潔展示-在該敎的可接受規格㈣之外的光致發光回^ 之半導體結構。 〜 相關文獻中指出可將光致發光技術用作制藉由教處理 於元件製造過程中引人到半導體結構中之雜質的方法。但 是根據本發明,令人驚奇發現光致發光技術亦將緊接渴式 :學清潔之後且在進一步熱處理之前,偵測於一清潔狀態 中<半導體結構内的金屬雜質。因此發現該技術在表徵處 =過程中㈣式化學㈣之效率的方面,及表《各關鍵 步驟中達成品質控制判定之效率的方面令人驚奇地有效。 信t質分級步驟包括:執行對所收集之光致發光訊號的數 :析,將孩數值分析之結果與一預定之可接受光致發光 、佳八#如σ人已知關於良好品質的光致發光預定範圍 、仃比較;且基於該比較做出對該半導體結構之品質分級。 簡單的替代方法中’該方法包括以下步驟: 句光致發㈣度;將該平均值與㈣發光之預定的可接受86768.DOC 200408806 Photoluminescence (pL) generated by exciting the semiconductor structure with the light beam; analysis of the collected photoluminescence signal, and use this analysis as the basis for quality classification of the cleanliness of the semiconductor, In particular, this analysis step is performed by the following steps: determining the average photoluminescence intensity; comparing the average < a range of acceptable specifications with one predetermined photoluminescence; based on the comparison, the semiconductor The cleanliness of the structure is graded for quality, and certain structures are specifically excluded or selected to take corrective measures, such as further cleaning and demonstrating-semiconductor structures that exhibit photoluminescence that are outside of the acceptable specifications for this unit. ~ The related literature indicates that photoluminescence technology can be used as a method for processing impurities introduced into the semiconductor structure during the device manufacturing process. However, according to the present invention, it is surprisingly found that the photoluminescence technology will also be followed immediately: after cleaning and before further heat treatment, metal impurities in the semiconductor structure are detected in a clean state. Therefore, the technology was found to be surprisingly effective in terms of the efficiency of the ㈣-type chemistry in the characterization process and the efficiency of achieving quality control decisions in the key steps. The quality grading steps include: performing a number analysis of the collected photoluminescence signals, analyzing the results of the numerical value analysis with a predetermined acceptable photoluminescence, and good quality. A predetermined range of electroluminescence and a tritium comparison; and based on the comparison, a quality classification of the semiconductor structure is made. In a simple alternative method, the method includes the following steps: Sentence Photoluminescence Degree; Predetermined Acceptance of the Average and Radiation

86768.DOC -10- 200408806 規格範圍進行比較;且如上所述基^該比較做出對該半導 體結構之品質分級。 孩平均值可為基於整個結構區域所發射之平均光致發光 度的整個區域平均值,或可為局部區域平均值,其中將 該結構之區域分成二維陣列之子區域,為各子區域測定一 平均光致發光強度,將各子區域之平均值與一預定的可接 受光致發光規格進行比較,且如上所述之品質分級係以該 比較為基礎。此方式是有利的,因為在—整個區域平均值 中,對一單獨缺陷之回應可被埋沒,即使該缺陷已嚴重到 足以確定一不良品。於一適當子區域尺寸下,則可確保仍 能偵測到該回應。 基於該平均值的一預定光致發光規格之使用僅為一實例 。於該替代方法中,尤其當使用子區域方法時,可將其他 數值參數,諸如標準差、局部極大值及/或極小值、自一預 足之基線的偏差或其他數值分析方法施用至光致發光訊號 之为析中,以在局部或整個區域基礎上測定該光致發光回 應與預定參數之間的偏差,吾人已知該等預定參數係關於 具有良好品質之半導體結構。其中下文做出對基於平均 發光之數值分析的參考,應瞭解其僅作為示例,且為比較 所觀察之回應與預定之可接受回應而選擇的精確數值參數 對本發明並非關键。 光致發光技術較之先前技術中之TXRF技術可產生快速 許多之回應。在其上述較佳基礎形態中,其貫穿整個晶圓 區域進行取樣,且基於該整個晶圓產生一平均結果,且因86768.DOC -10- 200408806 compare the range of specifications; and make the comparison based on the quality classification of the semiconductor structure as described above. The average value can be the entire area average based on the average photoluminescence emitted by the entire structure area, or it can be the local area average, where the structure area is divided into sub-areas of a two-dimensional array, and The average photoluminescence intensity is compared with the average value of each sub-region with a predetermined acceptable photoluminescence specification, and the quality classification as described above is based on the comparison. This approach is advantageous because, in the entire regional average, a response to a single defect can be buried, even if the defect is severe enough to identify a defective product. With an appropriate sub-region size, it is ensured that the response can still be detected. The use of a predetermined photoluminescence specification based on the average value is only an example. In this alternative method, especially when using the sub-area method, other numerical parameters, such as standard deviation, local maximum and / or minimum, deviation from a pre-filled baseline, or other numerical analysis methods may be applied to the photoinduced The analysis of the luminescence signal is to determine the deviation between the photoluminescence response and predetermined parameters on the basis of a partial or the entire area. We know that these predetermined parameters are about semiconductor structures with good quality. In the following, reference is made to numerical analysis based on average luminescence, which should be understood as an example only, and the precise numerical parameters selected to compare the observed response with a predetermined acceptable response are not critical to the present invention. Photoluminescence technology can generate much faster response than TXRF technology in the prior art. In its preferred basic form described above, it takes samples throughout the entire wafer area and produces an average result based on the entire wafer, and because

86768.DOC -11 - 200408806 車乂《只際上僅集中於特定、任意樣品區域取樣之ΤΧΜ 可靠許多。該技術之速度及精確度使其成為比先前 技衡更為有效且實用的品質控制方法。 站^疋^《’可以在各關鍵處理步驟之後測試所有半導體 、、,ϋ特點帶來了在濕式化學清潔過財之改進了許多 丰壤工制。因此在另—較佳態樣中’本發明包括對於在 =體結構上進行的㈣製造過程中的引人半導體結構 ::j之濕式化學清潔的品質控制度量,該度量被併入 濕式化學清潔該:構:二:: 得目潔狀怨中《結構經受根據前 <第一態樣的測試’·將展示在該光致發光之預定 的可接受規格範圍内之光致發光回應的結構傳遞至下一元 階段,而將展示在該光致發光之預定的可接受規格 軌圍外《光致發光回應的結構排除出下_㈣製造階段, 且,佳傳遞該結構以(譬如)藉由進一步清潔進行矯正處理 ’隨後視f要進行如上的制試及接受/排除作業。 根據,較佳態樣,在各關鍵清潔階段之後可測試所有結 構。在叩貴的製造過程之前,潛在的問題被較早地馨別出 來通吊可以知取矯正措施’且可以更徹底地清潔樣品。 由於本發明之方法能夠以快速且便利之方式在製程中每一 階段精確診斷並矯正不夠充分的清潔作業,則於製造結束 時不可避免的不良品數量應該大大減少。 在由高強度光束之特性所決定之解析度下’光致發光技 術產生-空間解析PL映射。可藉由本方法之另外較佳特徵86768.DOC -11-200408806 Che Xun "TMX, which is only concentrated on a specific, arbitrary sample area, is much more reliable. The speed and accuracy of this technology make it a more effective and practical quality control method than previous technology balances. Station ^ 疋 ^ "'can test all semiconductors after each of the key processing steps, and the characteristics of 带来 have brought about improvements in wet chemical cleaning and improved many of the rich industrial processes. Therefore, in another preferred aspect, the present invention includes a quality control metric for wet chemical cleaning of an attractive semiconductor structure :: j in a plutonium manufacturing process performed on a bulk structure, which is incorporated into the wet type Chemical cleaning: Structure: II :: The structure undergoes the test according to the former < first aspect " in the Demegger's complaint, and will show a photoluminescence response within the predetermined acceptable range of the photoluminescence The structure of the photoluminescence is passed to the next stage, and the structure of the photoluminescence response displayed outside the predetermined acceptable specifications of the photoluminescence is excluded from the next stage of manufacturing. Corrective treatment by further cleaning 'Subsequent inspection and acceptance / exclusion operations are performed as described above. According to a preferred aspect, all structures can be tested after each critical cleaning phase. Prior to the expensive manufacturing process, potential problems were identified earlier. Through hanging out, corrective measures can be taken and samples can be cleaned more thoroughly. Since the method of the present invention can accurately diagnose and correct insufficient cleaning operations at each stage of the process in a fast and convenient manner, the number of defective products unavoidable at the end of manufacturing should be greatly reduced. At a resolution determined by the characteristics of the high-intensity light beam, a 'photoluminescence' technique produces a spatially resolved PL map. Another preferred feature of this method

86768.DOC -12- 200408806 利用該特點,但是對於作為對一整片晶圓之簡單且快速品 質測試的本發明之基礎目的而言’獲得了在整個晶圓區: 之上的平均PL強度結果。可將該平均pL強度結果與結合使 用較慢分析方法(如TXRF或表體方法)之研究所開發I 一 預定的可接受規格範圍相聯繫。吾人驚奇地發現,:下詳 述,在使用本發明之基於近表面之PL技術與自傳統上所用 之先前技術方法獲取之污染資料之間可顯示密切的相互關 係。 如此控制光束,且尤其如此控制光束功率及/或波長及/ 或光斑尺寸’以在该半導體結構中於一選擇深度下鑒別缺 陷及污染,以自一適當近表面深度(如自該半導體結構之上 12微米處)收集PL資訊。對於某些材料與元件而言,較小之 深度’如下至5微米或1微米可能比較適當。 本發明係一缺陷及污染監測工具,可使用該工具監測表 面污木及其他表面結構缺陷,諸如疊層缺陷與邊緣滑動。 由於該技術量測表面區域,因此其將精確偵測近表面缺陷 及亏^ 3等缺陷在對元件品質及效能之影響方面非常具 有决定性。此進一步增強了該技術之精確度及可靠性。 根據本發明之較佳基礎實施例,首先測定平均光致發光 <預疋的可接受規格範圍,且然後使用其作為任何給定的 清潔晶圓之結果的基準以達到品質控制之目的。該預定之 規&範圍將包含一極小及/或極大值。特定言之,吾人已知 視雜質中所包含之特定化學物質,光致發光訊號可按不同 万式受到影響。因此,該規格範圍將較佳包含一極小及一86768.DOC -12- 200408806 takes advantage of this feature, but for the basic purpose of the invention as a simple and fast quality test of a whole wafer, 'average PL intensity results over the entire wafer area: . This average pL intensity result can be linked to a research institute developed using a slower analytical method (such as TXRF or surface method)-a predetermined range of acceptable specifications. I was surprised to find that, as detailed below, a close correlation can be shown between the use of the near surface-based PL technology of the present invention and the contamination information obtained from the conventionally used prior art method. The beam is controlled in this way, and in particular the beam power and / or wavelength and / or spot size is controlled so as to identify defects and contamination in the semiconductor structure at a selected depth, from a suitable near surface depth (such as from the semiconductor structure). Above 12 microns) to collect PL information. For some materials and components, a smaller depth ' The present invention is a defect and pollution monitoring tool that can be used to monitor surface dirt and other surface structural defects, such as lamination defects and edge slipping. Because this technology measures the surface area, it will accurately detect near surface defects and defects ^ 3, which are very decisive in terms of their impact on component quality and performance. This further enhances the accuracy and reliability of the technology. According to a preferred basic embodiment of the present invention, the average photoluminescence < pre-accepted acceptable specification range is first determined and then used as a benchmark for the results of any given clean wafer for quality control purposes. The predetermined range & will include a minimum and / or maximum value. In particular, we know that depending on the specific chemical contained in the impurity, the photoluminescence signal can be affected in different ways. Therefore, the range of specifications will preferably include a minimum and a

86768.DOC -13- 200408806 極大光致發光值。 視所量測之結果是否在該預定之規格範圍之内做出品質 控制判足,若在該範圍之内,則接受結構以進行元件製造 ,而若在該範圍外,則排除之。可丟棄排除出之產品或使 其經受矯正措施,諸如額外清潔等。該預定之可接受凡範 圍根據所涉及之特定材料及製程將有所變化,且藉由使根 據本發明所產生之P L回應與根據現存先前技術中量測技 術所產生 < 回應相聯繫,最初可自現存品質規格範圍測定 該預定之可接受PL範圍。 一旦建JL孩規格範圍,本發明即可提供相對於先前技術 万法為非常高之產出。譬如,對_12英寸(綱毫米)晶圓均 等物而g,五分鐘左右即可獲取結果,而採用現存方法則 約需一小時。 光致發光(PL)光譜技術係一供觀測半導體中雜質與缺陷 上之内部及外部電子躍遷用之非常靈敏的技術。當以高於 材料的帶隙之雷射輻射於低溫之下激勵矽時,產生電子空 穴對。該等載體可按各種不同方式重組,一些重組方式導 致發光。可將於低溫下形成之電子空穴對截留料中的雜 質上’且該等電子空穴對發射具有該相互作用之特徵的光 子,藉此在光致發光光譜中給出雜質特定資訊。現有大量 應用於石夕之PL光譜技術,其包括於不同處理步驟之後石夕之 特徵描述、元件製造(譬如,植入、氧化、電漿蝕刻、點缺 陷錯合物之偵測及錯位之存在)之特徵。最重要的應用之一 包括對淺施體及受體(諸如坤、爛及磷)之非破壞性量測。86768.DOC -13- 200408806 Extreme photoluminescence value. Depending on whether the result of the measurement is within the predetermined specification range, a quality control decision is made. If it is within the range, the structure is accepted for component manufacturing, and if it is outside the range, it is excluded. Discarded products can be discarded or subjected to corrective actions such as additional cleaning. The predetermined acceptable range will vary depending on the specific materials and processes involved, and by linking the PL response generated according to the present invention to the < response generated according to existing measurement techniques in the prior art, initially The predetermined acceptable PL range can be determined from the existing quality specification range. Once the JL specifications are established, the present invention can provide a very high output compared to the prior art. For example, for a _12-inch (gang millimeter) wafer, the result can be obtained in about five minutes, while the existing method takes about one hour. Photoluminescence (PL) spectroscopy is a very sensitive technique for observing internal and external electron transitions on impurities and defects in semiconductors. Electron hole pairs are generated when silicon is excited at low temperatures with laser radiation above the band gap of the material. These vectors can be recombined in a variety of ways, and some recombination methods result in luminescence. The electrons and holes pairs formed at a low temperature can be trapped on the impurities' and the electron and hole pairs can emit photons with the characteristics of the interaction, thereby giving impurity specific information in the photoluminescence spectrum. There are a large number of PL spectroscopy techniques applied to Shi Xi, which include Shi Xi's characterization and component manufacturing (such as implantation, oxidation, plasma etching, detection of point defect complexes and the existence of misalignment) after different processing steps. ) Characteristics. One of the most important applications includes non-destructive measurement of shallow donors and recipients such as kun, rot and phosphorus.

86768.DOC -14- 200408806 特別地’該技術能夠對該等淺施體及受體之濃度進行量測 。但是’在習知應用中為獲取光學中心之該光譜資訊及明 確化學鑒別,需於液氦溫度下執行量測。在整個半導體工 業中,吾人已知於室溫下,PL訊號明顯變弱且可獲取極少 有用之光譜資訊。 因此較佳採用室溫技術,尤其諸如由國際專利申請案 W098/11425描述之一非破壞性技術,該技術使基於室溫之 PL半導體結構中電活性缺陷之偵測成為現實。該專利申請 木揭7F 了一具有工業應用之pL技術,於其應用中,該技術 犯夠於數分鐘之内產生影像,且該技術具有另一額外優點 I7/、可產生尤其是靠近晶圓表面(元件於其上被製造)之 幸乂小單個缺陷的微觀成像。該技術以一適合工業應用之速 率i、關木半導體或碎結構中之缺陷的資訊,且尤其使 吾人能夠目測半導體切結構之上層區域中且特別是在該 結構表面附近之缺陷。 該技術利用在一半導體或矽結構中於缺陷處電子空穴對 之增強的非㈣重組,以增強該半導體或料構之-PL影 像中的對比度以增強對該影像中缺陷之觀測。因此將 W098/11425中之較佳PL技術以引用的方式併入本文。本發 明遵從以下事實:即使在未受到進-步熱處理之清潔狀態 中的樣中,仍能於表面處偵測到污染。 上其中所揭示之室溫PL方法的成功係部ί分地歸功於藉由 較小、空間解析度較佳〇.U2G微米、較理想係出微米且 具有10至1G9瓦特/平方公分之間的峰值或平均功率密度86768.DOC -14- 200408806 In particular, this technology enables the measurement of the concentration of these shallow donors and receptors. However, in the conventional application, in order to obtain the spectral information of the optical center and clear chemical identification, the measurement needs to be performed at the temperature of liquid helium. Throughout the semiconductor industry, we know that at room temperature, the PL signal is significantly weakened and very little useful spectral information can be obtained. Therefore, room temperature technology is preferred, especially a non-destructive technology such as described in International Patent Application W098 / 11425, which enables the detection of electroactive defects in PL semiconductor structures based on room temperature. The patent application Mujie 7F has a pL technology with industrial applications. In its application, the technology can generate images within minutes, and the technology has another additional advantage I7 /, which can generate especially near wafers. Fortunately, the surface (on which the component is fabricated) is a microscopic image of a single defect. This technology uses information at a rate i suitable for industrial applications, and information on defects in semiconductors or broken structures, and in particular enables us to visually inspect defects in the upper region of the semiconductor cut structure, especially near the surface of the structure. This technology utilizes the enhanced non-trivial recombination of electron-hole pairs at the defects in a semiconductor or silicon structure to enhance the contrast in the semiconductor-structure-PL image to enhance the observation of defects in the image. The preferred PL technology in W098 / 11425 is hereby incorporated by reference. The invention complies with the fact that contamination can be detected at the surface even in samples in a clean state without further heat treatment. The success of the room temperature PL method disclosed above can be attributed in part to the smaller, better spatial resolution of 0.02 micron, ideally micron size, and a range of 10 to 1G9 watts per square centimeter. Peak or average power density

86768.DOC -15- 200408806 之雷射探測的探測容量,因此局部缺陷對所量測之PL強度 具有更大影響,且吾人亦相信,該方法之成功部份是由於 自聚焦激勵後,所注入之載體密度較高。此大大增加了於 缺陷處非輻射重組之可能性且因此增強了缺陷之物理定位 。在以下更加詳細地描述的某些較佳實施例中,本發明藉 由製備具有代表性p L回應的缺陷之一空間映射,且更佳為 一 2間影像以利用該方法。 此處對高強度雷射之敘述意味包含(而非限制)高功率密 度雷射,意即在該高功率密度雷射中,不管雷射功率為多 大,該輻射被聚焦。 在本發明之一較佳方法中,使用一脈衝雷射激勵源,且 較理想地,發光資料被量測及/或收集發光影像為時間函數 。其思即深度與空間解析度皆被改善,且可被用以獲取在 缺陷 < 載體俘獲截面上之資訊。亦可使用時間解析量測以 量測有效載體壽命且獲取壽命映射。 本發明之PL技術於整個晶圓區域生成一空間解析pL映 射。在本發明之主要方法中,其後處理該資料映射以在整 個晶圓提供一平均PL水準,將該平均pL水準與參考標準相 比較以作出品質控制判定。若使用該方法進行簡單的接受/ 排除及再清潔品質控制判定,以作為在將結構傳遞至下一 製造階段 < 前的測試,則僅需考慮平均pL水準,而藉由該 方法產生之映射的解析度則不重要。大約7毫米之解析度足 矣。於孩水準之解析度下,處理時間被縮短,且測試產出 速率被最大化。舉例而言,自一12英寸(3⑻毫米)之晶圓獲86768.DOC -15- 200408806 detection capacity, so local defects have a greater impact on the measured PL intensity, and I also believe that the success of this method is partly due to the injection of The carrier density is higher. This greatly increases the possibility of non-radiative recombination at the defect and therefore enhances the physical location of the defect. In some preferred embodiments described in more detail below, the present invention utilizes this method by preparing a spatial map of one of the defects of a representative pL response, and more preferably 2 images. The description of high-intensity lasers here includes (but is not limited to) high-power-density lasers, which means that in this high-power-density laser, the radiation is focused regardless of the laser power. In a preferred method of the present invention, a pulsed laser excitation source is used, and ideally, the emission data is measured and / or the emission image is collected as a function of time. The idea is that both depth and spatial resolution are improved and can be used to obtain information on the defect < carrier capture section. You can also use time-resolved measurements to measure the effective carrier life and obtain a life map. The PL technology of the present invention generates a spatially resolved pL map over the entire wafer area. In the main method of the present invention, the data map is subsequently processed to provide an average PL level across the wafer, and the average pL level is compared with a reference standard to make a quality control decision. If this method is used for simple acceptance / rejection and recleaning quality control judgments as a test before transferring the structure to the next manufacturing stage, only the average pL level need to be considered, and the mapping generated by this method Resolution is not important. A resolution of about 7 mm is sufficient. At child-level resolution, processing time is reduced and test output rates are maximized. For example, obtained from a 12-inch (3 mm) wafer

86768.DOC -16- 200408806 取一令人滿意之接受/排除結果可只需五分鐘。 然而,本發明之較佳光致發光技術的一個特定優點為: 另外可使用該技術生成貫穿在測試中之半導體結構表面的 PL訊號之空間解析映射,且尤其可使用其生成該等訊號之 空間解析影像。該空間解析映射在先前技術中之 實際時間度量上完全不可實現。因此,在一較佳實施财 ,該方法進一步包括生成該映射及/或該影像之步驟。在該 等環境中,以0.5毫米或以下之映射/成像解析度作業可能 較為適當。 6 便利地,該方法進一步包括將空間解析pL映射儲存於適 當資料儲存構件上,及/或藉由適當處理構件傳送自空間解 析映射獲得之數位化資料以供前向處理之用,及/或將空間 解析影像顯示於適當顯示構件上。 該基礎技術鑒別一平均PL強度,接受/排除或再清潔判定 即基於該平均PL強度。當與被排除之結構相關時,空間解 析資訊尤其有用。一較佳的更先進之品質控制策略可能因 此將使用該更快速、基礎之技術處理各單元,且僅生成被 排除 < 結構的空間解析資料。在一實施例中,為完全地利 用該技術產生關於測試中半導體結構之PL回應的空間解 析資料之能力,(如所指示)以更高解析度作業可能較為適 當。因此,較之僅使用該技術為基礎接受/排除品質控制判 定之基礎,產出將更為緩慢。一較佳的更先進之品質控制 策略可能因此將使用該更快速、基礎之技術處理各單元, 且使用藉由收集空間解析資料提供之額外功能性以更高解 86768.DOC -17- 200408806 析度再處理所排除之結構。 生成映射或影像之能力使得可大致鑒別缺陷之位置。本 發明之一較佳貫施例中利用該特點,使用如前所述之方法 以快速篩選半導體結構(一 3〇〇毫米之晶圓只需5分鐘)且鑒 別樣品以進行完全化學分析。該方法之實施例包栝使一半 導體結構經受如前所述之測試以生成貫穿該半導體結構之 表面之PL訊號的空間解析映射,且至少在被排除結構之狀 況下’使用空間解析映射以鑒別污染之大致位置,且使用 一諸如TXRF之特定分析技術在所鑒別之位置進一步分析 該半導體結構以鑒別雜質。 此外’生成空間解析結構之能力可有助於診斷及矯正清 潔問通。在一給定的關鍵清潔階段之後,連續地測試複數 個樣品,如上所述儲存且比較測試結果,且因此可鑒別在 特定清潔過程中之系統無效率。 該方法適用於可以熟悉方式藉由熱處理在其上製造元件 的任何基礎半導體結構。特定言之,該方法適用於基於矽 及矽合金之晶圓的結構。該等元件可製自簡單的單層晶圓 或製自多層晶圓,譬如於一基礎矽晶圓上之磊晶層中形成。 根據本發明之另一態樣,一種用來在半導體結構(例如矽) 上進行元件製程期間對該半導體結構進行品質控制清潔的 裝置,其包括:一清潔裝置,其使半導體結構經受濕式化 學清潔,及一測試裝置,其偵測該清潔狀態中之結構表面 上足雜質,其中測試裝置包括一高強度光源,該光源較佳 為运射且尤其為鬲強度雷射;將來自該光源之高強度光86768.DOC -16- 200408806 It takes only five minutes to get a satisfactory acceptance / exclusion result. However, a particular advantage of the preferred photoluminescence technology of the present invention is that: in addition, this technology can be used to generate a spatially resolved map of the PL signals running through the surface of the semiconductor structure under test, and in particular the space in which such signals can be generated Parse the image. This spatially resolved map is completely unachievable on actual time measures in the prior art. Therefore, in a preferred implementation, the method further includes the step of generating the map and / or the image. In such environments, it may be appropriate to work with a mapping / imaging resolution of 0.5 mm or less. 6 Conveniently, the method further comprises storing the spatially resolved pL map on an appropriate data storage component, and / or transmitting the digitized data obtained from the spatially resolved map for forward processing by an appropriate processing component, and / or The spatially resolved image is displayed on an appropriate display member. The basic technology identifies an average PL intensity, and the acceptance / rejection or recleaning decision is based on the average PL intensity. Spatial analysis information is particularly useful when it comes to excluded structures. A better and more advanced quality control strategy may therefore use this faster, more basic technology to process each unit and only generate spatially resolved data for excluded < structures. In one embodiment, in order to fully utilize the technology's ability to generate spatial analysis data on the PL response of the semiconductor structure under test, (as indicated) it may be appropriate to operate at a higher resolution. As a result, the output will be slower than just using this technology as the basis for accepting / excluding the basis of quality control decisions. A better and more advanced quality control strategy may therefore use the faster, more basic technology to process each unit, and use the additional functionality provided by collecting spatially parsed data for a higher resolution 86768.DOC -17- 200408806 analysis Reprocess the excluded structures. The ability to generate maps or images allows roughly identifying the location of the defect. This feature is used in one of the preferred embodiments of the present invention. The method described above is used to quickly screen semiconductor structures (a 300 mm wafer takes only 5 minutes) and identify samples for complete chemical analysis. Embodiments of the method include subjecting a semiconductor structure to the tests described above to generate a spatially resolved map of the PL signal across the surface of the semiconductor structure, and at least in the case of excluded structures' using a spatially resolved map to identify The approximate location of the contamination, and a specific analysis technique such as TXRF is used to further analyze the semiconductor structure at the identified location to identify impurities. In addition, the ability to generate spatially resolved structures can help diagnose and correct clean interrogations. After a given critical cleaning phase, multiple samples are tested consecutively, the test results are stored and compared as described above, and therefore the system inefficiencies during a particular cleaning process can be identified. This method is applicable to any basic semiconductor structure on which a component can be manufactured by heat treatment in a familiar manner. In particular, this method is applicable to the structure of silicon and silicon alloy-based wafers. These components can be made from a simple single-layer wafer or from a multi-layer wafer, such as in an epitaxial layer on a base silicon wafer. According to another aspect of the present invention, a device for performing quality control cleaning on a semiconductor structure during a component manufacturing process on the semiconductor structure (such as silicon) includes a cleaning device that subjects the semiconductor structure to wet chemistry. Cleaning, and a test device that detects foot impurities on the surface of the structure in the clean state, wherein the test device includes a high-intensity light source, the light source is preferably a transport and especially a radon intensity laser; High intensity light

86768.DOC -18- 2UU4U55U0 束聚焦土〆則試中之半導體結構的-表面上之構件 件,其收集藉由光束激勵半導,構件,收集構 半導體結構㈣^%難生㈣穿測試中之 及數值分析所收集:::致發:Γ分一^ 定之可接受規林… 較器,其將分析結果與預 否在該預定之= 且根據該光致發光訊號是 構。 又P靶園之内而決定接受或排除該結 特=τ生為線之—部份,且包括可將結構傳輸至 1=;;處,段之傳輸構件,其中根據該光致發光訊 )預疋《可接受規格範圍之内而決定傳輸與否。 ^《,讀輸構件可將展*在該光致發光之預定的 \要又規格乾15内之光致發光回應的結構傳輸至下一元件 製造階段’且録示在該紐發光之就的可接受規格範 圍外之光致發光回應的結構傳輸回清潔器進行進—步清、絮。 執行該基礎方法之裝置生成以訊回應之數值分析為 基%的貝肖’舉例而言,如所描述之貫穿整個區域的平均 PL訊號或局㈣域資料之集合。將該資料與預定之可接受 規格參數進行比較。 ^疋為執行上述該方法之改進的替代方法,該裝置較 佳需另外包括將所收集之pL資料解析為一貫穿半導體結 構區域之空間解析PL映射的構件,且視需要另外包括將所 解析之資料轉換為一 PL影像之構件,及/或儲存該映射/影 像、且尤其儲存連續映射/影像供將來比較用之影像/資料 儲存構件,及/或將該映射/影像傳送至一適當遠端資料處86768.DOC -18- 2UU4U55U0 Beam-focusing soil is a semi-conductor component of the semiconductor structure under test. It collects semiconductors, components, and semiconductor structures by beams. ^% And numerical analysis collected ::: 致 发: Γ 分 一 一定 Acceptable rules ... Comparator, which compares the analysis result with the pre-determined value and is based on the photoluminescence signal. Within the P target garden, it was decided to accept or exclude the knot = τ born into the line-part, and including the transmission member that can transfer the structure to 1 = ;;, paragraph, according to the photoluminescence signal) Determine whether the transmission is within the range of acceptable specifications. ^ "The reading and writing component can transmit the photoluminescence response structure of the photoluminescence predetermined in the photoluminescence to the next component manufacturing stage 'and recorded in the photoluminescence The photoluminescence response structure outside the acceptable specification range is transmitted back to the cleaner for further cleaning and flocculation. The device that executes the basic method generates a Bayesian based on a numerical analysis of the signal response. For example, as described, the average PL signal or a collection of local data across the entire area. Compare this information with predetermined acceptable specifications. ^ 疋 In order to implement the improved alternative method of the above method, the device preferably needs to further include a component that parses the collected pL data into a spatially-resolved PL mapping that penetrates the semiconductor structure region, and additionally includes the parsed A component that converts data into a PL image, and / or stores the mapping / image, and in particular an image / data storage component that continuously maps / images for future comparison, and / or transmits the mapping / image to an appropriate remote Information Office

86768.DOC -19- 理器之構件,男/ 、、 或將一影像及/或相關資料顯示給一使用 者足诸如一視覺顯示幕的影像顯示構件。 、在本發明之另-態樣中,提供-電腦程式及/或-適當程 式化《電腦以執行本文前述方法之一些或所有步驟,且尤 ,在所收集之PL資料上執行資料處理步驟,舉例而言,測 ^整個晶圓區域之平均P L,及/或自所收集之P L資料空間 解析-PL映射’及/或㈣平均值與—預定之可接受規格範 圍進行比較。 【實施方式】 圖1中所展示之裝置主要包括—PL成像顯微鏡,其中: 朝右手面,包括一組雷射(3_8);朝底部,包括一樣品臺, 诸如X-Y室或R堂;朝左手面,包括一微處理器(4〇)及一 顯π幕(39),JL圖中心邵位展示了供偵測通過該系統之光 用的各種光學元件。 在圖1所展不之貫施例中,提供六束雷射以探測樣品中之 不同深度。但是,僅使用一個雷射,或確切使用更多數量 之雷射都在本發明之範疇之内。無論如何,該等雷射中的 至少一束為高強度雷射,且較理想地具有〇1毫米至〇 5微 米之間的光斑尺寸,及1 〇4至1 〇9瓦特/平方公分之間的功率 密度。提供一與該組雷射相耦接之雷射選擇器(丨6)以選擇 一束或多束雷射以供使用,且另外亦選擇該等雷射之頻率 與波長。 使用習知光學裝置,諸如光學纖維(9)以指引光朝向準直 儀(10)及雷射束擴展器(11)。將一切趾板(12)置於雷射束擴 86768.DOC -20- 200408806 展器(11)與光束分離器(31)之間。光束分離器(31)藉由物鏡 (34)指引來自前述雷射之一部份光朝向樣品(2)。 提供一自動聚焦控制器(30),且將其耦接至一壓電驅動 聚焦臺(33)。顯微鏡裝備有一習知轉臺(36),該轉臺分別具 有供微觀檢查用之至少一個高數值孔徑物鏡及一供宏觀檢 查用之低數值孔徑物鏡(34,35)。此外,亦提供一耦接於 轉臺(3 6)之光學位移量測系統(38)。 提供電纜敷設以將自動聚焦控制器(30)連接至微處理器 (40),且亦將一顯微鏡物鏡分度裝置(32)連接至微處理器 (40) 〇 — 於光束分離器(31)之下游具有雷射缺口濾光器之濾光輪 (13),在濾光輪(13)之下游具有一向旁邊搖擺之折疊鏡(14) ,將於下文描述其功能。與該鏡(14)排列成行的係一供波 長選擇用之濾光輪(27),且在濾光輪(27)之後係一與一適當 CCD 2-D陣列偵測器(29)相連之變焦透鏡。 在冷鏡(17)最前面之光學路徑中具有無限系統補償透鏡 (37),其將光反射向供波長選擇用之另一滤光輪(23)及一聚 焦透鏡(24),該聚焦透鏡在一 UV及可視光之偵測器(25)的 最前面。將偵測器(25)耦接至鎖定放大器(26)。其用以獲取 該等表面之一反射影像。 在冷鏡(17)的最後面具有仍供波長選擇用之另一濾光輪 (18),且在滤光輪(18)之最後面具有一聚焦透鏡(22)及供針 孔選擇用之另一孔徑輪(19),孔徑輪(19)在供偵測發光用之 债測器(21)的最前面。 86768.DOC -21 - 200408806 UV及可視區域偵測器(25)及紅外線偵測器(21)皆被耦接 至鎖定放大器(26)。 下文說明該系統之運行。 藉由若干束雷射(3-8)提供波長之範圍以探測樣品中之 不同平面。可藉由頻率產生器(16)調變該等雷射以使得可 精由偵、測器自背景㈣分離自樣品⑺發射之訊號,藉由鎖 足放大器(26)使該等偵測器與雷射調變頻率同步。在另一 實犯例中,可藉由使用一束可調諧雷射及/或一光學參數振 盪器產生波長之該範圍。將各束雷射連接至一多分支光學 纖維(9)且與灰排列成行以使得任何或所有該等雷射皆可 …、射樣w (2)。该多分支光學纖維之共同末端終止於一準直 :出現之光的光學系統⑽中。該光學系統與—光束擴展 备⑴)排列成行,光束擴展器⑴)使雷射束直徑與樣品⑺ 《上的顯微鏡物鏡(34,35)所要求之直徑相匹配。擴展之 光束然後穿過一將光學能量均勻分配於光束區域之^的切 趾板(12)。 藉由一光束分離器(31)反射該擴展及切趾光束,且該光 束傳到頭微鏡物鏡(34及35)。藉由一顯微鏡物鏡(34或35) 知Θ光束聚焦於樣品上。於微觀模式中,選擇該物鏡以將 Θ光束聚焦至一繞射有限光斑尺寸。藉由一分度機構 運行之轉臺(36)允許該物鏡可被轉變為纟觀模&,其中可 如射到樣品之更廣區域。在另_實施例中可移除切趾板 (12),如此可將微觀模式之光斑變小以允許更高之注入量。 一光學位移感應器(38)量測到樣品之距離,且藉由一通86768.DOC -19- A component of a controller, a male / female, or an image display component that displays an image and / or related information to a user's foot, such as a visual display screen. In another aspect of the present invention, a computer program is provided and / or a computer is appropriately programmed to perform some or all of the steps of the methods previously described herein, and in particular, to perform data processing steps on the collected PL data, For example, the average PL of the entire wafer area is measured, and / or the PL data is spatially resolved from the collected PL data-the PL mapping 'and / or the average value are compared with a predetermined acceptable specification range. [Embodiment] The device shown in FIG. 1 mainly includes a PL imaging microscope, which includes: a right-hand side, including a set of lasers (3_8); a bottom, including a sample stage, such as XY room or R hall; left-handed Surface, including a microprocessor (40) and a display π screen (39), the center of the JL chart shows various optical elements for detecting light passing through the system. In the embodiment shown in Figure 1, six laser beams are provided to detect different depths in the sample. However, it is within the scope of the invention to use only one laser, or to use a greater number of lasers. In any case, at least one of the lasers is a high-intensity laser, and ideally has a spot size between 0.01 mm and 0.05 μm, and between 104 and 109 watts / cm 2. Power density. A laser selector (丨 6) coupled to the set of lasers is provided to select one or more lasers for use, and the frequency and wavelength of these lasers are also selected. Use conventional optical devices, such as optical fibers (9) to direct light towards the collimator (10) and laser beam expander (11). Place all toe plates (12) between the laser beam expander 86768.DOC -20- 200408806 and the beam splitter (31). The beam splitter (31) directs a part of the light from the aforementioned laser toward the sample (2) by the objective lens (34). An auto focus controller (30) is provided and is coupled to a piezoelectrically driven focus stage (33). The microscope is equipped with a conventional turntable (36), which has at least one high numerical aperture objective lens for microscopic inspection and a low numerical aperture objective lens (34, 35) for macroscopic inspection. In addition, an optical displacement measurement system (38) coupled to the turntable (36) is also provided. Cable laying is provided to connect the autofocus controller (30) to the microprocessor (40), and also to connect a microscope objective indexing device (32) to the microprocessor (40) 〇—to the beam splitter (31) A filter wheel (13) with a laser notch filter downstream, and a folding mirror (14) swinging sideways downstream of the filter wheel (13), its function will be described below. A filter wheel (27) for wavelength selection is arranged in a row with the mirror (14), and a zoom lens connected to an appropriate CCD 2-D array detector (29) is arranged after the filter wheel (27). . In the front optical path of the cold mirror (17), there is an infinite system compensation lens (37), which reflects light to another filter wheel (23) and a focusing lens (24) for wavelength selection. The focusing lens is at The front of a UV and visible light detector (25). A detector (25) is coupled to the lock-in amplifier (26). It is used to obtain a reflection image of one of these surfaces. On the rear surface of the cold mirror (17), there is another filter wheel (18) for wavelength selection, and at the end of the filter wheel (18), there is a focusing lens (22) and another for pinhole selection. Aperture wheel (19), the aperture wheel (19) is at the forefront of the debt detector (21) for detecting light emission. 86768.DOC -21-200408806 Both UV and visible area detectors (25) and infrared detectors (21) are coupled to the lock-in amplifier (26). The operation of the system is explained below. A range of wavelengths is provided by several lasers (3-8) to detect different planes in the sample. The laser can be modulated by the frequency generator (16) so that the signals emitted by the detector and the detector can be separated from the background and the sample and emitted by the sample. The lock-in amplifier (26) can be used to make the detectors and Laser modulation frequency is synchronized. In another real case, this range of wavelengths can be generated by using a tunable laser and / or an optical parametric oscillator. Each beam of lasers is connected to a multi-branch optical fiber (9) and arranged in a row with ash so that any or all of these lasers can be made ..., shot w (2). The common ends of the multi-branched optical fibers terminate in an optical system of collimated: emerging light. The optical system is aligned with—beam expansion), the beam expander ⑴) matches the diameter of the laser beam to the required diameter of the microscope objective lens (34, 35) on the sample ⑺. The expanded beam then passes through a toe plate (12) which distributes optical energy evenly across the beam region. The extended and apodized beams are reflected by a beam splitter (31), and the beams are passed to the head micromirror objectives (34 and 35). Through a microscope objective (34 or 35), the Θ beam is focused on the sample. In the micro mode, the objective is selected to focus the Θ beam to a diffraction-limited spot size. The turntable (36), which is operated by an indexing mechanism, allows the objective lens to be transformed into a viewing mode &, which can be shot over a wider area of the sample. In another embodiment, the toe plate (12) can be removed, so that the spot size of the micro mode can be reduced to allow a higher injection volume. An optical displacement sensor (38) measures the distance of the sample, and

86768.DOC -22- 200408806 過抗聚焦控制器(30)之反饋迴路,維持藉由壓電致動之聚 焦堂(33)產生的正確間隔。 藉由顯微鏡物鏡(34)(其處於微觀模式)收集來自樣品之 光致發光訊號,且藉由光束分離器(31)及濾光輪(13)中之缺 口濾光器傳輸回該訊號,濾光輪(13)含有與雷射波長範圍 相匹配之缺口遽光器。缺口滤光器移除任何反射之雷射光 ’僅讓光致發光訊號通過。 將折@鏡(14)轉到光束外以允許訊號傳到鏡筒透鏡(37) 且傳到冷鏡(17)上,其中可將鏡筒透鏡(37)併入以補償可使 用之任何播限顯微鏡物鏡。冷鏡(17)將在所選截止點(約 7〇〇奈米)之下的該等波長反射至將訊號聚焦到偵測器(25) 中之聚焦透鏡(24)。在偵測器聚焦透鏡(24)前面之一濾光輪 (23)包含濾光器以分離所選之波長帶。 t方;在截止點之上的波長範圍中之光致發光訊號部分穿 過’♦叙(17),且藉由透鏡(22)被相似地聚焦到偵測器(21)中 。該訊號亦穿過一含有濾光器以分離所選之波長帶的濾光 輪(1 8) 〇 於一被置於偵測器(21)之前的孔徑輪(19)中包含一系列 不同直徑之針孔。可藉由壓電致動器(20)軸向移動該孔徑 輪,如此可放置該等針孔以使其與所要之影像平面共焦。 藉由該種方式,可將樣品(2)中位於不同深度之平面成像以 提供精確深度資訊。 將來自偵測器(21,25)之電訊號供給鎖定放大器(26),在 鎖疋放大器(26)中藉由來自頻率產生器(15)之一參考訊號86768.DOC -22- 200408806 Pass the feedback loop of the anti-focus controller (30) to maintain the correct spacing generated by the piezoelectrically actuated focus hall (33). The photoluminescence signal from the sample is collected by the microscope objective (34) (which is in the micro mode), and transmitted back through the notch filter in the beam splitter (31) and the filter wheel (13). The filter wheel (13) Contains a notched calender that matches the laser wavelength range. The notch filter removes any reflected laser light ’and only passes the photoluminescence signal. Turn the folding mirror (14) out of the beam to allow the signal to pass to the barrel lens (37) and to the cold mirror (17). The barrel lens (37) can be incorporated to compensate for any broadcast Limited microscope objectives. The cold mirror (17) reflects these wavelengths below the selected cut-off point (about 700 nm) to a focusing lens (24) that focuses the signal into the detector (25). A filter wheel (23) in front of the detector focusing lens (24) contains a filter to separate the selected wavelength band. t-square; the photoluminescence signal in the wavelength range above the cut-off point partially passes through (17) and is similarly focused into the detector (21) by the lens (22). The signal also passes through a filter wheel (18) containing a filter to separate the selected wavelength band. A aperture wheel (19) placed in front of the detector (21) contains a series of different diameters. Pinhole. The aperture wheel can be moved axially by a piezoelectric actuator (20) so that the pinholes can be placed so that they are confocal with the desired image plane. In this way, planes at different depths in the sample (2) can be imaged to provide accurate depth information. The electric signal from the detector (21, 25) is supplied to the lock-in amplifier (26). In the lock-in amplifier (26), a reference signal is received from one of the frequency generators (15).

86768.DOC -23- 200408806 使該電訊號與雷射(3-8)之調變頻率同步。然後將該電訊號 供給中央處理器(40)以供分析用。藉由光柵掃描樣品臺以 獲取PL影像。或者亦可運用使用檢流計鏡之光學掃描。 在另一運行微觀模式中,將折疊鏡(14)轉到光致發光訊 唬之光束内。該轉向訊號穿過一濾光輪(2乃,且進入變焦 透鏡(28)中,其中濾光輪(27)包括濾光器以分離所選之波長 帶。變焦透鏡允許使用不同放大率將樣品(2)上之受照射之 光斑成像於CCD二維陣列(29)上。其允許了可於不同解析 度下使樣品(2)之受照射區域成像。將來自CCD陣列之電訊 號供給中央處理器(40)以供分析之用。 圖2中圖解展示了資料之處理。藉由操縱臂(102)將在單 一晶圓處理腔室(100)中經歷了標準濕式化學清潔階段的 樣品(101)傳輸至一取樣基座(103)以待圖丨之裝置進行測試 以生成一PL訊號。藉由圖丨之收集裝置(以簡圖形態展示作 裝置105)收集該訊號。 該圖式進一步展示資料之處理。於一第一處理路徑中, 根據本發明之主要觀點,將PL映射資料傳至處理器(1〇7) ,其處理該資料以測定貫穿樣品(101)之整個區域的平均 強度。 將所得之平均值傳至比較器(1〇8),其使該平均PL強度資 料與在資料記憶體(109)之内的一預定儲存規格範圍相關 聯,且基於該比較將一品質控制判定傳到控制單元(11〇)上 。在該實施例中,控制單元(110)直接在操縱臂(1〇2)上作業 ’該操縱臂然後將樣品(101)傳輸至一元件製造處理線或傳 86768.DOC -24- 200408806 2土一排除線以採取適當的綠正措施。替代運行 中’控制單元⑽)可(譬如)為一向操作者給出指示之顧示 構件,該操作者然後可藉由分離控制構件(譬如)來操縱臂 002)以做出可接受/排除選擇,以將測試中之樣品轉移至 矯正處理等。 /線展示一第二處理路徑,其反映了本發明之可選擇的 第二態樣。於此項可選擇之態樣中,同樣將與貫穿樣品 (101)表面之PL強度映射相對應之資料傳至一第二處理單 元(m) ’處理單元(ill)可將該資料解析為一貫穿樣品 (ιοί)表面之數位化空間解析強度映射。將所得之映射傳至 資料記憶體(112)及視覺顯示幕(113)。可使用所解析之資料86768.DOC -23- 200408806 synchronize the signal with the modulation frequency of laser (3-8). This signal is then supplied to a central processing unit (40) for analysis. The raster scans the sample stage to obtain PL images. Alternatively, optical scanning using a galvanometer mirror can also be used. In another operating micro mode, the folding mirror (14) is turned into a photoluminescence beam. The steering signal passes through a filter wheel (2) and enters a zoom lens (28), where the filter wheel (27) includes a filter to separate the selected wavelength band. The zoom lens allows the sample (2 The illuminated spot on) is imaged on the CCD two-dimensional array (29). It allows the irradiated area of the sample (2) to be imaged at different resolutions. The electrical signal from the CCD array is supplied to the central processing unit ( 40) for analysis. The data processing is illustrated diagrammatically in Figure 2. The sample (101) that has undergone a standard wet chemical cleaning stage in a single wafer processing chamber (100) by a manipulator arm (102) The signal is transmitted to a sampling base (103) to be tested by the device in Figure 丨 to generate a PL signal. The signal is collected by the collection device in Figure 丨 (shown as device 105 in the form of a schematic diagram). The figure further displays the data In a first processing path, according to the main point of the present invention, the PL mapping data is transmitted to a processor (107), which processes the data to determine the average intensity across the entire area of the sample (101). The average value obtained Passed to a comparator (108), which associates the average PL intensity data with a predetermined storage specification range within the data memory (109), and transmits a quality control decision to the control unit based on the comparison ( 11〇) 上。 In this embodiment, the control unit (110) operates directly on the control arm (102) 'the control arm then transfers the sample (101) to a component manufacturing processing line or 86768.DOC- 24- 200408806 2 Exclude the line to take appropriate green positive measures. In place of the 'control unit' during operation, it can, for example, give a directive to an operator, who can then separate the control component by (Eg, to manipulate the arm 002) to make an acceptable / exclude selection to transfer the sample under test to a correction process, etc. The / line shows a second processing path, which reflects an alternative second aspect of the invention. In this optional aspect, the data corresponding to the PL intensity mapping across the surface of the sample (101) is also transmitted to a second processing unit (m) 'processing unit (ill), which can be parsed into a Digitized spatially resolved intensity mapping across the sample (ιοί) surface. The obtained mapping is transmitted to the data memory (112) and the visual display screen (113). Access to parsed data

馨別缺陷位置。以此方式,可使用該基礎裝置快速筛選晶 圓。可自該晶圓映射鑒別污染之位置,且然後可使用txrF 技術進一步分析該晶圓以鑒別雜質。 於圖3中展示了可將该技術用於债測清潔之後的污染。首 先在圖1之裝置上量測所選擇之對照組晶圓(丨2英寸直徑) ,然後以Cu、A1及Co〇l X 1〇12原子/平方公分)故意污染該 等晶圓。其後再量測該等晶圓。量測結果如圖3所示。 污染之後’獲取了在平均PL訊號中之顯著差異,於所有 狀況下,平均PL值皆增大,指示了在表面污染量之表面缺 陷密度中的變化。儘管該等結果令人驚奇,因為該實驗係 於室溫下完成(以再現普通清潔條件)。儘管在諸如 WO97/09649之原始資料中描述使用如此之技術鑒別熱處 理之後的污染,但是該等先前原始資料表明為使金屬污染 86768.DOC -25 - 200408806 活化,晶圓必須經過熱處理。但是根據本發明確定可將該 平均PL值用作一種度量來測定表面金屬污染之存在情況 以監測表面清潔度。 進行進一步實驗以觀測Fe污染〇i X ι〇ΐ2原子/平方公分) 之影響。圖4a展示污染前之晶圓映射(平均PL值=3.97),而 圖4b展示Fe污染後之相應映射(平均]?[值=11.19),該平均 PL值明顯升高。由此證實亦可使用pL值偵測表面Fe污染。 為計算清潔之效果,使用一商業單一晶圓腔室表面清潔 受Fe污染之晶圓。圖4c展示了受清潔晶圓之映射(平均pL 值=3.50)。值得注意的是隨著藉由清潔過程移除以,平均 PL訊號回落至與清潔之前相當之水準,從而證實了此一觀 點:PL平均水準係表面清潔度之指示。 此外,在嚴格檢測了該晶圓映射之後,發現貫穿該晶圓 存在可觀測到的PL平均水準上之差異。頃發現晶圓邊緣之 水準(圖4d«4.0)高於晶圓中心之水準(圖4(^31)。此明顯地 指示了貫穿晶圓表面之清潔效能中的差異。同時TXRF亦展 示了在該晶圓上表面污染水準約低於i X 1〇 10原子/平方公 分。此明顯地展示了平均PL值係非常靈敏的表面清潔度之 量測方法。 將記錄PL映射,且計算出平均pl值,且然後將該值與一 預定之值進行比較。將使用該特定範圍測定清潔狀態中之 品質,且因此產生一合格-不合格製程控制程序,在該程序 中可知受到理想清潔之樣品傳遞至下一製造階段,且將污 染樣品交至進一步清潔處理。圖5以圖表形式展示了此程序。 86768.DOC -26- 200408806 藉由設定該特定範圍,接著可以使該範圍與任何色彩或 和灰度等級圖案相關聯,且製備一相應影像。圖6展示了一 實例。在該實例中,晶圓映射中之黑色區域展示在該特定 範圍之外的區域。可使用該簡單編碼來展示晶圓品質中之 變化。 在使用平均PL訊號水準觀測晶圓品質後,可於未達到該 規格之晶圓上以更高解析度記錄進一步之量測。此將允許 吾人測定污染類型或存在的其他缺陷,同時亦允許吾人更 詳細地檢測空.間變化,由此可給出關於污染源(譬如一特定 處理步驟或不完全清潔)之更清晰的指示。 為鑑別正面上之污染源,可同時記錄晶圓之背面。藉由 比較該等晶圓映射,可明確知道污染是否源自晶圓背面。 然後可採取進一步措施以防止對其他計量工具製程儀器之 交叉污染。 % 因此根據本發明,可將光致發光工具用作—快速製程抑 制工具以敎晶圓品質。獲取晶圓映射且數值分析所量測 (PL回應以提供-品質度量,其中光致發光回應鱼吾人已 知與具有良好品質之半導體結構相關的預定參數之峨 差被用作品質控制判定之基礎。 在所給之基礎實例中,其可僅為在整個區域之上的平均 回應之比較。但是—般而言,污染可非常具有局部性,卫 平均PL訊號(在晶圓映射中之所有所量測之像素上所求之 可能未必為該污染之可靠指示。若使用一糊 …圓映射’則使用平均PL分析可賴測到非常Xinbei defect location. In this way, wafers can be quickly screened using this basic device. The location of the contamination can be identified from the wafer map, and the wafer can then be further analyzed using txrF technology to identify impurities. Figure 3 shows the contamination that can be applied to this technique after debt cleaning. The selected control wafers (2 inches in diameter) were first measured on the device in Figure 1, and then these wafers were intentionally contaminated with Cu, A1, and CoOl X 1012 atoms / cm2. These wafers are then measured. The measurement results are shown in Figure 3. After contamination, a significant difference in the average PL signal was obtained. In all cases, the average PL value increased, indicating a change in the surface defect density of the amount of surface contamination. Although these results are surprising, the experiment was performed at room temperature (to reproduce normal cleaning conditions). Although source materials such as WO97 / 09649 describe the use of such techniques to identify contamination after thermal treatment, such previous source materials indicate that in order to activate metal contamination 86768.DOC -25-200408806, wafers must be heat treated. However, it is determined according to the present invention that the average PL value can be used as a metric to determine the presence of surface metal contamination to monitor surface cleanliness. Further experiments were performed to observe the effect of Fe contamination (i.e., X 2 (atom / cm 2)). Figure 4a shows the wafer map before contamination (average PL value = 3.97), and Figure 4b shows the corresponding map after Fe contamination (average value)? [Value = 11.19), the average PL value increases significantly. This confirms that surface Fe contamination can also be detected using pL values. To calculate the effect of cleaning, a commercial single wafer chamber was used to clean Fe-contaminated wafers. Figure 4c shows the mapping of the cleaned wafers (average pL value = 3.50). It is worth noting that with the removal through the cleaning process, the average PL signal dropped to a level comparable to that before cleaning, thus confirming this point: the average PL level is an indication of surface cleanliness. In addition, after rigorous inspection of the wafer mapping, it was found that there was a discernable difference in the average PL level across the wafer. It was found that the level of the wafer edge (Figure 4d «4.0) is higher than the level of the wafer center (Figure 4 (^ 31). This clearly indicates the difference in the cleaning performance across the wafer surface. TXRF also showed that The level of surface contamination on the wafer is lower than i X 1010 atoms / cm 2. This clearly shows that the average PL value is a very sensitive measurement method of surface cleanliness. The PL is recorded and the average pl is calculated. Value, and then compare this value to a predetermined value. The specific range will be used to determine the quality in the cleaning state, and thus a pass-fail process control program will be generated, in which it is known that the sample is passed by the ideal clean Proceed to the next manufacturing stage and hand over the contaminated samples for further cleaning. Figure 5 shows this procedure in the form of a chart. 86768.DOC -26- 200408806 By setting the specific range, the range can then be made with any color or and The grayscale patterns are associated and a corresponding image is prepared. Figure 6 shows an example. In this example, the black areas in the wafer map are shown in areas outside the specific range. Use this simple code to show changes in wafer quality. After observing wafer quality using the average PL signal level, you can record further measurements on wafers that do not meet this specification with higher resolution. This will allow us Determining the type of pollution or other defects that exist, while allowing us to detect spatial and temporal changes in more detail, can give a clearer indication of the source of the pollution (such as a specific processing step or incomplete cleaning). To identify positives The source of contamination can be recorded on the back of the wafer at the same time. By comparing these wafer mappings, it can be clearly known whether the contamination originated from the back of the wafer. Then further measures can be taken to prevent cross-contamination of other metrology tool process instruments.% Therefore According to the present invention, a photoluminescence tool can be used as a rapid process suppression tool to determine wafer quality. Wafer mapping is obtained and measured by numerical analysis (PL response to provide a quality metric, in which photoluminescence responds The discrepancy of predetermined parameters related to a semiconductor structure with good quality is used as a basis for quality control judgment. In the basic example given, it can only be a comparison of the average response over the entire area. But—in general, contamination can be very local, with average PL signals (all measured in the wafer map) What is sought on a pixel may not necessarily be a reliable indication of the contamination. If a paste ... circle map is used, then average PL analysis can be used to detect very

86768.DOC -27· 200408806 性之訊號。 於1己錄一晶圓映射之後,可施用一虛擬網格,且使用適 當軟體將其顯示於晶圓映射之頂部。可使用該虛擬網格執 行分析。同時局部區域分析亦允許對結構中問題位置之更 優足位。舉例而i,可指示出不合格之網格元件;可於與 該網格元件相同的位置啟動微觀掃描以允許更詳細地檢測 所關心之區域;且可用適當形式輸出晶圓映射分析中不合 格元件之記錄。 局部網格方法並不侷限於基於平均值之數值分析。可使 用任何適當之預先規定的參數(包括平均強度、小值、 極大值、標準差及基線),以測定污染區域。由於貫穿晶圓 之訊號的變化不均勻,PL訊號基線方法可為一更為有用之 參數。以下將解釋該技術。 圖7a展示一典型晶圓映射,而圖7b展示相關的pL強度之 直方圖。 在晶圓映射中藉由與基線值之偏差偵測污染,且可設定 界限。但是,可藉由污染修正該晶圓映射中之PL平均值。 而所用之值應代表一未被污染的晶圓之訊號水準。圖8所示 <峰值代表一未被污染晶圓之真實PL值。修正基線函數以 具有一峰值將允許用戶準確追蹤污染且具有更高靈敏度。 一適當演算法包括以下步騾: 1 ·界足峰值為直方圖中之極大值,且將其用於基線。 2 ·搜索嶂r值之資料。 3·然後計算極大值之±7〇%(其由使用者界定),接著重新86768.DOC -27 · 200408806 sex signals. After recording a wafer map, a virtual grid can be applied and displayed on top of the wafer map using appropriate software. You can use this virtual grid to perform analysis. At the same time, the local area analysis also allows for a better position for problem locations in the structure. For example, i can indicate a failed grid element; a micro-scan can be initiated at the same location as the grid element to allow more detailed inspection of the area of interest; and the failure in the wafer mapping analysis can be output in an appropriate form Record of components. The local grid method is not limited to numerical analysis based on average values. Any suitable pre-defined parameters (including average intensity, small value, maximum value, standard deviation, and baseline) can be used to determine the contaminated area. Since the signal variation across the wafer is not uniform, the PL signal baseline method can be a more useful parameter. This technique will be explained below. Figure 7a shows a typical wafer map, and Figure 7b shows the associated histogram of pL intensity. Contamination is detected in wafer mapping by deviation from the baseline value, and limits can be set. However, the average PL value in the wafer map can be corrected by contamination. The value used should represent the signal level of an uncontaminated wafer. The < peak value shown in Figure 8 represents the true PL value of an uncontaminated wafer. Modifying the baseline function to have a peak will allow the user to accurately track contamination with higher sensitivity. A suitable algorithm includes the following steps: 1. The peak of the bounding foot is the maximum value in the histogram, and it is used for the baseline. 2 · Search the data of 嶂 r value. 3. Then calculate ± 70% of the maximum value (which is defined by the user), and then re-

86768.DOC -28 - 200408806 界定尖宰極大值為該等點之中心位置。 4.然後计算尖峰極大值之精確值,且接著界定pL水準。 5 ·同樣允許使用者輸入來自未被污染晶圓之典型基線 值,若存在相等強度之兩個峰值,其將有所幫助。 晶圓之基線係對應於點之最大數量的PL值。 藉由下列關係式界定基線偏差: 基線偏差=PL值-基線 PL值係網格各元件之AVGpL。必須對各元件量測基線偏 差。 【圖式簡單說明】 以上僅參考所附圖式1至8藉由實例描述本發明,其中: 圖1係對用以獲取PL資料之適當裝置的圖解說明; 圖2係一展示如何處理資料之示意圖; 圖3展π根據本發明記錄的一清潔狀態中之晶圓及三個 被故意污染之晶圓的PL訊號; 固展示根據本發明圮錄的處於清潔狀態、污染狀態及再 清潔狀態中之受Fe污染之晶圓的PL訊號; 圖5展PL貝料與一基礎品質控制接受/排除判定之間的 相互關係; 圖6展不一晶圓之空間解析pL影像; 圖7及8展示根據本發明之一種可能的數值分析技術。 【圖式代表符號說明】 樣品堂 2 樣品86768.DOC -28-200408806 Defines the maximum value of the sharpening center of the point. 4. The exact value of the peak maximum is then calculated and then the pL level is defined. 5 · It also allows the user to enter typical baseline values from uncontaminated wafers. It will be helpful if there are two peaks of equal intensity. The baseline of the wafer is the PL value corresponding to the maximum number of points. Baseline deviation is defined by the following relationship: Baseline deviation = PL value-Baseline PL value is the AVGpL of each element of the grid. Baseline deviation must be measured for each component. [Brief description of the drawings] The present invention is described above by way of example only with reference to the attached drawings 1 to 8, wherein: FIG. 1 is a diagrammatic illustration of a suitable device for obtaining PL data; FIG. 2 is a diagram showing how to process the data Schematic diagram; Figure 3 shows the PL signals of a wafer in a clean state and three intentionally contaminated wafers recorded according to the present invention; a solid display of the clean state, contaminated state, and recleaned state recorded according to the present invention PL signals of Fe contaminated wafers; Figure 5 shows the correlation between the PL materials and a basic quality control acceptance / exclusion determination; Figure 6 shows the spatially resolved pL images of different wafers; Figures 7 and 8 show One possible numerical analysis technique according to the present invention. [Illustration of Symbols in the Drawings] Sample Hall 2 Sample

86768.DOC -29- 200408806 3-8 雷射 9 光學纖維 10 准直儀 11 雷射束擴展器 12 切趾板 13, 18 , 23 , 27 濾光輪 14 折疊鏡 15 頻率產生器 16 雷射選擇器 17 冷鏡 19 孔徑輪 20 壓電致動器 21 紅外線偵測器 22, 24 聚焦透鏡 25 UV及可視區域偵測器 26 鎖定放大器 28 變焦透鏡 29 CCD二維陣列 30 自動聚集控制器 31 光束分離器 32 物鏡分度裝置 33 壓電驅動聚焦臺 34, 35 顯微鏡物鏡 36 轉臺 -30-86768.DOC -29- 200408806 3-8 Laser 9 Optical fiber 10 Collimator 11 Laser beam expander 12 Apodizer plate 13, 18, 23, 27 Filter wheel 14 Folding mirror 15 Frequency generator 16 Laser selector 17 Cold mirror 19 Aperture wheel 20 Piezo actuator 21 Infrared detector 22, 24 Focusing lens 25 UV and visible area detector 26 Lock-in amplifier 28 Zoom lens 29 CCD two-dimensional array 30 Automatic focusing controller 31 Beam splitter 32 Objective lens indexing device 33 Piezo driven focusing stage 34, 35 Microscope objective 36 Turntable -30-

86768.DOC 200408806 37 鏡筒透鏡 38 光學位移量測系統 39 顯TF幕 40 微處理器 100 化學氣體沈積磊晶反應器 101 樣品 102 操縱臂 103 取樣基座 105 收集裝置 107 處理器 108 比較器 109 資料記憶體 110 控制單元 111 第二處理單元 112 資料記憶體 113 視覺顯示幕 86768.DOC -31 -86768.DOC 200408806 37 Barrel lens 38 Optical displacement measurement system 39 Display TF screen 40 Microprocessor 100 Chemical gas deposition epitaxial reactor 101 Sample 102 Manipulator 103 Sampling base 105 Collection device 107 Processor 108 Comparator 109 Information Memory 110 Control unit 111 Second processing unit 112 Data memory 113 Visual display 86768.DOC -31-

Claims (1)

200408806 拾、申請專利範園: 1. 一種於元件製造過程中對諸如矽之半導體的清潔過程進 行品質控制之方法,其包括以下步驟: 使一清潔狀態中之半導體結構經受一關键的清潔處理 步騾; 將該半導體結構之表面曝露於來自一適當光源之至少 一個南強度光束下,且收集藉由該光束激勵該半導體結 構而產生之光致發光(PL); 對所收集之該光致發光訊號做出分析,且將該分析用 β 作該半導體清潔度之一品質分級的基礎。 2. 如申請專利範圍第1項之方法,其中該品質分級步騾包括: 測定貫穿該結構區域或其子區域發射之一平均光致發 光強度; 將該平均值與光致發光之一預定的可接受規格範圍進 行比較; 基於該比較對該半導體結構之清潔度做出一品質分級。 3. 如申請專利範圍第1或2項之方法,其中該品質分級步騾 — 包括排除或選擇展示在一預定妁可接受規格範圍之外的 一光致發光回應之半導體結構以採取矯正措施。 4. 如申請專利範圍第1或2項之方法,該方法被施用作於在 半導體結構上進行的元件製造過程中,對諸如矽之引入 半導體結構的濕式化學清潔之一品質控制度量,且作為 一元件製造過程的一部份而被併入,該方法包括以下步 •驟··以習知方式化學清潔該結構,使該清潔狀態中之結 86768.DOC 200408806 構經受一如申請專利範圍第3項之測試,將一展示一在該 光致發光之預定的可接受規格範圍内之光致發光回應的 結構傳遞至下-元件製造階段,將—展示—在該光致發 光<預疋的可接受規格範圍外之光致發光回應的結構自 該下一元件製造階段排除。 5.如申請專利範圍第4項之方法,其中接著傳遞多個被排除 〜構以進行進一步清潔,之後進行再測試且重複如申請 專利範圍第4項的接受/排除步·驟。 6·如申請專利範圍第丨或2項之方法,其中將該光束之光束 功率及/或光斑尺寸控制為自該半導體結構之上部以微 米處收集近表面PL資訊。 7·如申請專利範圍第6項之方法,其中將該光束控制為自該 半導體結構之上邵1微米處收集近表面pL資訊。 8·如申請專利範圍第丨或2項之方法,其中大約於室溫下獲 取該PL回應。 9·如申請專利範圍第1或2項之方法,其中該光源係一高強 度雷射。 10·如申請專利範圍第9項之方法,其中該雷射具有光斑尺寸 為0·1至20微米,較理想為2至5微米,且峰值或平均功率 山度在1 0至1 〇9瓦特/平方公分之間的較小探測容量。 11. 如申請專利範圍第㈣之方法,其中使用_脈衝雷射激 勵源,且量測發光資料且/或收集該等發光影像作 間函數。 ^ 12. 如_請專利範圍第〗或2項之方法,其另外包括使用該等 86768.DOC -2 - 200408806 所收集之PL訊號以生成貫穿測試中之該半導體表面的 PL訊號之一空間解析映射,且尤其生成該等訊號之一空 間解析影像的步驟。 13. 如申請專利範圍第12項之方法,其另外包括將該空間解 析PL映射儲存於適當資料儲存構件上,及/或藉由適當處 理構件傳送自該空間解析映射取得之數位化資料以供前 向處理用之步驟。 14. 如申請專利範圍第13項之方法,其另外包括將任何生成 之PL影像顯示於適當顯示構件上之步驟。 籲 15. 如申請專利範圍第13或14項之方法,其進一步包括下列 步驟:使用該空間解析映射鑒別該污染之大致位置;且 使用一諸如TXRF之特定分析技術在該所鑒別之位置進 一步分析該半導體結構以鑒別該污染。 16· —種鑒別且/或表徵在連續的處於清潔狀態中之諸如矽 之半導體結構中的清潔污染之方法,其包括於一給定的 關鍵清潔階段之後,在該等連續結構中的每一個之上執 行如申請專利範圍第項其中一項的方法,僅在因展φ · 示-在該預定的可接受規格範圍之外的光致發光回應π 被排除的該等結構之上執行如中請專利範圍第12至15項 其中一項的該等額外步驟,以僅產生關於被排除結構: 空間解析資料。 η•-種協助診斷及鱗正清潔問題之方法,其包括根據前述 申請專利範圍中任-項之方法於_給定的關鍵清潔階段 之後依序測試複數個結構,儲存及比較該等序列測試結 86768.DOC 18.200408806 果,且使用該比較結果鑒別該清潔過程中之系統錯誤。 一種於在半導體結構上進行之元件製造過程中用於諸如 石夕之半導體結構之品質控制清潔的裝置,其包括:一清 潔裝置,其使該半導體結構經受濕式化學清潔,及一測 試裝置,其偵測該清潔狀態中之結構表面上之雜質,其 中該測試裝置包括一高強度先源;將來自該光源之高強 度光束聚焦至測試中之該半導體結構的一表面上之構件 ’收集構件’其收集藉由該光束激勵該半導體結構產生 的貝牙咸測試中之半導體結構的表面之光致發光資料· 分析構件,其處理及數值分析該所收集之資料;一比較 咨,其將該等分析結果與預定之可接受規格參數進行比 較,且根據該光致發光訊號是否在該預定之可接受規柊 範圍之内而決定接受或排除該結構。200408806 Patent application park: 1. A method for quality control of the cleaning process of semiconductors such as silicon during component manufacturing, which includes the following steps: subjecting a semiconductor structure in a clean state to a critical cleaning process Step; exposing the surface of the semiconductor structure to at least one south-intensity light beam from a suitable light source, and collecting photoluminescence (PL) generated by exciting the semiconductor structure with the light beam; The light-emitting signal is analyzed, and β is used as the basis for one quality classification of the semiconductor cleanliness. 2. The method according to item 1 of the patent application range, wherein the quality grading step comprises: determining an average photoluminescence intensity emitted through the structural region or a subregion thereof; and prescribing the average value with one of the photoluminescence The acceptable specification range is compared; based on the comparison, a quality classification is made for the cleanliness of the semiconductor structure. 3. The method according to item 1 or 2 of the patent application scope, wherein the quality grading step includes-excluding or selecting a photoluminescence response semiconductor structure displayed outside a predetermined range of acceptable specifications to take corrective measures. 4. If the method of claim 1 or 2 is applied, the method is applied as a quality control measure for one of wet chemical cleaning of semiconductor structures such as silicon introduced into the semiconductor manufacturing process, and Incorporated as part of a component manufacturing process, the method includes the following steps: • Chemically cleans the structure in a conventional manner so that the knots in the clean state are subject to the same scope as patent applications The test of item 3 passes a structure that displays a photoluminescence response within the predetermined acceptable specification range of the photoluminescence to the lower-component manufacturing stage, and-displays-in the photoluminescence < pre- Photoluminescence response structures outside the range of tritium's acceptable specifications are excluded from this next component manufacturing stage. 5. The method according to the scope of the patent application, wherein a plurality of excluded structures are then passed for further cleaning, and then retesting is performed and the acceptance / exclusion steps and steps as described in the scope of the patent application are repeated. 6. The method according to item 1 or 2 of the scope of patent application, wherein the beam power and / or spot size of the light beam is controlled to collect near-surface PL information from the upper part of the semiconductor structure in micrometers. 7. The method of claim 6, wherein the beam is controlled to collect near-surface pL information from a point 1 micrometer above the semiconductor structure. 8. The method of applying for item No. 丨 or 2 of the patent application, wherein the PL response is obtained at about room temperature. 9. The method of claim 1 or 2, wherein the light source is a high-intensity laser. 10. The method according to item 9 of the patent application range, wherein the laser has a spot size of 0.1 to 20 microns, preferably 2 to 5 microns, and a peak or average power mountain range of 10 to 109 watts / Cm2 smaller detection capacity. 11. The method according to the scope of the patent application, wherein a pulsed laser excitation source is used, and the luminescence data is measured and / or the luminescence images are collected as a function. ^ 12. If the method of item No. 2 or 2 is requested, it additionally includes using the PL signals collected in 86768.DOC -2-200408806 to generate a spatial analysis of one of the PL signals across the semiconductor surface under test. A step of mapping and, in particular, generating a spatially resolved image of one of these signals. 13. If the method of claim 12 is applied, it further comprises storing the spatially resolved PL map on an appropriate data storage component, and / or transmitting the digitized data obtained from the spatially resolved map by an appropriate processing component for use in Steps for forward processing. 14. If the method of claim 13 is applied, it further includes the step of displaying any generated PL image on an appropriate display member. 15. The method of claim 13 or 14, further comprising the steps of: identifying the approximate location of the pollution using the spatial resolution map; and further analyzing the identified location using a specific analysis technique such as TXRF The semiconductor structure to identify the contamination. 16. · A method of identifying and / or characterizing cleaning contamination in a continuous clean state of a semiconductor structure such as silicon, which includes each of the continuous structures after a given critical cleaning phase The method of one of the items in the scope of the patent application is implemented on the above, and only on those structures that are excluded due to the photon response π that is outside the predetermined acceptable specification range. Ask for these additional steps in one of the 12th to 15th of the patent scope to produce only the excluded structures: spatially resolved data. η • -A method for assisting diagnosis and cleaning problems, which includes sequentially testing a plurality of structures after a given critical cleaning phase in accordance with any of the methods described in the aforementioned patent application scope, storing and comparing these sequential tests The result is 86768.DOC 18.200408806, and the comparison results are used to identify system errors during the cleaning process. An apparatus for quality control cleaning of a semiconductor structure such as Shi Xi during a component manufacturing process performed on a semiconductor structure, comprising: a cleaning device that subjects the semiconductor structure to wet chemical cleaning, and a test device, It detects impurities on the surface of the structure in the clean state, wherein the test device includes a high-intensity source; focusing a high-intensity beam from the light source onto a member on a surface of the semiconductor structure under test, a collection member 'It collects the photoluminescence data on the surface of the semiconductor structure in the Bayesian test generated by exciting the semiconductor structure with the light beam. The analysis component processes and numerically analyzes the collected data. The analysis results are compared with predetermined acceptable specifications, and the structure is accepted or excluded based on whether the photoluminescence signal is within the predetermined acceptable specifications. 19. 如申請專利範圍第18項之裝置,其被併入一生產線,且 包括適於將該結構傳輸至一挣定的下一處理階段之傳輸 構件,其中根據該光致發光訊號是否在該預定之可接= 規格範圍之内而決定傳輸與否。19. If the device under the scope of patent application 18 is incorporated into a production line, and includes a transmission member suitable for transmitting the structure to a determined next processing stage, wherein according to whether the photoluminescent signal is in the Predetermined accessibility = within the range of specifications to decide whether to transmit. 20. 21. =申請專利範圍第19項之裝置,其中該傳輸構件適於將 展π —在薇光致發光之預定的可接受規格範圍内之光 致發光回應的結構傳輸至該下一元件製造階段,且將一 展不一在孩光致發光之預定的可接受規格範園外之光致 發光回應的結構傳輸回該清潔器進行進一步清潔。 如申請專利範11第18、19或2G項之裝置,其中該光束之 光束功率及/或波長及/或光斑尺寸受控制為使得該裝= 86768.DOC -4- 200408806 適於自該半導體結構之上部12微米處生成及收集近表面 pL資訊。 如申叫專利範圍第21項之裝置,其中該光束之光束功率 及/或波長及/或光斑尺寸受控制為使得該裝置適於自該 半導體結構之上部1微米處生成及收集近表面PL資訊。 23.如申請專利範圍第18、19或2()項之裝置,其中該光源係 一高強度雷射。 24. 如申叫專利知圍第23項之方法,其中該雷射具有光斑尺 寸為〇·1至20微米,較理想為2至5微米,且峰值或平均功 率贫度在104至1〇9瓦特/平方公分之間的較小探測容量。 25. 如申請專利範圍第18、19或则之裝置,其另外包括將 孩所收集之PL資料解析為一貫穿該半導體結構區域的 空間解析PL映射之構件。 %如申請專利範圍第25項之裝置,其另外包括將所收集之 訊資料解析為-貫穿該半導體結構區域的空間:析 PL映射之構件,且視需要另外包括將該解析之資料轉換 為-PL影像《構件,及/或儲存該映射/影像、尤其 存連續映射/影像供將來比較用之影像/資料錯存構件, 及/或將該映騎彡像傳送至—騎遠端料處理 件’及/或將-影像及/或相關資料顯示給—使 如一视覺顯示幕的影像顯示構件。 C 86768.DOC20. 21. = The device of the scope of application for patent No. 19, wherein the transmission member is adapted to transmit the photon-response structure within the predetermined acceptable specification range of Wei photoluminescence to the next element At the manufacturing stage, the photoluminescence response structure outside the predetermined acceptable specification range of photoluminescence is transmitted back to the cleaner for further cleaning. For example, the device of claim 18, 19, or 2G of patent application range 11, wherein the beam power and / or wavelength and / or spot size of the beam is controlled so that the device = 86768.DOC -4- 200408806 is suitable for the semiconductor structure Near-surface pL information was generated and collected at 12 micrometers above. For example, the device of claim 21 is patented, wherein the beam power and / or wavelength and / or spot size of the beam is controlled so that the device is suitable for generating and collecting near surface PL information from 1 micron above the semiconductor structure. . 23. The device according to claim 18, 19 or 2 (), wherein the light source is a high-intensity laser. 24. For example, the method of claim No. 23 of the patent, wherein the laser has a spot size of 0.1 to 20 microns, preferably 2 to 5 microns, and the peak or average power poverty is 104 to 109. Smaller detection capacity between W / cm2. 25. The device according to claim 18, 19, or 19, further includes a component that parses the PL data collected by the child into a spatially resolved PL map that runs through the semiconductor structure area. % If the device of the scope of application for patent No. 25, it further includes parsing the collected information into-a space that runs through the area of the semiconductor structure: analyzing the components of the PL mapping, and optionally including converting the parsed data to- PL image "component, and / or the image / data misstore component that stores the mapping / image, especially the continuous mapping / image for future comparison, and / or transmits the image to the remote processing material 'And / or display the image and / or related information to an image display component such as a visual display screen. C 86768.DOC
TW92119549A 2002-07-17 2003-07-17 Detection method and apparatus TW200408806A (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
GB0216620A GB0216620D0 (en) 2002-07-17 2002-07-17 Detection method and apparatus

Publications (1)

Publication Number Publication Date
TW200408806A true TW200408806A (en) 2004-06-01

Family

ID=9940655

Family Applications (1)

Application Number Title Priority Date Filing Date
TW92119549A TW200408806A (en) 2002-07-17 2003-07-17 Detection method and apparatus

Country Status (4)

Country Link
AU (1) AU2003255718A1 (en)
GB (1) GB0216620D0 (en)
TW (1) TW200408806A (en)
WO (1) WO2004008125A1 (en)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006204292A (en) * 2004-12-27 2006-08-10 Asahi Kasei Corp Agent for inhibiting differentiation of human embryonic stem cell
EP2462486B1 (en) * 2009-08-04 2020-04-15 ASML Netherlands BV Object inspection systems and methods
US9274441B2 (en) 2010-08-16 2016-03-01 Asml Netherlands B.V. Inspection method for imprint lithography and apparatus therefor
WO2012076216A1 (en) * 2010-12-06 2012-06-14 Asml Netherlands B.V. Methods and apparatus for inspection of articles, euv lithography reticles, lithography apparatus and method of manufacturing devices
US20170066020A1 (en) * 2014-02-27 2017-03-09 Walter Surface Technologies Inc. Industrial cleanliness measurement methodology
CN108613967B (en) * 2018-08-09 2020-12-08 江苏师范大学 Raman spectrum testing system

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5922606A (en) * 1997-09-16 1999-07-13 Nalco Chemical Company Fluorometric method for increasing the efficiency of the rinsing and water recovery process in the manufacture of semiconductor chips
AU2001292110A1 (en) * 2000-10-06 2002-04-15 Aoti Operating Company, Inc. Method to detect surface metal contamination

Also Published As

Publication number Publication date
AU2003255718A1 (en) 2004-02-02
GB0216620D0 (en) 2002-08-28
WO2004008125A1 (en) 2004-01-22

Similar Documents

Publication Publication Date Title
US6911347B2 (en) Method to detect surface metal contamination
JP3843637B2 (en) Sample preparation method and sample preparation system
JP4293201B2 (en) Sample preparation method and apparatus
JP2604607B2 (en) Defect distribution measurement method and equipment
JP4248249B2 (en) Detection and classification of semiconductor microdefects
TWI722246B (en) Defect marking for semiconductor wafer inspection
US6791099B2 (en) Laser scanning wafer inspection using nonlinear optical phenomena
US7446868B1 (en) Micro defects in semi-conductors
US7105815B2 (en) Method and apparatus for collecting defect images
JP3917154B2 (en) Defect evaluation method and apparatus for semiconductor sample
JPH0750331A (en) Method and apparatus for evaluating semiconductor light-emitting element
TW200427978A (en) Detection method and apparatus
US6172749B1 (en) Method of and apparatus for detecting a surface condition of a wafer
TW200408806A (en) Detection method and apparatus
JP4367433B2 (en) Sample preparation method and apparatus
TW200411167A (en) Detection method and apparatus
JP3964283B2 (en) Nondestructive inspection equipment
TW200427977A (en) Detection method and apparatus
JP7376369B2 (en) Semiconductor device inspection equipment
JP2008238205A (en) Pulsed laser machining method and apparatus
JPS6032133B2 (en) Sample evaluation device
JPH11145228A (en) Inspection of lamination defect and semiconductor wafer