RU2790638C1 - Multibit modular adder - Google Patents

Multibit modular adder Download PDF

Info

Publication number
RU2790638C1
RU2790638C1 RU2022128482A RU2022128482A RU2790638C1 RU 2790638 C1 RU2790638 C1 RU 2790638C1 RU 2022128482 A RU2022128482 A RU 2022128482A RU 2022128482 A RU2022128482 A RU 2022128482A RU 2790638 C1 RU2790638 C1 RU 2790638C1
Authority
RU
Russia
Prior art keywords
bit
input
adder
information
inputs
Prior art date
Application number
RU2022128482A
Other languages
Russian (ru)
Inventor
Вячеслав Иванович Петренко
Денис Дмитриевич Пуйко
Original Assignee
федеральное государственное автономное образовательное учреждение высшего образования "Северо-Кавказский федеральный университет"
Filing date
Publication date
Application filed by федеральное государственное автономное образовательное учреждение высшего образования "Северо-Кавказский федеральный университет" filed Critical федеральное государственное автономное образовательное учреждение высшего образования "Северо-Кавказский федеральный университет"
Application granted granted Critical
Publication of RU2790638C1 publication Critical patent/RU2790638C1/en

Links

Images

Abstract

FIELD: computer engineering.
SUBSTANCE: digital computing devices, as well as digital signal processing devices, cryptographic applications and control systems. First, the value of the expression is determined as (A+B) - P. If the resulting value is greater than or equal to zero, i.e. (A+B) – P 0, then the desired value is obtained. If the resulting value is less than zero, i.e. (A+B) – P < 0, then the desired value is obtained by repeated summation of the A and B numbers. The device contains n full single-bit adders, (n+1)-bit adder, n-bit key, where n is the device capacity, delay element, RS-trigger, NE element and 2I element.
EFFECT: increasing the speed of the device, performing the summation operation in single-bit parallel adders.
1 cl, 1 dwg

Description

Изобретение относится к вычислительной технике и может быть использовано в цифровых вычислительных устройствах, а также в устройствах цифровой обработки сигналов, в криптографических приложениях и в системах управления.SUBSTANCE: invention relates to computer engineering and can be used in digital computing devices, as well as in digital signal processing devices, in cryptographic applications and in control systems.

Известен последовательный многоразрядный сумматор, который содержит n-разрядные сдвиговые регистры операндов X и Y, регистр результата, одноразрядный сумматор и двухступенчатый D-триггер для запоминания переноса [1].A serial multi-bit adder is known, which contains n -bit shift registers of operands X and Y , a result register, a single-bit adder and a two-stage D -trigger for carrying carry [1].

Недостатком данного сумматора является ограниченные функциональные возможности, а именно невозможность суммирования по модулю.The disadvantage of this adder is limited functionality, namely the impossibility of modulo summation.

Также известен многоразрядный параллельный сумматор с последовательным переносом, содержащий n одноразрядных параллельных сумматоров с соответствующими связями [2].Also known is a multi-bit parallel adder with serial transfer, containing n single-bit parallel adders with appropriate connections [2].

Недостатком данного сумматора является ограниченные функциональные возможности, а именно невозможность суммирования по модулю.The disadvantage of this adder is limited functionality, namely the impossibility of modulo summation.

Наиболее близким по технической сущности к заявляемому изобретению является многоразрядный параллельный сумматор по модулю с последовательным переносом, содержащий (n+1) одноразрядных параллельных сумматоров по модулю с соответствующими связями, осуществляющий суммирование чисел A и B по произвольному модулю M [3].The closest in technical essence to the claimed invention is a multi-bit parallel modulo adder with serial transfer, containing ( n + 1) single-bit parallel modulo adders with appropriate connections, summing the numbers A and B modulo an arbitrary M [3].

Недостатком данного устройства является низкое быстродействие, вызванное последовательным поразрядным суммированием, а также наличием в каждом одноразрядном сумматоре по модулю двух последовательно соединенных параллельных одноразрядных сумматоров.The disadvantage of this device is the low performance caused by serial bitwise summation, as well as the presence in each single-bit modulo adder of two series-connected parallel single-bit adders.

Техническим результатом изобретения является повышение быстродействия.The technical result of the invention is to improve performance.

Для достижения технического результата в многоразрядный сумматор по модулю, содержащий n полных одноразрядных сумматоров, где n-разрядность устройства, RS-триггер, элемент «2И», элемент «НЕ», элемент задержки, входы первого числа суммирования, входы второго числа суммирования, вход модуля устройства, информационные выходы устройства, вход установки устройства в начальное состояние, причем входы первого числа суммирования соединены с первыми информационными входами n полных одноразрядных сумматоров, входы второго числа суммирования соединены со вторыми информационными входами n полных одноразрядных сумматоров, вход установки устройства в начальное состояние соединен со входом установки в единичное состояние RS-триггера, вход установки в нулевое состояние которого соединен с выходом элемента «2И», первый информационный вход которого соединен с выходом элемента «НЕ», а второй информационный вход соединен с выходом элемента задержки, введены n-разрядный ключи (n+1)-разрядный сумматор, первые информационные входы которого соединены с информационными выходами n полных одноразрядных сумматоров соответственно, (2…(n+1))-й разряды вторых информационных входов (n+1)-разрядного сумматора соединены с выходами переноса (1…n)-го одноразрядных сумматоров, первый разряд вторых информационных входов соединен с выходом RS-триггера и управляющим входом n-разрядного ключа, выход переноса соединён со входом элемента «НЕ», а информационные выходы являются информационными выходами устройства, информационные входы n-разрядного ключа соединены со входом модуля устройства, информационные выходы соединены со входами переноса n полных одноразрядных сумматоров, причём вход установки устройства в начальное состояние соединён со входом элемента задержки.To achieve a technical result in a multi-bit modulo adder containing n full single-digit adders, where n is the device capacity, RS is a flip-flop, the "2I" element, the "NOT" element, the delay element, the inputs of the first summation number, the inputs of the second summation number, the input module of the device, information outputs of the device, the input of setting the device to the initial state, and the inputs of the first summation number are connected to the first information inputs of n full single-bit adders, the inputs of the second summation number are connected to the second information inputs of n full single-digit adders, the input of setting the device to the initial state is connected with the input of setting to a single state of the RS flip-flop, the input of setting to the zero state of which is connected to the output of the "2I" element, the first information input of which is connected to the output of the "NOT" element, and the second information input is connected to the output of the delay element, an n -bit keys ( n + 1)-bit adder , the first information inputs of which are connected to the information outputs of n full one-bit adders, respectively, (2 ... ( n + 1))-th bits of the second information inputs of the ( n + 1)-bit adder are connected to the transfer outputs of the (1 ... n )-th one-bit adders , the first bit of the second information inputs is connected to the output of the RS flip-flop and the control input of the n -bit key, the transfer output is connected to the input of the "NOT" element, and the information outputs are the information outputs of the device, the information inputs of the n -bit key are connected to the input of the device module, information outputs are connected to the transfer inputs of n full single-digit adders, and the input of setting the device to the initial state is connected to the input of the delay element.

Сущность изобретения заключается в реализации следующего способа суммирования чисел A и B по модулю P. The essence of the invention lies in the implementation of the following method of summing the numbers A and B modulo P .

Пусть

Figure 00000001
и
Figure 00000002
, где n-разрядность устройства, соответственно первый и второй операнды суммирования, причем
Figure 00000003
и
Figure 00000004
. Пусть
Figure 00000005
модуль, по которому проводится суммирование,
Figure 00000006
- сумма операндов A и B по модулю P.Let
Figure 00000001
And
Figure 00000002
, where n is the capacity of the device, respectively, the first and second summation operands, and
Figure 00000003
And
Figure 00000004
. Let
Figure 00000005
the modulus over which the summation is carried out,
Figure 00000006
is the sum of operands A and B modulo P .

В результате выполнения операции необходимо получить сумму

Figure 00000007
As a result of the operation, you need to get the amount
Figure 00000007

При сложении двух чисел, представленных в виде двоичных кодов A(a n -1, …, a 0) и B(b n -1, …, b 0) образуется сумма С(с n , …, с 0), равная

Figure 00000008
. Способ суммирования двух чисел A и Bпо модулю P заключается в том, что вначале находят решение разности С(с n , …, с 0)–P(p n -1, …, p 0). Если полученное значение больше или равно нулю, то оно и является искомой суммой S(s n -1,…, s 0). Если же полученное значение меньше нуля, то осуществляется повторное суммирование чисел A и B и искомой суммой S является сумма этих чисел S(s n -1,…, s 0)=A(a n -1, …, a 0) + B(b n -1, …, b 0). В качестве индикатора превышения нуля используется выход переноса (n+1)-разрядного параллельного сумматора.When adding two numbers represented as binary codes A ( a n -1 , …, a 0 ) and B ( b n -1 , …, b 0 ), the sum С ( с n , …, с 0 ) is formed, equal to
Figure 00000008
. The method of summing two numbers A and B modulo P consists in first finding the solution of the difference С ( с n , …, с 0 ) – P ( p n -1 , …, p 0 ). If the obtained value is greater than or equal to zero, then it is the desired sum S ( s n -1 ,…, s 0 ). If the obtained value is less than zero, then the repeated summation of the numbers A and B is carried out and the desired sum S is the sum of these numbers S ( s n -1 ,…, s 0 )= A ( a n -1 , …, a 0 ) + B ( b n -1 , …, b 0 ). The carry output of the ( n +1)-bit parallel adder is used as an indicator of exceeding zero.

На фиг. 1 представлена схема многоразрядного сумматора по модулю. Многоразрядный сумматор по модулю содержит n-разрядный ключ 1, n полных одноразрядных сумматоров 2.1-2.n, RS-триггер 3, (n+1)-разрядный параллельный сумматор 4, где n-разрядность устройства, элемент «2И» 5, элемент «НЕ» 6, элемент задержки 7, вход 8 модуля устройства, входы 9 и 10 второго и первого чисел суммирования соответственно, информационные выходы 11 устройства, вход 12 установки устройства в начальное состояние. In FIG. 1 shows a diagram of a multi-bit modulo adder. The modulo multi-bit adder contains an n -bit key 1, n full single-bit adders 2.1-2 .n , an RS flip-flop 3, ( n +1)-bit parallel adder 4, where n is the device capacity, element "2I" 5, element "NOT" 6, delay element 7, input 8 of the device module, inputs 9 and 10 of the second and first summation numbers, respectively, information outputs 11 of the device, input 12 of setting the device to its initial state.

На вход 10 и 9 устройства подают коды чисел A и B, поступающие далее на первый информационный вход A и второй информационный вход B соответствующего j-го полного одноразрядного сумматора 2.1-2.n, где j=1, …, n. Одновременно с этим на вход 8 устройства подается инверсный код модуля P, который поступает на информационные входы (X 1X n ) n-разрядного ключа 1. На вход 12 установки в начальное состояние, который соединён со входом элемента задержки 7 и S входом установки в единичное состояние RS-триггера 3, подаётся сигнал начала вычислений. At the input 10 and 9 of the device serves the codes of numbers A and B coming further on the first information input A and the second information input B of the corresponding j -th full single-digit adder 2.1-2 .n , where j =1, ..., n . At the same time, the inverse code of the module P is fed to the input 8 of the device, which is fed to the information inputs ( X 1 ... X n ) of the n -bit key 1. To the input 12 of the installation in the initial state, which is connected to the input of the delay element 7 and S the input of the installation in a single state of the RS -flip-flop 3, a signal is given to start the calculations.

Многоразрядный сумматор по модулю работает следующим образом (см. Фиг. 1).The multi-bit modulo adder works as follows (see Fig. 1).

Перед началом работы устройство устанавливается в начальное состояние подачей на вход 12 управляющего сигнала. На выходе RS-триггера 3 устанавливается единичный сигнал. На информационные входы 10, 9 и 8 устройства подаются в двоичном виде коды операндов суммирования A(a n -1, …,a 0) и B(b n -1, …,b 0) и инверсный код модуля P(p n -1, …, p 0) соответственно. Для каждого разряда на выходах полных одноразрядных сумматоров 2.1-2.n формируется сигнал суммы S и сигналы переноса числа P о. Так как, RS-триггер 3 находится в единичном состоянии, то ключ 1 пропускает на входы переноса P i полных одноразрядных сумматоров 2.1-2.n инверсный код модуля P(p n -1, …, p 0). В результате на информационных выходах полных одноразрядных сумматоров 2.1-2.n образуются поразрядные сигналы суммы, а на выходах переноса образуются поразрядные сигналы переноса. Сигналы с информационных выходов полных одноразрядных сумматоров 2.1-2.n поступают на первые информационные входы (n+1)-разрядного сумматора 4. Сигналы с выходов переноса полных одноразрядных сумматоров 2.1-2.n поступают на (2…(n+1))-й разряды вторых информационных входов (n+1)-разрядного сумматора 4. На первый разряд B 1 вторых информационных входов (n+1)-разрядного сумматора 4 с выхода RS-триггера 3 поступает сигнал логической единицы. В результате на выходах (n+1)-разрядного сумматора 4 образуется значение S=

Figure 00000009
Before starting work, the device is set to its initial state by applying a control signal to input 12. The output RS -flip-flop 3 is set to a single signal. The information inputs 10, 9 and 8 of the device are supplied in binary form with the codes of the summation operands A ( a n -1 , ..., a 0 ) and B ( b n -1 , ..., b 0 ) and the inverse code of the module P ( p n - 1 , …, p 0 ) respectively. For each digit at the outputs of full single-digit adders 2.1-2. n the sum signal S and the carry signals of the number P about are formed. Since the RS flip-flop 3 is in a single state, the key 1 passes to the transfer inputs P i full single-bit adders 2.1-2. n is the inverse code of the module P ( p n -1 , …, p 0 ). As a result, the information outputs of full single-digit adders 2.1-2. n, bitwise sum signals are formed, and bitwise carry signals are formed at the transfer outputs. Signals from information outputs of full single-digit adders 2.1-2. n arrive at the first information inputs ( n +1)-bit adder 4. Signals from the transfer outputs of full single-bit adders 2.1-2. n arrive at the (2…( n +1))-th bit of the second information inputs of the ( n +1)-bit adder 4. The first bit B 1 of the second information inputs of the ( n +1)-bit adder 4 from the output of the RS flip-flop 3 receives a logical unit signal. As a result, the outputs of ( n +1)-bit adder 4 form the value S =
Figure 00000009

В случае если

Figure 00000010
, то на выходе переноса P o(n+1)-разрядного сумматора 4 образуется сигнал логической единицы, который, проходя через элемент «НЕ» 6 закроет для прохождения на вход RRS-триггера 3 сигнала с выхода элемента задержки 7. Время задержки элемента задержки 7 выбирается не менее чем минимальное время прохождения входных сигналов через элементы 1, 2, 4. При этом на информационных выходах (n+1)-разрядного сумматора 4 образуется искомая сумма чисел A и B по модулю P.If
Figure 00000010
, then at the output of the transfer P o ( n +1)-bit adder 4, a logical unit signal is formed, which, passing through the "NOT" element 6, will close the signal from the output of the delay element 7 to the input of the RRS -trigger 3. The delay time of the delay element 7 is selected not less than the minimum time of passage of the input signals through the elements 1, 2, 4. At the same time, at the information outputs of the ( n +1)-bit adder 4, the desired sum of the numbers A and B modulo P is formed.

В случае если

Figure 00000011
, то на выходе переноса P o(n+1)-разрядного сумматора 4 остаётся нулевой сигнал, который, инвертируясь через элемент «НЕ» 6, открывает элемент «2И» 5 для прохождения сигнала с выхода элемента задержки 7. Далее сигнал поступает на R вход RS-триггера 3, переводя его в нулевое состояние. При этом закрывается n-разрядный ключ 1 и на вход B 1 вторых информационных входов (n+1)-разрядного сумматора 4 поступает нулевой сигнал. В результате на его информационных выходах формируется сумма чисел A и B, которая и является искомой суммой
Figure 00000012
If
Figure 00000011
, then at the output of the transfer P o ( n +1)-bit adder 4 remains a zero signal, which, inverting through the element "NOT" 6, opens the element "2I" 5 for the signal to pass from the output of the delay element 7. Then the signal goes to R input RS -flip-flop 3, turning it into a zero state. This closes the n -bit key 1 and the input B 1 of the second information inputs ( n +1)-bit adder 4 receives a zero signal. As a result, the sum of numbers A and B is formed at its information outputs, which is the desired sum
Figure 00000012

После получения результата суммирования чисел A и B по модулю P на выход с устройства, процесс суммирования может быть возобновлён с другими исходными данными.After receiving the result of summing the numbers A and B modulo P to the output from the device, the summation process can be resumed with other initial data.

Рассмотрим работу устройства на примере, когда

Figure 00000013
(см. фиг. 1).Consider the operation of the device using an example when
Figure 00000013
(see Fig. 1).

В исходном состоянии RS-триггер 3 находится в нулевом состоянии, на все входы устройства воздействуют логические нули.In the initial state RS -flip-flop 3 is in the zero state, all inputs of the device are affected by logical zeros.

Пусть A=610=01102, B=410=01002, P=910=10012,

Figure 00000014
=01102. Устройство для данного примера будет содержать четыре полных одноразрядных сумматора 2.1-2.4.Let A =6 10 =0110 2 , B =4 10 =0100 2 , P =9 10 =1001 2 ,
Figure 00000014
=0110 2 . The device for this example will contain four full single bit adders 2.1-2.4.

На входы A, B и P i четырех полных одноразрядных сумматоров 2.1-2.4 подаются коды чисел A=610=01102, B=410=01002,

Figure 00000015
=01102. На вход 12 установки в начальное состояние, который соединён с S входом RS-триггера 3, подаётся единица, которая переводит RS-триггер 3 в единичное состояние. Сигнал с выхода RS-триггера 3 поступает на управляющий вход Wn-разрядного ключа 1 и первый разряд B 1 вторых информационных входов (n+1)-разрядного сумматора 4. На выходах первого полного одноразрядного сумматора 2.1 получаем значения S=0, P о=0. На выходах второго полного одноразрядного сумматора 2.2 получаем значения S=0, P о=1. На выходах третьего полного одноразрядного сумматора 2.3 получаем значения S=1, P о=1. На выходах четвёртого полного одноразрядного сумматора 2.4 получаем значения S=0, P о=0. Сигналы суммы S и сигналы переноса числа P о поступают на первые информационные входы (A 1 …A n ) и вторые информационные входы (B 2 …B n + 1)(n+1)-разрядного сумматора 4. Таким образом, на входах (n+1)-разрядного сумматора 4 образуются числа: С=001002 и D=011012. После суммирования на информационных выходах (n+1)-разрядного сумматора 4 (S 1 …S n ), а значит и на выходах устройства, формируется число E=00012=110, а на выходе переноса P o =1. При этом элемент «2И» 5 оказывается закрытым для прохождения сигнала с выхода элемента задержки 7.The inputs A , B and P i of four full single-digit adders 2.1-2.4 are supplied with codes of numbers A =6 10 =0110 2 , B =4 10 =0100 2 ,
Figure 00000015
=0110 2 . At the input 12 of the installation in the initial state, which is connected to the S input of the RS -flip-flop 3, a unit is supplied, which translates the RS -flip-flop 3 into a single state. The output signal RS -flip-flop 3 is supplied to the control input W n -bit key 1 and the first bit B 1 of the second information inputs ( n +1)-bit adder 4 . At the outputs of the first full single-digit adder 2.1, we obtain the values S =0, P o =0. At the outputs of the second full single-digit adder 2.2 we obtain the values of S =0, P about =1. The outputs of the third full single-bit adder 2.3 obtain the values of S =1, P about =1. At the outputs of the fourth full single-bit adder 2.4 we obtain the values S =0, P o =0. The sum signals S and the transfer signals of the number P about arrive at the first information inputs ( A 1 ...A n ) and the second information inputs ( B 2 ...B n + 1 )( n +1)-bit adder 4 . Thus, at the inputs of ( n +1)-bit adder 4 numbers are formed: C =00100 2 and D =01101 2 . After summation at the information outputs of the ( n +1)-bit adder 4 ( S 1 ...S n ) , and hence at the outputs of the device, the number E =0001 2 =1 10 is formed, and at the transfer output P o = 1. In this case element "2I" 5 is closed for the signal from the output of the delay element 7.

Непосредственной проверкой устанавливаем: 6+4=10, 10≡1 mod 9.By direct verification we set: 6+4=10, 10≡1 mod 9.

Рассмотрим работу устройства на примере, когда

Figure 00000016
(см. фиг. 1).Consider the operation of the device using an example when
Figure 00000016
(see Fig. 1).

В исходном состоянии RS-триггер 3 находится в нулевом состоянии, на все входы устройства воздействуют логические нули.In the initial state RS -flip-flop 3 is in the zero state, all inputs of the device are affected by logical zeros.

Пусть A=310=00112, B=410=01002, P=910=10012,

Figure 00000017
= 01102. Устройство для данного примера будет содержать четыре полных одноразрядных сумматора 2.1-2.4.Let A =3 10 =0011 2 , B =4 10 =0100 2 , P =9 10 =1001 2 ,
Figure 00000017
= 0110 2 . The device for this example will contain four full single bit adders 2.1-2.4.

На входы A, B и P i четырех одноразрядных сумматоров 2.1-2.4 подаются коды чисел A=310=00112, B=410=01002,

Figure 00000018
= 01102. На вход 12 установки в начальное состояние, который соединён с S-входом RS-триггера 3, подаётся единица, которая переводит RS-триггер 3 в единичное состояние. Сигнал с выхода RS-триггера 3 поступает на управляющий вход Wn-разрядного ключа 1 и первый разряд B 1 вторых информационных входов (n+1)-разрядного сумматора 4. На выходах первого полного одноразрядного сумматора 2.1 получаем значения S=1, P o=0. На выходах второго полного одноразрядного сумматора 2.2 получаем значения S=0, P o=1. На выходах третьего полного одноразрядного сумматора 2.3 получаем значения S=0, P o=1. На выходах четвёртого полного одноразрядного сумматора 2.4 получаем значения S=0, P o=0. Сигналы суммы S и сигналы переноса числа P o поступают на первые информационные входы (A 1 …A n )(n+1)-разрядного сумматора 4 и вторые информационные входы (B 2 …B n + 1). Таким образом, на входах сумматора образуются числа: С=000012 и D=011012. После суммирования на информационных выходах (n+1)-разрядного сумматора 4 (S 1 …S n ), а значит и на выходах устройства, формируется число E=01112=710, а на выходе переноса S n + 1 =0. Поскольку значение на выходе переноса P o оказалось равно нулю, то на выходе элемента «НЕ» 6 окажется сигнал логической единицы, который откроет элемент «2И» 5 и сигнал с выхода элемента задержки 7 переведёт RS-триггер 3 в нулевое состояние. На управляющий вход Wn-разрядного ключа 1 поступит логический ноль, ключ закроется. Инверсный модуль в суммировании при этом не будет участвовать. Тогда, на выходе первого полного одноразрядного сумматора 2.1 получим значения S=1, P o=0. На выходе второго полного одноразрядного сумматора 2.2 получим значения S=1, P o=0. На выходе третьего полного одноразрядного сумматора 2.3 получим значения S=1, P o=0. На выходе четвёртого полного одноразрядного сумматора 2.4 получим значения S=0, P o=0.The inputs A , B and P i of four single-bit adders 2.1-2.4 are supplied with codes of numbers A =3 10 =0011 2 , B =4 10 =0100 2 ,
Figure 00000018
= 0110 2 . At the input 12 of the installation in the initial state, which is connected to the S -input of the RS -flip-flop 3, a unit is supplied, which translates the RS -flip-flop 3 into a single state. The output signal RS -flip-flop 3 is supplied to the control input W n -bit key 1 and the first bit B 1 of the second information inputs ( n +1)-bit adder 4 . The outputs of the first full single-bit adder 2.1 obtain the values of S =1, P o =0. At the outputs of the second full single-bit adder 2.2 we obtain the values S =0, P o =1. The outputs of the third full single-digit adder 2.3 obtain the values S =0, P o =1. At the outputs of the fourth full single-bit adder 2.4 we obtain the values S =0, P o =0. The sum signals S and carry signals P o arrive at the first information inputs ( A 1 ...A n )( n +1)-bit adder 4 and the second information inputs ( B 2 ...B n + 1 ) . Thus, numbers are formed at the inputs of the adder: С =00001 2 and D =01101 2 . After summation at the information outputs of the ( n +1)-bit adder 4 ( S 1 ... S n ) , and hence at the outputs of the device, the number E = 0111 2 = 7 10 is formed, and at the transfer output S n + 1 = 0. Since the value at the transfer output P o turned out to be zero, then the output of the “NOT” element 6 will be a logical unit signal, which will open the “2I” element 5 and the signal from the output of the delay element 7 will transfer the RS flip-flop 3 to the zero state. The control input W n -bit key 1 will receive a logical zero, the key will close. In this case, the inverse module will not participate in the summation. Then, at the output of the first full single-bit adder 2.1 we obtain the values S =1, P o =0. At the output of the second full single-digit adder 2.2 we obtain the values S =1, P o =0. At the output of the third full single-digit adder 2.3 we obtain the values S =1, P o =0. At the output of the fourth full single-bit adder 2.4 we obtain the values S =0, P o =0.

Таким образом, на входах (n+1)-разрядного сумматора 4 образуются числа: С=001112 и D=000002. После суммирования на информационных выходах (n+1)-разрядного сумматора (S 1 …S n ), а значит и на выходах устройства, формируется число E=01112=710, а на выходе переноса P o =0.Thus, at the inputs of ( n +1)-bit adder 4 numbers are formed: C =00111 2 and D =00000 2 . After summing at the information outputs of the ( n +1)-bit adder ( S 1 ... S n ) , and hence at the outputs of the device, the number E = 0111 2 = 7 10 is formed, and at the transfer output P o = 0.

Непосредственной проверкой устанавливаем: 3+4=7, 7≡7 mod 9.By direct verification we set: 3+4=7, 7≡7 mod 9.

Оценим технический результат, достигаемый при использовании предлагаемого устройства по сравнению с устройством прототипом.Let us evaluate the technical result achieved when using the proposed device in comparison with the prototype device.

Оценим быстродействие T пр устройства прототипа как:Let us estimate the performance T pr of the prototype device as:

T пр = 4nt задSM1, где t задSM1 – время задержки полного одноразрядного параллельного сумматора, n – разрядность устройства. Так как в каждом одноразрядном сумматоре по модулю содержится два последовательно соединенных одноразрядных параллельных сумматора и полное время суммирования выполняется за два цикла, то в выражение для T пр входит коэффициент 4. Время задержки в логических цепях формирования управляющих сигналов учитывать не будем, так как оно будет существенно меньше основного времени суммирования и таким же, как и в предлагаемом устройстве. T pr \u003d 4 nt refSM1 , where t refSM1 is the delay time of a full single-bit parallel adder, n is the capacity of the device. Since each one-bit modulo adder contains two series-connected one-bit parallel adders and the total summation time is performed in two cycles, the expression for T pr includes a factor of 4. The delay time in the logical circuits for generating control signals will not be taken into account, since it will be significantly less than the main summation time and the same as in the proposed device.

Быстродействие T из предлагаемого устройства будет равно:The performance T of the proposed device will be equal to:

T из = t задКл+2t задSM1+2t задSM n , где t задКл – время задержки ключа 1, которым можно пренебречь, t задSM1 – время задержки полного одноразрядного параллельного сумматора 2, t задSM n – время задержки (n+1)-разрядного параллельного сумматора 4. T from \u003d t set +2 t setSM1 +2 t setSM n , where t set is the delay time of the key 1, which can be neglected, t setSM1 is the delay time of a full single-bit parallel adder 2, t setSM n is the delay time ( n+ 1)- bit parallel adder 4.

Если (n+1)-разрядный параллельный сумматор 4 выполнен по схеме с последовательным переносом, то тогда t задSM n = nt задSM1. Если же (n+1)-разрядный параллельный сумматор 4 выполнен в виде префиксного сумматора, то тогда t задSM n = (logn)t задSM1.If ( n +1)-bit parallel adder 4 is made according to the scheme with serial transfer, then t zadSM n = nt zadSM1 . If the ( n + 1)-bit parallel adder 4 is made as a prefix adder, then t setSM n = (log n ) t setSM1 .

Тогда выигрыш B в быстродействии предлагаемого устройства по сравнению с устройством прототипом при выполнении сумматора 4 в виде сумматора с последовательным переносом составитThen the gain B in the speed of the proposed device compared to the prototype device when performing the adder 4 in the form of an adder with sequential transfer will be

В = T пр/T из = 4 nt задSM1/(2t задSM1+ 2nt задSM1)=2n/(n+1). B = T pr / T out = 4 nt refSM1 /(2 t refSM1 + 2 nt refSM1 )=2 n /( n +1).

Выигрыш B в быстродействии предлагаемого устройства по сравнению с устройством прототипом при выполнении сумматора 4 в виде префиксного сумматора составитThe gain B in the speed of the proposed device compared to the prototype device when performing the adder 4 in the form of a prefix adder will be

В= T пр/T из = 4 nt задSM1/(2t задSM1+ 2lognt задSM1)=2n/(logn+1). B = T pr / T out = 4 nt refSM1 /(2 t refSM1 + 2log nt refSM1 )=2 n /(log n +1).

Источники информацииInformation sources

1. Бабич Н.П., Жуков И.А. Основы цифровой схемотехники: Учебное пособие. – М.: Издательский дом «Додэка–XXI», Киев: «МК-Пресс», 2007. – рисунок 4.45 с. 176.1. Babich N.P., Zhukov I.A. Fundamentals of digital circuitry: Textbook. - M .: Publishing house "Dodeka-XXI", Kyiv: "MK-Press", 2007. - Figure 4.45 p. 176.

2. Пухальский Г.И., Новосельцева Т.Я. Проектирование дискретных устройств на интегральных микросхемах: Справочник. – М.: Радио и связь, 1990. Рисунок 3.45, с.133.2. Pukhalsky G.I., Novoseltseva T.Ya. Designing Discrete Devices on Integrated Circuits: A Handbook. - M .: Radio and communication, 1990. Figure 3.45, p.133.

3. Петренко В.И., Степанян Н.Э., Нелидин Ю.Р. Многоразрядный параллельный сумматор по модулю с последовательным переносом // Патент России № 2724597. Опубл. 25.06.2020. Бюл. № 18.3. Petrenko V.I., Stepanyan N.E., Nelidin Yu.R. Multi-bit parallel modulo adder with serial transfer // Patent of Russia No. 2724597. Publ. 06/25/2020. Bull. No. 18.

Claims (1)

Многоразрядный сумматор по модулю, содержащий n полных одноразрядных сумматоров, где n – разрядность устройства, RS-триггер, элемент «2И», элемент «НЕ», элемент задержки, входы первого числа суммирования, входы второго числа суммирования, вход модуля устройства, информационные выходы устройства, вход установки устройства в начальное состояние, причем входы первого числа суммирования соединены с первыми информационными входами n полных одноразрядных сумматоров, входы второго числа суммирования соединены со вторыми информационными входами n полных одноразрядных сумматоров, вход установки устройства в начальное состояние соединен со входом установки в единичное состояние RS-триггера, вход установки в нулевое состояние которого соединен с выходом элемента «2И», первый информационный вход которого соединен с выходом элемента «НЕ», а второй информационный вход соединен с выходом элемента задержки, отличающийся тем, что в него введены n-разрядный ключ и (n+1)-разрядный сумматор, первые информационные входы которого соединены с информационными выходами n полных одноразрядных сумматоров соответственно, (2…(n+1))-й разряды вторых информационных входов (n+1)-разрядного сумматора соединены с выходами переноса (1…n)-го одноразрядных сумматоров, первый разряд вторых информационных входов соединен с выходом RS-триггера и управляющим входом n-разрядного ключа, выход переноса соединён со входом элемента «НЕ», а информационные выходы являются информационными выходами устройства, информационные входы n-разрядного ключа соединены со входом модуля устройства, информационные выходы соединены со входами переноса n полных одноразрядных сумматоров, причём вход установки устройства в начальное состояние соединён со входом элемента задержки.A multi-bit modulo adder containingn full one-bit adders, wheren - bit depth of the device,RS-trigger, "2I" element, "NOT" element, delay element, inputs of the first summation number, inputs of the second summation number, input of the device module, information outputs of the device, input of setting the device to the initial state, moreover, the inputs of the first summation number are connected to the first information entrancesn full single-digit adders, the inputs of the second summation number are connected to the second information inputsn full single-digit adders, the input of setting the device to the initial state is connected to the input of setting to a single stateRS-trigger, the input of setting to the zero state of which is connected to the output of the "2I" element, the first information input of which is connected to the output of the "NOT" element, and the second information input is connected to the output of the delay element, characterized in that it containsn-bit key And (n+1)-bit adder, the first information inputs of which are connected to information outputsn full single-digit adders, respectively, (2…(n+1))-th digits of the second information inputs (n+1)-bit adder are connected to the transfer outputs (1 ...n)-th single-bit adders, the first bit of the second information inputs is connected to the outputRS-trigger and control inputn-bit key, the transfer output is connected to the input of the "NOT" element, and the information outputs are the information outputs of the device, the information inputsn-bit key connected to the input of the device module, information outputs are connected to the transfer inputsn full single-digit adders, and the input of setting the device to the initial state is connected to the input of the delay element.
RU2022128482A 2022-11-02 Multibit modular adder RU2790638C1 (en)

Publications (1)

Publication Number Publication Date
RU2790638C1 true RU2790638C1 (en) 2023-02-28

Family

ID=

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
RU2804379C1 (en) * 2023-05-24 2023-09-28 федеральное государственное автономное образовательное учреждение высшего образования "Северо-Кавказский федеральный университет" Multibit half-adder

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4598266A (en) * 1984-09-24 1986-07-01 Gte Communications Systems Corporation Modulo adder
RU2484519C1 (en) * 2011-11-21 2013-06-10 Федеральное государственное автономное образовательное учреждение высшего профессионального образования "Северо-Кавказский федеральный университет" One-bit full modulo adder
RU2500017C1 (en) * 2012-06-05 2013-11-27 Федеральное государственное автономное образовательное учреждение высшего профессионального образования "Северо-Кавказский федеральный университет" Modulo adder-accumulator
RU2724597C1 (en) * 2019-12-27 2020-06-25 федеральное государственное автономное образовательное учреждение высшего образования "Северо-Кавказский федеральный университет" Multi-digit parallel adder modulo with serial transfer

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4598266A (en) * 1984-09-24 1986-07-01 Gte Communications Systems Corporation Modulo adder
RU2484519C1 (en) * 2011-11-21 2013-06-10 Федеральное государственное автономное образовательное учреждение высшего профессионального образования "Северо-Кавказский федеральный университет" One-bit full modulo adder
RU2500017C1 (en) * 2012-06-05 2013-11-27 Федеральное государственное автономное образовательное учреждение высшего профессионального образования "Северо-Кавказский федеральный университет" Modulo adder-accumulator
RU2724597C1 (en) * 2019-12-27 2020-06-25 федеральное государственное автономное образовательное учреждение высшего образования "Северо-Кавказский федеральный университет" Multi-digit parallel adder modulo with serial transfer

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
RU2804379C1 (en) * 2023-05-24 2023-09-28 федеральное государственное автономное образовательное учреждение высшего образования "Северо-Кавказский федеральный университет" Multibit half-adder
RU2822292C1 (en) * 2024-03-21 2024-07-04 федеральное государственное автономное образовательное учреждение высшего образования "Северо-Кавказский федеральный университет" Adder of group structure by arbitrary modulus with successive carry

Similar Documents

Publication Publication Date Title
US5210710A (en) Modulo arithmetic processor chip
JP2002516007A (en) Correlator method and apparatus
US6370556B1 (en) Method and arrangement in a transposed digital FIR filter for multiplying a binary input signal with tap coefficients and a method for designing a transposed digital filter
RU2790638C1 (en) Multibit modular adder
JP3248735B2 (en) Prefetch adder
RU2299461C1 (en) Modulus multiplexer
RU2804379C1 (en) Multibit half-adder
RU2439661C2 (en) Multidigit parallel summator by module with sequential carry
RU2822292C1 (en) Adder of group structure by arbitrary modulus with successive carry
RU2724597C1 (en) Multi-digit parallel adder modulo with serial transfer
US4276608A (en) Fibonacci p-code parallel adder
RU2299460C1 (en) Modulus multiplier by two
SU1667059A2 (en) Device for multiplying two numbers
RU2799035C1 (en) Conveyor totalizer by modulo
RU2763988C1 (en) Accumulating adder-subtractor by an arbitrary natural number modulo
RU2823911C1 (en) Pipeline adder-accumulator by arbitrary modules
RU1797109C (en) Modulo 3 adder
SU1716609A1 (en) Encoder of reed-solomon code
Lesnikov et al. Modification of the architecture of a distributed arithmetic
RU2739338C1 (en) Computing device
RU2010312C1 (en) Device for calculating natural log of complex number
RU2755734C1 (en) Apparatus for multiplying numbers by an arbitrary modulus
RU2805939C1 (en) Device for conveyor summation of numbers according to arbitrary module
JPH06314186A (en) Adder chain and method for addition calculation
RU2251144C1 (en) Device for multiplication of numbers in &#34;1 of 4&#34; code