RU2724597C1 - Multi-digit parallel adder modulo with serial transfer - Google Patents

Multi-digit parallel adder modulo with serial transfer Download PDF

Info

Publication number
RU2724597C1
RU2724597C1 RU2019144521A RU2019144521A RU2724597C1 RU 2724597 C1 RU2724597 C1 RU 2724597C1 RU 2019144521 A RU2019144521 A RU 2019144521A RU 2019144521 A RU2019144521 A RU 2019144521A RU 2724597 C1 RU2724597 C1 RU 2724597C1
Authority
RU
Russia
Prior art keywords
input
bit parallel
modulo
output
transfer
Prior art date
Application number
RU2019144521A
Other languages
Russian (ru)
Inventor
Вячеслав Иванович Петренко
Нерсес Эрнестович Степанян
Юрий Романович Нелидин
Original Assignee
федеральное государственное автономное образовательное учреждение высшего образования "Северо-Кавказский федеральный университет"
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by федеральное государственное автономное образовательное учреждение высшего образования "Северо-Кавказский федеральный университет" filed Critical федеральное государственное автономное образовательное учреждение высшего образования "Северо-Кавказский федеральный университет"
Priority to RU2019144521A priority Critical patent/RU2724597C1/en
Application granted granted Critical
Publication of RU2724597C1 publication Critical patent/RU2724597C1/en

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F7/00Methods or arrangements for processing data by operating upon the order or content of the data handled
    • G06F7/60Methods or arrangements for performing computations using a digital non-denominational number representation, i.e. number representation without radix; Computing devices using combinations of denominational and non-denominational quantity representations, e.g. using difunction pulse trains, STEELE computers, phase computers
    • G06F7/72Methods or arrangements for performing computations using a digital non-denominational number representation, i.e. number representation without radix; Computing devices using combinations of denominational and non-denominational quantity representations, e.g. using difunction pulse trains, STEELE computers, phase computers using residue arithmetic
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F7/00Methods or arrangements for processing data by operating upon the order or content of the data handled
    • G06F7/60Methods or arrangements for performing computations using a digital non-denominational number representation, i.e. number representation without radix; Computing devices using combinations of denominational and non-denominational quantity representations, e.g. using difunction pulse trains, STEELE computers, phase computers
    • G06F7/72Methods or arrangements for performing computations using a digital non-denominational number representation, i.e. number representation without radix; Computing devices using combinations of denominational and non-denominational quantity representations, e.g. using difunction pulse trains, STEELE computers, phase computers using residue arithmetic
    • G06F7/724Finite field arithmetic

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Computational Mathematics (AREA)
  • Mathematical Analysis (AREA)
  • Mathematical Optimization (AREA)
  • Pure & Applied Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Computing Systems (AREA)
  • Mathematical Physics (AREA)
  • General Engineering & Computer Science (AREA)
  • Error Detection And Correction (AREA)

Abstract

FIELD: computer equipment.SUBSTANCE: disclosed multi-digit parallel adder modulo with serial transfer realizes summation of input numbers A and B modulo M in two steps by performing summation operation in single-digit parallel adders modulo. At first step, expression value (A+B)-M is determined. If the obtained value is greater than or equal to zero, i.e. (A+B)-M≥0, desired value is obtained. If the obtained value is less than zero, i.e. (A+B)-M<0, then the desired value is obtained at the second step by repeated summation of the numbers A and B.EFFECT: providing a multi-digit parallel adder modulo with serial transfer.1 cl, 2 dwg, 1 tbl

Description

Изобретение относится к вычислительной технике и может быть использовано в цифровых вычислительных устройствах, а также в устройствах цифровой обработки сигналов и в криптографических приложениях.The invention relates to computer technology and can be used in digital computing devices, as well as in digital signal processing devices and in cryptographic applications.

Известен последовательный многоразрядный сумматор, который содержит n-разрядные сдвиговые регистры операндов X и Y, регистр результата S, одноразрядный сумматор SM и двухступенчатый D-триггер для запоминания переноса. (Бабич Н.П., Жуков И.А. Основы цифровой схемотехники: Учебное пособие. - М.: Издательский дом «Додэка - XXI», Киев: «МК-Пресс», 2007. - рисунок 4.45 с. 176).A sequential multi-bit adder is known that contains n-bit shift registers of the operands X and Y, a result register S, a single-bit adder SM, and a two-stage D-trigger for storing the transfer. (Babich N.P., Zhukov I.A. Fundamentals of Digital Circuitry: Textbook. - M.: Dodeka-XXI Publishing House, Kiev: MK-Press, 2007. - Figure 4.45, p. 176).

Недостатком данного сумматора является ограниченные функциональные возможности, а именно невозможность суммирования по модулю.The disadvantage of this adder is the limited functionality, namely the impossibility of summing modulo.

Также известен многоразрядный параллельный сумматор с последовательным переносом, содержащий n одноразрядных параллельных сумматоров с соответствующими связями (см. Пухальский Г.И., Новосельцева Т.Я. Проектирование дискретных устройств на интегральных микросхемах: Справочник. - М.: Радио и связь, 1990. Рисунок 3.45, с. 133).Also known is a multi-bit parallel adder with sequential transfer containing n single-bit parallel adders with corresponding connections (see Pukhalsky G.I., Novoseltseva T.Ya. Designing discrete devices on integrated circuits: Reference book. - M.: Radio and communication, 1990. Figure 3.45, p. 133).

Недостатком данного сумматора является ограниченные функциональные возможности, а именно невозможность суммирования по модулю.The disadvantage of this adder is the limited functionality, namely the impossibility of summing modulo.

Наиболее близким по технической сущности к заявляемому изобретению является многоразрядный параллельный сумматор по модулю с последовательным переносом, содержащий n+1 параллельных сумматоров по модулю с соответствующими связями, осуществляющий суммирование чисел A и B по модулю M (Многоразрядный параллельный сумматор по модулю с последовательным переносом // Патент России №2439661. 10.08.2011. Бюл. №22. / Копытов В.В., Петренко В.И., Сидорчук А.В.).The closest in technical essence to the claimed invention is a multi-bit parallel adder modulo with sequential transfer, containing n + 1 parallel adders modulo with corresponding connections, performing the summation of the numbers A and B modulo M (multi-bit parallel adder modulo with sequential carry // Russian patent No. 2439661. 08/10/2011. Bull. No. 22. / Kopytov V.V., Petrenko V.I., Sidorchuk A.V.).

Недостатком данного сумматора являются ограниченные функциональные возможности, а именно некорректное вычисление суммы по модулю во всех случаях, кроме (A+B)>M, при значении M=F, так как при (A+B)≤M сумматор перейдет в режим вычисления (A+B-M), что не соответствует требуемому результату.The disadvantage of this adder is its limited functionality, namely, incorrect calculation of the sum modulo in all cases except (A + B)> M, with the value M = F, since with (A + B) ≤M the adder will switch to the calculation mode ( A + BM), which does not correspond to the required result.

Техническим результатом изобретения является расширение функциональных возможностей устройства за счет корректного суммирования во всем диапазоне вводимых чисел A и B.The technical result of the invention is to expand the functionality of the device due to the correct summation over the entire range of input numbers A and B.

Для достижения технического результата в многоразрядный параллельный сумматор по модулю с последовательным переносом, состоящий из n одноразрядных параллельных сумматоров по модулю, где n - разрядность устройства, первые входы устройства являются входами первого числа суммирования и соединены с первыми информационными входами одноразрядных параллельных сумматоров по модулю, вторые входы устройства являются входами второго числа суммирования и соединены со вторыми информационными входами одноразрядных параллельных сумматоров по модулю, третьи входы устройства являются входами модуля и соединены с третьими информационными входами одноразрядных параллельных сумматоров по модулю, вход переноса числа устройства соединен с входом переноса числа первого одноразрядного параллельного сумматора по модулю, информационные выходы одноразрядных параллельных сумматоров по модулю подключены к информационным выходам устройства, управляющие входы одноразрядных параллельных сумматоров по модулю соединены вместе и подключены к выходу переноса модуля устройства, выход переноса числа i-го одноразрядного параллельного сумматора по модулю подключен к входу переноса числа (i+1)-го одноразрядного параллельного сумматора по модулю, выход переноса модуля i-го одноразрядного параллельного сумматора по модулю подключен к входу переноса модуля (i+1)-го одноразрядного параллельного сумматора по модулю, где i=1, …n-1, причем одноразрядный параллельный сумматор по модулю содержит два одноразрядных параллельных сумматора, элемент «НЕ» и элемент «2И», причем первый вход первого одноразрядного параллельного сумматора соединен с первым информационным входом одноразрядного параллельного сумматора по модулю, второй вход соединен с вторым информационным входом одноразрядного параллельного сумматора по модулю, вход переноса соединен с соединен с входом переноса числа первого одноразрядного параллельного сумматора по модулю, выход переноса соединен с выходом переноса числа одноразрядного параллельного сумматора по модулю, выход суммы соединен со вторым входом второго одноразрядного параллельного сумматора, вход переноса которого соединен со входом переноса модуля одноразрядного параллельного сумматора по модулю, выход переноса соединен с выходом переноса модуля одноразрядного параллельного сумматора по модулю, вход элемента «НЕ» соединен с третьим информационным входом одноразрядного параллельного сумматора по модулю, а первый вход элемента «2И» соединен с управляющим входом одноразрядного параллельного сумматора по модулю, введены элемент задержки, RS-триггер, элемент «НЕ», элемент «2ИЛИ» и элемент «2И», причем выход переноса модуля n-го одноразрядного параллельного сумматора по модулю соединен со вторым входом логического элемента «2ИЛИ», а выход переноса числа соединен с первым входом элемента «2ИЛИ», выход которого соединен с входом элемента «НЕ», выход которого подключен к первому входу элемента «2И», выход которого подключен к R-входу RS-триггера, S-вход RS-триггера является входом установки устройства в начальное состояние, выход RS-триггера соединен с управляющими входами всех n одноразрядных параллельных сумматоров по модулю, с входом переноса модуля первого одноразрядного параллельного сумматора по модулю и со входом элемента задержки, выход которого подключен ко второму входу элемента «2И», причем в одноразрядном параллельном сумматоре по модулю выход элемента «НЕ» соединен со вторым входом элемента «2И», выход которого соединен с первым входом второго одноразрядного параллельного сумматора, выход суммы соединен с информационным выходом одноразрядного параллельного сумматора по модулю.To achieve a technical result, a multi-bit parallel adder modulo with sequential transfer, consisting of n single-bit parallel adders modulo, where n is the bit capacity of the device, the first inputs of the device are the inputs of the first summing number and connected to the first information inputs of single-bit parallel adders modulo, the second the inputs of the device are the inputs of the second number of summation and are connected to the second information inputs of single-bit parallel adders modulo, the third inputs of the device are inputs of the module and connected to the third information inputs of single-bit parallel adders modulo, the input of the transfer of the number of the device is connected to the input of the transfer of the number of the first one-bit parallel adder modulo, information outputs of single-bit parallel adders modulo connected to the information outputs of the device, control inputs of single-bit parallel adders modulo connected together and connected to the transfer output of the device module, the transfer output of the number of the i-th single-bit parallel adder modulo is connected to the transfer input of the number of the (i + 1) -th single-bit parallel adder modulo, the transfer output of the module of the i-one single-bit parallel adder modulo is connected to the transfer input of the module of the (i + 1) th single-bit parallel adder modulo, where i = 1, ... n-1, and the single-bit parallel adder modulo contains two single-bit parallel adders, the element "NOT" and the element "2I", and the first input of the first one-bit parallel adder is modulo connected to the first information input of the single-bit parallel adder modulo, the second input is connected to the second information input of the one-bit parallel adder modulo, the transfer input is connected to connected to the transfer input of the number of the first one-bit parallel adder modulo, the transfer output is connected to the transfer output of the number of one-bit parallel sums modulo ora, the sum output is connected to the second input of the second one-bit parallel adder, the transfer input of which is connected to the transfer input of the single-bit parallel adder modulo, the transfer output is connected to the transfer output of the single-bit parallel adder modulo, the input of the element “NOT” is connected to the third information input of a single-bit parallel adder modulo, and the first input of the element “2I” is connected to the control input of a single-bit parallel adder modulo, a delay element, RS-trigger, element “NOT”, element “2OR” and element “2I” are introduced, and the output the module transfer module of the n-th single-bit parallel adder is connected modulo to the second input of the “2OR” logic element, and the number transfer output is connected to the first input of the “2OR” element, the output of which is connected to the input of the “NOT” element, the output of which is connected to the first input of the element "2I", the output of which is connected to the R-input of the RS-trigger, the S-input of the RS-trigger is an input setting the device to its initial state, the output of the RS-flip-flop is connected to the control inputs of all n single-bit parallel adders modulo, to the transfer input module of the first single-bit parallel adder modulo and to the input of the delay element, the output of which is connected to the second input of the element “2I”, and in a single-bit parallel adder modulo the output of the element "NOT" is connected to the second input of the element "2I", the output of which is connected to the first input of the second single-bit parallel adder, the output of the sum is connected to the information output of the single-bit parallel adder modulo.

Сущность изобретения заключается в реализации следующего способа суммирования чисел A и B по модулю M.The invention consists in implementing the following method of summing the numbers A and B modulo M.

Пусть

Figure 00000001
и
Figure 00000002
где n-разрядность устройства, соответственно первый и второй операнды суммирования, пусть
Figure 00000003
модуль, по которому проводится суммирование,
Figure 00000004
- сумма операндов A и B по модулю M.Let be
Figure 00000001
and
Figure 00000002
where the n-bit capacity of the device, respectively, the first and second operands of the summation, let
Figure 00000003
the module used to summarize
Figure 00000004
is the sum of the operands A and B modulo M.

В результате выполнения операции необходимо получить сумму

Figure 00000005
As a result of the operation, you need to get the amount
Figure 00000005

При сложении двух чисел, представленных в виде двоичных кодов A(a0, …, an-1) и B(b0, …, bn-1) образуется сумма С(с0, …, cn), равная

Figure 00000006
Способ суммирования двух чисел A и B по модулю M заключается в том, что вначале находят решение разности С(с0, …, cn) - M(m0, …, mn-1). Если полученное значение больше или равно нулю, то оно и является искомой суммой S(s0, …, sn-1). Если же полученное значение меньше нуля, то осуществляется повторное суммирование чисел A и B и искомой суммой S является сумма этих чисел S(s0, …, sn-1)=A(a0, …, an-1)+B(b0, …, bn-1). В качестве индикатора превышения нуля используется старший разряд переноса сумматора.When adding two numbers represented in the form of binary codes A (a 0 , ..., a n-1 ) and B (b 0 , ..., b n-1 ), the sum C (c 0 , ..., c n ) is formed, equal to
Figure 00000006
The method of summing two numbers A and B modulo M is that first they find a solution to the difference C (c 0 , ..., c n ) - M (m 0 , ..., m n-1 ). If the obtained value is greater than or equal to zero, then it is the desired sum S (s 0 , ..., s n-1 ). If the obtained value is less than zero, then the summation of numbers A and B is carried out and the desired sum S is the sum of these numbers S (s 0 , ..., s n-1 ) = A (a 0 , ..., a n-1 ) + B (b 0 , ..., b n-1 ). As an indicator of excess zero, the highest digit of the adder transfer is used.

На фиг. 1 представлена схема многоразрядного параллельного сумматора по модулю с последовательным переносом. In FIG. 1 is a diagram of a multi-bit parallel adder modulo with sequential transfer.

Многоразрядный параллельный сумматор по модулю с последовательным переносом содержит n одноразрядных параллельных сумматоров 1 по модулю, где n-разрядность устройства, элемент задержки 2, элемент «2И» 3, элемент «НЕ» 4, RS-триггер 5, элемент «2ИЛИ» 6, входы 7 и 8 второго и первого чисел суммирования соответственно, вход 9 модуля устройства, информационные выходы 10 устройства, вход 11 переноса числа устройства, вход 12 установки в начальное состояние. На вход 8 устройства подается код операнда А, на вход 7 устройства подается код операнда B. На вход 9 устройства подается код модуля M. На вход 11 устройства подается логический ноль, вход переноса модуля PMi первого одноразрядного параллельного сумматора по модулю соединен с управляющими входами W устройства и входом элемента задержки 2, на вход 12 установки в начальное состояние подается исходный сигнал. Выход Po переноса числа j-го одноразрядного параллельного сумматора 1 по модулю соединен с входом Pi переноса числа (j+1)-го одноразрядного параллельного сумматора 1 по модулю, выход PMo переноса модуля j-го одноразрядного параллельного сумматора 1 по модулю соединен с входом PMi переноса модуля (j+1)-го одноразрядного параллельного сумматора 1 по модулю, где j=1, …, n-1. Выход PMo переноса модуля n-го одноразрядного параллельного сумматора 1 по модулю соединен со вторым входом элемента «2ИЛИ» 6, выход Po переноса числа n-го одноразрядного параллельного сумматора 1 по модулю соединен с первым входом элемента «2ИЛИ» 6. Выход элемента «2ИЛИ» 6 соединен с входом элемента «НЕ» 4, выход которого подключен к первому входу элемента «2И» 3, выход которого подключен к R-входу RS-триггера 5, S-вход RS-триггера 5 является входом установки устройства в начальное состояние 12, выход RS-триггера 5 соединен с управляющими входами W всех n одноразрядных параллельных сумматоров 1 по модулю, со входом PMi переноса модуля первого одноразрядного параллельного сумматора по модулю и входом элемента задержки 2, выход которого подключен ко второму входу элемента «2И» 3. Выходы S одноразрядных параллельных сумматоров 1 по модулю соединены с информационными выходами 10 устройства.A multi-bit parallel adder modulo with sequential transfer contains n single-bit parallel adders 1 modulo, where the n-bit capacity of the device, delay element 2, element "2I" 3, element "NOT" 4, RS-trigger 5, element "2OR" 6, inputs 7 and 8 of the second and first numbers of summation, respectively, input 9 of the device module, information outputs 10 of the device, input 11 transfer the number of devices, input 12 of the installation in the initial state. The device operand code A is supplied to the device input 8, the operand code B is sent to the device input 7. The module code M is sent to the device input 9. Logic zero is supplied to the device input 11, the PM i module transfer input of the first one-bit parallel adder is connected to the control inputs W device and the input of the delay element 2, the input signal 12 is supplied to the input 12 of the installation in the initial state. The output port P o of the transfer of the number of the j-th single-bit parallel adder 1 modulo is connected to the input P i of the transfer of the number of the (j + 1) -th single-bit parallel adder 1 modulo, the output PM o of the transfer module of the j-th single-bit parallel adder 1 modulo is connected with the input PM i transfer module (j + 1) -th single-bit parallel adder 1 modulo, where j = 1, ..., n-1. The output PM o of the transfer of the module of the n-th single-bit parallel adder 1 modulo is connected to the second input of the 2OR element 6, the output of P o of the transfer of the number of the n-th single-bit parallel adder 1 modulo is connected to the first input of the 2OR element 6. Output of the element "2OR" 6 is connected to the input of the element "NOT" 4, the output of which is connected to the first input of the element "2I" 3, the output of which is connected to the R-input of the RS-trigger 5, the S-input of the RS-trigger 5 is the input of setting the device to the initial state 12, the output of the RS-flip-flop 5 is connected to the control inputs W of all n single-bit parallel adders 1 modulo, with the input PM i of the module transfer of the first single-bit parallel adder modulo and the input of the delay element 2, the output of which is connected to the second input of the 2I element 3. The outputs S of single-bit parallel adders 1 modulo connected to the information outputs 10 of the device.

На фиг. 2. представлена схема одноразрядного параллельного сумматора 1 по модулю.In FIG. 2. presents a diagram of a single-bit parallel adder 1 modulo.

Одноразрядный параллельный сумматор 1 по модулю содержит управляющий вход W, вход модуля M устройства, входы A и B первого и второго чисел суммирования соответственно, входы Pi и PMi, которые являются входами переноса числа и модуля устройства соответственно, выходы Po и PMo, являющиеся выходами переноса числа и модуля устройства соответственно, выход S, являющийся информационным выходом устройства, два одноразрядных параллельных сумматора 13, элемент «НЕ» 14 и элемент «2И» 15, причем первый вход первого одноразрядного параллельного сумматора 13 соединен с первым информационным входом одноразрядного параллельного сумматора 1 по модулю, второй вход соединен со вторым информационным входом одноразрядного параллельного сумматора 1 по модулю, вход переноса соединен с соединен с входом переноса числа первого одноразрядного параллельного сумматора 1 по модулю, выход переноса соединен с выходом переноса числа одноразрядного параллельного сумматора 1 по модулю, выход суммы соединен со вторым входом второго одноразрядного параллельного сумматора 13, вход переноса которого соединен со входом переноса модуля одноразрядного параллельного сумматора 1 по модулю, выход переноса соединен с выходом переноса модуля одноразрядного параллельного сумматора 1 по модулю, выход суммы соединен с информационным выходом одноразрядного параллельного сумматора 1 по модулю, вход элемента «НЕ» 14 соединен с третьим информационным входом одноразрядного параллельного сумматора 1 по модулю, а первый вход элемента «2И» 15 соединен с управляющим входом одноразрядного параллельного сумматора 1 по модулю, причем выход элемента «НЕ» 14 соединен со вторым входом элемента «2И» 15, выход которого соединен с первым входом второго одноразрядного параллельного сумматора 13, выход которого подключен к информационному выходу 10 одноразрядного параллельного сумматора 1 по модулю.The single-bit parallel adder 1 modulo contains a control input W, an input of a device module M, inputs A and B of the first and second summing numbers, respectively, inputs P i and PM i , which are transfer inputs of the number and module of the device, respectively, outputs P o and PM o , which are the outputs of the transfer of the number and module of the device, respectively, the output S, which is the information output of the device, two single-bit parallel adders 13, the element "NOT" 14 and the element "2I" 15, and the first input of the first single-bit parallel adder 13 is connected to the first information input of the single-bit parallel adder 1 modulo, the second input is connected to the second information input of a single-bit parallel adder 1 modulo, the transfer input is connected to connected to the transfer input of the number of the first one-bit parallel adder 1 modulo, the transfer output is connected to the transfer output of the number of one-bit parallel adder 1 modulo , the sum output is connected to watts the second input of the second single-bit parallel adder 13 modulo, the transfer input is connected to the transfer input module single-bit parallel adder 1 modulo, the transfer output is connected to the transfer output of the module single-bit parallel adder 1 modulo, the sum output is connected to the information output of the single-bit parallel adder 1 modulo the input of the element “NOT” 14 is connected to the third information input of the single-bit parallel adder 1 modulo, and the first input of the element “2I” 15 is connected to the control input of the single-bit parallel adder 1 modulo, and the output of the element “NOT” 14 is connected to the second input of the element “ 2I ”15, the output of which is connected to the first input of the second single-bit parallel adder 13, the output of which is connected to the information output 10 of the single-bit parallel adder 1 modulo.

Многоразрядный параллельный сумматор 1 по модулю с последовательным переносом работает следующим образом. Перед началом работы устройство устанавливается в начальное состояние подачей на вход 12 управляющего сигнала. Дальше на информационные входы 8, 7 и 9 устройства подаются в двоичном виде коды операндов суммирования A(a0, …, an-1) и B (b0, …, bn-1) и код модуля M (m0, …, mn-1) соответственно. Последовательно для каждого разряда каждым одноразрядным параллельным сумматором по модулю 1 в соответствии с табл.1 формируется сигнал суммы S и сигналы переноса числа Po и переноса модуля PMo. Если на выходе элемента «2ИЛИ» 6 образуется единица, то из суммы (А+В) вычитается значение модуля M. В том случае, когда сигнал на выходе элемента «2ИЛИ» 6 равен нулю оба операнда A(a0, …, an-1) и B (b0, …, bn-1) суммируются обычным способом. При этом последовательно поразрядно на информационных выходах устройства 10 формируется результат суммирования двух чисел A(a0, …, an-1) и B (b0, …, bn-1) по модулю M (m0, …, mn-1).Multi-bit parallel adder 1 modulo with sequential transfer works as follows. Before starting work, the device is set to its initial state by applying a control signal to input 12. Further, the codes of the summation operands A (a 0 , ..., a n-1 ) and B (b 0 , ..., b n-1 ) and the module code M (m 0 , ..., m n-1 ), respectively. Consistently for each discharge, each single-bit parallel adder modulo 1 in accordance with Table 1 generates a signal of the sum S and signals of the transfer of the number P o and the transfer of the module PM o . If a unit is formed at the output of the “2OR” element 6, then the value of the module M is subtracted from the sum (A + B). In the case when the signal at the output of the “2OR” 6 element is equal to zero, both operands A (a 0 , ..., a n -1 ) and B (b 0 , ..., b n-1 ) are summed in the usual way. In this case, sequentially bitwise at the information outputs of device 10, the result of summing two numbers A (a 0 , ..., a n-1 ) and B (b 0 , ..., b n-1 ) modulo M (m 0 , ..., m n -1 ).

Рассмотрим работу устройства на примере, когда

Figure 00000007
(см. фиг. 1).Consider the operation of the device as an example, when
Figure 00000007
(see Fig. 1).

В исходном состоянии RS-триггер 5 находится в нулевом состоянии, на все входы устройства воздействуют логические нули.In the initial state, the RS-trigger 5 is in the zero state, logical zeros act on all inputs of the device.

Пусть A=610=01102, B=410=01002, M=910=10012. Устройство для данного примера будет содержать четыре одноразрядных параллельных сумматора по модулю.Let A = 6 10 = 0110 2 , B = 4 10 = 0100 2 , M = 9 10 = 1001 2 . The device for this example will contain four single-bit parallel adders modulo.

На входы A, B и M четырех сумматоров подаются коды чисел A=01102, B=01002, M=10012. На вход Pi переноса числа устройства подается сигнал логического 0, на вход 12 установки в начальное состояние, который соединен с S-входом RS-триггера 5, подается единица, которая переводит RS-триггер 5 в единичное состояние. Сигнал с выхода RS-триггера 5 поступает на управляющие входы W устройства. На выходе первого одноразрядного параллельного сумматора 1 по модулю получаем следующие значения Po=0, PMo=0. На выходе второго одноразрядного параллельного сумматора 1 по модулю получаем значения Po=0, PMo=1. На выходе третьего одноразрядного параллельного сумматора 1 по модулю получаем значения Po=1, PMo=1. На выходе четвертого одноразрядного параллельного сумматора 1 по модулю получаем значения Po=0, PMo=1, которые поступают на логический элемент «2ИЛИ» 6 и далее на вход элемента «НЕ» 4, где полученное значение инвертируется. После этого сигнал поступает на первый вход элемента «2И» 3, запрещая прохождение сигнала через его первый вход. Состояние RS-триггера 5 при этом не изменяется. Суммирование чисел A и B по модулю M считается законченным. В результате на информационном выходе первого одноразрядного параллельного сумматора в соответствии с таблицей 1 получаем S=1 (57 строка), на информационном выходе второго одноразрядного параллельного сумматора в соответствии с таблицей 1 получаем S=0 (35 строка), на информационном выходе третьего одноразрядного параллельного сумматора в соответствии с таблицей 1 получаем S=0 (52 строка), на информационном выходе четвертого одноразрядного параллельного сумматора в соответствии с таблицей 1 получаем S=0 (61 строка). На выходе устройства появляется число 00012=110.Codes of numbers A = 0110 2 , B = 0100 2 , M = 1001 2 are fed to the inputs A, B and M of the four adders. A logic 0 signal is input to the input number P i of transferring the number of the device, and the input 12 to the initial state, which is connected to the S-input of the RS-trigger 5, is supplied with a unit that transfers the RS-trigger 5 to a single state. The signal from the output of the RS-trigger 5 is supplied to the control inputs W of the device. At the output of the first one-bit parallel adder 1 modulo we obtain the following values of P o = 0, PM o = 0. At the output of the second one-bit parallel adder 1 modulo we obtain the values of P o = 0, PM o = 1. At the output of the third one-bit parallel adder 1 modulo we obtain the values of P o = 1, PM o = 1. At the output of the fourth one-bit parallel adder 1 modulo we obtain the values of P o = 0, PM o = 1, which are fed to the logic element "2OR" 6 and then to the input of the element "NOT" 4, where the received value is inverted. After that, the signal goes to the first input of the element "2I" 3, prohibiting the passage of the signal through its first input. The state of the RS-trigger 5 does not change. The summation of the numbers A and B modulo M is considered complete. As a result, at the information output of the first one-bit parallel adder in accordance with table 1, we get S = 1 (57 lines), at the information output of the second one-bit parallel adder in accordance with table 1, we get S = 0 (35 lines), at the information output of the third one-bit parallel the adder in accordance with table 1 we get S = 0 (52 line), at the information output of the fourth single-bit parallel adder in accordance with table 1 we get S = 0 (61 line). At the output of the device, the number 0001 2 = 1 10 appears.

Проверим: 6+4=10, 10≡1 mod 9.Check: 6 + 4 = 10, 10≡1 mod 9.

Рассмотрим работу устройства на примере, когда

Figure 00000008
(см. фиг. 1).Consider the operation of the device as an example, when
Figure 00000008
(see Fig. 1).

В исходном состоянии RS-триггер 5 находится в нулевом состоянии, на все входы устройства воздействуют логические нули.In the initial state, the RS-trigger 5 is in the zero state, logical zeros act on all inputs of the device.

Пусть A=310=00112, B=410=01002, M=910=10012. Воспользуемся таблицей истинности полного одноразрядного сумматора 1 по модулю (табл.1). Устройство для данного примера будет содержать четыре одноразрядных параллельных сумматора по модулю.Let A = 3 10 = 0011 2 , B = 4 10 = 0100 2 , M = 9 10 = 1001 2 . We use the truth table of the full single-bit adder 1 modulo (Table 1). The device for this example will contain four single-bit parallel adders modulo.

На входы четырех сумматоров подаются коды чисел A=00112, B=01002, M=10012. На вход Pi переноса числа устройства подается сигнал логического 0, на вход 12 установки в начальное состояние, который соединен с S-входом RS-триггера 5, подается единица, которая переводит RS-триггер 5 в единичное состояние. Сигнал с выхода RS-триггера 5 поступает на управляющие входы W устройства. На выходе первого одноразрядного параллельного сумматора 1 по модулю получаем следующие значения Po=0, PMo=1. На выходе второго одноразрядного параллельного сумматора 1 по модулю получаем значения Po=0, PMo=1. На выходе третьего одноразрядного параллельного сумматора 1 по модулю получаем значения Po=0, PMo=1. На выходе четвертого одноразрядного параллельного сумматора 1 по модулю получаем значения Po=0, PMo=0, которые поступают на логический элемент «2ИЛИ» 6 на выходе которого остается логический ноль, а на выходе логического элемента «НЕ» 4 остается логическая единица, которая воздействует на первый вход элемента «2И» 3, на его второй вход которого через элемент задержки 2 поступает задержанный на время суммирования устройством сигнал с выхода RS-триггера 5. Сигнал логической единицы с выхода элемента «2И» 3 поступает на R-вход RS-триггера 5, переводя его в нулевое состояние. После чего сигнал с выхода RS-триггера 5 перестает подаваться на управляющие входы W устройства и начинается второй круг суммирования. В результате на информационном выходе первого одноразрядного параллельного сумматора получаем S=1, на информационном выходе второго одноразрядного параллельного сумматора получаем S=1, на информационном выходе третьего одноразрядного параллельного сумматора получаем S=1, на информационном выходе четвертого одноразрядного параллельного сумматора получаем S=0. На выходе устройства появляется число 01112=710.The codes of numbers A = 0011 2 , B = 0100 2 , M = 1001 2 are fed to the inputs of four adders. A logic 0 signal is input to the input number P i of transferring the number of the device, and the input 12 to the initial state, which is connected to the S-input of the RS-trigger 5, is supplied with a unit that transfers the RS-trigger 5 to a single state. The signal from the output of the RS-trigger 5 is supplied to the control inputs W of the device. At the output of the first one-bit parallel adder 1 modulo we obtain the following values of P o = 0, PM o = 1. At the output of the second one-bit parallel adder 1 modulo we obtain the values of P o = 0, PM o = 1. At the output of the third one-bit parallel adder 1 modulo we obtain the values of P o = 0, PM o = 1. At the output of the fourth one-bit parallel adder 1 modulo we obtain the values of P o = 0, PM o = 0, which are fed to the logic element "2OR" 6 at the output of which remains a logical zero, and at the output of the logical element "NOT" 4 remains a logical unit, which acts on the first input of the “2I” element 3, to its second input through the delay element 2 a signal delayed by the device from the output of the RS-trigger 5 is received by the device. The signal of the logical unit from the output of the “2I” 3 signal is fed to the R-input RS trigger 5, translating it into zero state. After which the signal from the output of the RS-flip-flop 5 ceases to be supplied to the control inputs W of the device and the second round of summation begins. As a result, we get S = 1 at the information output of the first one-bit parallel adder, we get S = 1 at the information output of the second one-bit parallel adder, we get S = 1 at the information output of the third one-bit parallel adder, we get S = 0 at the information output of the fourth one-bit parallel adder. At the output of the device, the number 0111 2 = 7 10 appears.

Проверим: 3+4=7, 7≡7 mod 9.Check: 3 + 4 = 7, 7≡7 mod 9.

Одноразрядный параллельный сумматор 1 по модулю работает следующим образом (см. фиг.2). На вход A подается разряд первого операнда суммирования A, на вход B - второго операнда суммирования B. Вход Pi служит входом переноса числа Pi, вход PMi - входом переноса модуля PMi. На вход M подается разряд модуля M. Вход W является управляющим входом W. Выход Po является выходом переноса числа Po, выход PMo - выходом переноса модуля PMo. Выход S является информационным выходом S устройства. Устройство работает в соответствии с таблицей истинности (Табл. 1), исходя из которой следует, что в случае, когда на вход W поступает логический ноль, то сумма будет равна S=A+B, если поступит логическая единица, то получим сумму S=(A+B)-M.Single-bit parallel adder 1 modulo works as follows (see figure 2). At the input A, the bit of the first operand of the summation A is fed, at the input B, the second operand of the summation B. The input P i serves as the input of the transfer of the number P i , the input PM i serves as the transfer input of the module PM i . The input of M is the discharge of module M. The input W is the control input W. The output P o is the transfer output of the number P o , the output PM o is the transfer output of the PM o module. Output S is the information output S of the device. The device operates in accordance with the truth table (Table 1), based on which it follows that in the case when a logical zero is input W, then the sum will be equal to S = A + B, if a logical one arrives, we get the sum S = (A + B) -M.

Техническим результатом работы является расширение функциональных возможностей сумматора по модулю за счет корректного суммирования во всем диапазоне суммируемых чисел A и B. Предлагаемый многоразрядный параллельный сумматор по модулю с последовательным переносом позволяет достичь данный результат за два цикла путем выполнения операции суммирования в одноразрядных параллельных сумматорах по модулю.The technical result of the work is to expand the functionality of the modulo adder due to the correct summation over the entire range of summed numbers A and B. The proposed multi-bit parallel adder modulo with sequential transfer allows you to achieve this result in two cycles by performing the summation operation in single-bit parallel modulo adders.

Таблица 1. Таблица истинности полного одноразрядного сумматора по модулю.Table 1. The truth table of the full single-bit adder modulo.

AA BB Pi P i PO Po MM PMi PM i WW PMO PM O SS 00 00 00 00 00 00 00 00 00 00 11 00 00 00 00 00 11 11 11 00 00 00 00 00 00 11 11 11 11 00 11 00 00 00 00 00 00 00 11 00 00 00 00 11 11 00 11 11 11 00 00 00 00 00 11 00 11 11 00 00 00 00 00 11 11 11 11 00 00 00 11 11 00 00 00 00 11 00 00 00 00 00 11 00 00 11 00 00 00 11 11 00 00 00 11 00 00 00 11 11 11 00 11 11 00 00 00 00 00 00 11 00 11 00 00 00 11 00 11 11 11 11 00 00 00 00 11 00 11 11 11 00 00 00 00 11 11 11 11 11 00 00 00 11 00 00 00 00 00 11 00 11 00 00 11 00 00 00 11 00 11 11 11 00 00 00 00 11 00 11 11 11 11 00 11 00 11 00 11 00 00 00 11 00 00 11 00 11 11 00 11 11 11 00 11 00 11 00 11 00 11 11 00 11 00 11 00 11 11 11 11 00 11 00 11 11 00 00 00 00 11 11 00 00 00 00 11 00 00 11 11 00 11 11 11 00 00 00 11 11 00 11 11 11 11 00 11 11 11 00 00 00 00 00 11 00 11 11 00 11 11 00 11 11 11 11 11 00 00 00 11 00 11 11 11 11 00 00 00 11 11 11 11 11 11 00 11 11 00 00 00 00 00 00 11 00 11 00 11 00 00 00 00 11 11 00 11 00 00 00 00 00 11 11 00 11 11 00 11 00 00 11 00 11 00 00 11 00 00 00 11 11 00 00 11 11 11 00 00 11 00 11 11 00 11 11 00 00 11 00 11 11 11 11 11 00 00 11 11 00 00 00 00 00 11 00 11 00 00 00 11 00 00 11 00 11 00 11 11 00 00 00 11 00 11 00 11 11 11 00 11 11 00 11 00 00 00 00 11 00 11 00 11 00 11 00 11 11 11 11 00 11 00 00 11 00 11 11 11 00 11 00 00 11 11 11 11 11 00 11 00 11 00 00 00 00 00 11 11 11 00 00 11 00 00 00 11 11 11 11 11 00 00 00 00 11 11 11 11 11 11 00 11 00 11 11 11 00 00 00 11 00 00 11 11 11 11 00 11 11 11 00 11 11 11 00 11 00 11 11 00 11 11 11 00 11 11 11 11 00 11 11 11 11 00 00 00 00 11 11 11 00 11 00 11 00 00 11 11 11 11 00 11 00 00 00 11 11 11 11 00 11 11 00 11 11 11 11 00 11 00 00 11 00 11 11 11 11 00 00 11 11 11 11 11 11 00 11 11 00 11 11 11 11 11 00 11 11 11 11 11 11 11 11 11 00

Claims (1)

Многоразрядный параллельный сумматор по модулю с последовательным переносом, состоящий из n одноразрядных параллельных сумматоров по модулю, где n – разрядность устройства, первые входы устройства являются входами первого числа суммирования и соединены с первыми информационными входами одноразрядных параллельных сумматоров по модулю, вторые входы устройства являются входами второго числа суммирования и соединены со вторыми информационными входами одноразрядных параллельных сумматоров по модулю, третьи входы устройства являются входами модуля и соединены с третьими информационными входами одноразрядных параллельных сумматоров по модулю, вход переноса числа устройства соединен с входом переноса числа первого одноразрядного параллельного сумматора по модулю, информационные выходы одноразрядных параллельных сумматоров по модулю подключены к информационным выходам устройства, управляющие входы одноразрядных параллельных сумматоров по модулю соединены вместе и подключены к выходу переноса модуля устройства, выход переноса числа i-го одноразрядного параллельного сумматора по модулю подключен к входу переноса числа (i+1)-го одноразрядного параллельного сумматора по модулю, выход переноса модуля i-го одноразрядного параллельного сумматора по модулю подключен к входу переноса модуля (i+1)-го одноразрядного параллельного сумматора по модулю, где i=1,…n-1, причем одноразрядный параллельный сумматор по модулю содержит два одноразрядных параллельных сумматора, элемент «НЕ» и элемент «2И», причем первый вход первого одноразрядного параллельного сумматора соединен с первым информационным входом одноразрядного параллельного сумматора по модулю, второй вход соединен со вторым информационным входом одноразрядного параллельного сумматора по модулю, вход переноса соединен с входом переноса числа первого одноразрядного параллельного сумматора по модулю, выход переноса соединен с выходом переноса числа одноразрядного параллельного сумматора по модулю, выход суммы соединен со вторым входом второго одноразрядного параллельного сумматора, вход переноса которого соединен со входом переноса модуля одноразрядного параллельного сумматора по модулю, выход переноса соединен с выходом переноса модуля одноразрядного параллельного сумматора по модулю, выход суммы соединен с информационным выходом одноразрядного параллельного сумматора по модулю, вход элемента «НЕ» соединен с третьим информационным входом одноразрядного параллельного сумматора по модулю, а первый вход элемента «2И» соединен с управляющим входом одноразрядного параллельного сумматора по модулю, отличающийся тем, что в него введены элемент задержки, RS-триггер, элемент «НЕ», элемент «2ИЛИ» и элемент «2И», причем выход переноса модуля n-го одноразрядного параллельного сумматора по модулю соединен со вторым входом логического элемента «2ИЛИ», а выход переноса числа соединен с первым входом элемента «2ИЛИ», выход которого соединен с входом элемента «НЕ», выход которого подключен к первому входу элемента «2И», выход которого подключен к R-входу триггера, S-вход RS-триггера является входом установки устройства в начальное состояние, выход RS-триггера соединен с управляющими входами всех n одноразрядных параллельных сумматоров по модулю, с входом переноса модуля первого одноразрядного параллельного сумматора по модулю и с входом элемента задержки, выход которого подключен ко второму входу элемента «2И», причем в одноразрядном параллельном сумматоре по модулю выход элемента «НЕ» соединен со вторым входом элемента «2И», выход которого соединен с первым входом второго одноразрядного параллельного сумматора, выход суммы соединен с информационным выходом одноразрядного параллельного сумматора по модулю.A multi-bit parallel adder modulo with sequential transfer, consisting of n single-bit parallel adders modulo, where n is the bit depth of the device, the first inputs of the device are inputs of the first summing number and are connected to the first information inputs of single-bit parallel adders modulo, the second inputs of the device are inputs of the second summing numbers and are connected to the second information inputs of single-bit parallel adders modulo, the third inputs of the device are inputs of the module and connected to the third information inputs of single-bit parallel adders modulo, the input of transferring the number of the device is connected to the input of the transfer of the number of the first one-bit parallel adder modulo single-bit parallel adders modulo connected to the information outputs of the device, the control inputs of single-bit parallel adders modulo connected together and connected to the transfer output m after the device is pulled, the output of the transfer of the number of the i-th single-bit parallel adder modulo is connected to the input of the transfer of the number of the (i + 1) -th single-bit parallel adder modulo, the transfer output of the module of the i-th single-bit parallel adder modulo is connected to the transfer input of the module (i +1) th single-bit parallel adder modulo, where i = 1, ... n-1, and the single-bit parallel adder modulo contains two single-bit parallel adders, the element "NOT" and the element "2I", and the first input of the first single-bit parallel adder connected to the first information input of a single-bit parallel adder modulo, the second input is connected to the second information input of a single-bit parallel adder modulo, the transfer input is connected to the transfer input of the number of the first one-bit parallel adder modulo, the transfer output is connected to the output of the transfer of the number of one-bit parallel adder modulo , the sum output is connected to the second input m of the second one-bit parallel adder, the transfer input of which is connected to the module transfer input of the single-bit parallel adder modulo, the transfer output is connected to the transfer output of the module of one-bit parallel adder modulo, the sum output is connected to the information output of the single-bit parallel adder modulo, the input of the element is NOT connected to the third information input of the single-bit parallel adder modulo, and the first input of the 2I element is connected to the control input of the single-bit parallel adder modulo, characterized in that a delay element, an RS-trigger, the element "NOT", the element "2OR ”And the“ 2I ”element, the module transfer output of the nth single-bit parallel adder modulo connected to the second input of the“ 2OR ”logic element, and the number transfer output connected to the first input of the“ 2OR ”element, the output of which is connected to the input of the“ NOT ", The output of which is connected to the first input of the 2I element, the output is cat It is connected to the R-input of the trigger, the S-input of the RS-trigger is the input of setting the device to its initial state, the output of the RS-trigger is connected to the control inputs of all n single-bit parallel adders modulo, with the transfer input module of the first single-bit parallel adder modulo and with the input of the delay element, the output of which is connected to the second input of the “2I” element, and in a single-bit parallel adder modulo the output of the element “NOT” is connected to the second input of the element “2I”, the output of which is connected to the first input of the second single-bit parallel adder, the output of the sum is connected with the information output of a single-bit parallel adder modulo.
RU2019144521A 2019-12-27 2019-12-27 Multi-digit parallel adder modulo with serial transfer RU2724597C1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
RU2019144521A RU2724597C1 (en) 2019-12-27 2019-12-27 Multi-digit parallel adder modulo with serial transfer

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
RU2019144521A RU2724597C1 (en) 2019-12-27 2019-12-27 Multi-digit parallel adder modulo with serial transfer

Publications (1)

Publication Number Publication Date
RU2724597C1 true RU2724597C1 (en) 2020-06-25

Family

ID=71135804

Family Applications (1)

Application Number Title Priority Date Filing Date
RU2019144521A RU2724597C1 (en) 2019-12-27 2019-12-27 Multi-digit parallel adder modulo with serial transfer

Country Status (1)

Country Link
RU (1) RU2724597C1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
RU2790638C1 (en) * 2022-11-02 2023-02-28 федеральное государственное автономное образовательное учреждение высшего образования "Северо-Кавказский федеральный университет" Multibit modular adder

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4598266A (en) * 1984-09-24 1986-07-01 Gte Communications Systems Corporation Modulo adder
US5345410A (en) * 1991-05-30 1994-09-06 Hitachi, Ltd. Arithmetic-logic unit with modulo addition/substraction function and microprocessor using the same
RU2439661C2 (en) * 2010-01-29 2012-01-10 Государственное образовательное учреждение высшего профессионального образования "Ставропольский государственный университет" Multidigit parallel summator by module with sequential carry
RU2639645C1 (en) * 2017-02-21 2017-12-21 федеральное государственное автономное образовательное учреждение высшего образования "Северо-Кавказский федеральный университет" Arithmetic-logical device for summing numbers by module

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4598266A (en) * 1984-09-24 1986-07-01 Gte Communications Systems Corporation Modulo adder
US5345410A (en) * 1991-05-30 1994-09-06 Hitachi, Ltd. Arithmetic-logic unit with modulo addition/substraction function and microprocessor using the same
RU2439661C2 (en) * 2010-01-29 2012-01-10 Государственное образовательное учреждение высшего профессионального образования "Ставропольский государственный университет" Multidigit parallel summator by module with sequential carry
RU2639645C1 (en) * 2017-02-21 2017-12-21 федеральное государственное автономное образовательное учреждение высшего образования "Северо-Кавказский федеральный университет" Arithmetic-logical device for summing numbers by module

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
RU2790638C1 (en) * 2022-11-02 2023-02-28 федеральное государственное автономное образовательное учреждение высшего образования "Северо-Кавказский федеральный университет" Multibit modular adder
RU2804379C1 (en) * 2023-05-24 2023-09-28 федеральное государственное автономное образовательное учреждение высшего образования "Северо-Кавказский федеральный университет" Multibit half-adder

Similar Documents

Publication Publication Date Title
KR102434401B1 (en) Neural network accelerator
Gokhale et al. Design of area and delay efficient Vedic multiplier using Carry Select Adder
RU2724597C1 (en) Multi-digit parallel adder modulo with serial transfer
RU2439661C2 (en) Multidigit parallel summator by module with sequential carry
KR100712864B1 (en) System for varying the dynamic range of cofficients in a digital filter
RU2804379C1 (en) Multibit half-adder
RU2790638C1 (en) Multibit modular adder
US3845290A (en) Decimal-to-binary converter
RU2754122C1 (en) High-speed accumulating adder modulo of arbitrary natural number
US4276608A (en) Fibonacci p-code parallel adder
RU2799035C1 (en) Conveyor totalizer by modulo
RU2763988C1 (en) Accumulating adder-subtractor by an arbitrary natural number modulo
US8933731B2 (en) Binary adder and multiplier circuit
KR100392370B1 (en) Apaaratus for calculating inversion of multi level structure in the finite field
RU2764876C1 (en) Accumulating adder-subtractor modulo random natural number
Lesnikov et al. Modification of the architecture of a distributed arithmetic
RU2626654C1 (en) Multiplier by module
US5978826A (en) Adder with even/odd 1-bit adder cells
RU2785032C1 (en) Adder-accumulator for frequency synthesisers
RU2642366C1 (en) Adder accumulator
RU2753594C1 (en) Frequency synthesizers summing unit
RU148925U1 (en) COMPUTING ELEMENT OF BIMODULAR MODULAR ARITHMETICS
RU2805939C1 (en) Device for conveyor summation of numbers according to arbitrary module
RU2630386C1 (en) Multiplier by module
Zhabin et al. Asynchronous On-Line Float-Point Computations in Systems with Direct Connections between Computation Units