RU2730176C2 - Схема импульсного преобразователя - Google Patents

Схема импульсного преобразователя Download PDF

Info

Publication number
RU2730176C2
RU2730176C2 RU2018133517A RU2018133517A RU2730176C2 RU 2730176 C2 RU2730176 C2 RU 2730176C2 RU 2018133517 A RU2018133517 A RU 2018133517A RU 2018133517 A RU2018133517 A RU 2018133517A RU 2730176 C2 RU2730176 C2 RU 2730176C2
Authority
RU
Russia
Prior art keywords
voltage
pair
output
curve
circuit
Prior art date
Application number
RU2018133517A
Other languages
English (en)
Other versions
RU2018133517A3 (ru
RU2018133517A (ru
Inventor
Сильвио ВЕДАНИ
Массимо САНТИ
Original Assignee
Ледком Интернешнл С.Р.Л.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Ледком Интернешнл С.Р.Л. filed Critical Ледком Интернешнл С.Р.Л.
Publication of RU2018133517A publication Critical patent/RU2018133517A/ru
Publication of RU2018133517A3 publication Critical patent/RU2018133517A3/ru
Application granted granted Critical
Publication of RU2730176C2 publication Critical patent/RU2730176C2/ru

Links

Images

Classifications

    • HELECTRICITY
    • H02GENERATION; CONVERSION OR DISTRIBUTION OF ELECTRIC POWER
    • H02MAPPARATUS FOR CONVERSION BETWEEN AC AND AC, BETWEEN AC AND DC, OR BETWEEN DC AND DC, AND FOR USE WITH MAINS OR SIMILAR POWER SUPPLY SYSTEMS; CONVERSION OF DC OR AC INPUT POWER INTO SURGE OUTPUT POWER; CONTROL OR REGULATION THEREOF
    • H02M3/00Conversion of dc power input into dc power output
    • H02M3/22Conversion of dc power input into dc power output with intermediate conversion into ac
    • H02M3/24Conversion of dc power input into dc power output with intermediate conversion into ac by static converters
    • H02M3/28Conversion of dc power input into dc power output with intermediate conversion into ac by static converters using discharge tubes with control electrode or semiconductor devices with control electrode to produce the intermediate ac
    • H02M3/325Conversion of dc power input into dc power output with intermediate conversion into ac by static converters using discharge tubes with control electrode or semiconductor devices with control electrode to produce the intermediate ac using devices of a triode or a transistor type requiring continuous application of a control signal
    • H02M3/335Conversion of dc power input into dc power output with intermediate conversion into ac by static converters using discharge tubes with control electrode or semiconductor devices with control electrode to produce the intermediate ac using devices of a triode or a transistor type requiring continuous application of a control signal using semiconductor devices only
    • H02M3/33507Conversion of dc power input into dc power output with intermediate conversion into ac by static converters using discharge tubes with control electrode or semiconductor devices with control electrode to produce the intermediate ac using devices of a triode or a transistor type requiring continuous application of a control signal using semiconductor devices only with automatic control of the output voltage or current, e.g. flyback converters
    • H02M3/33523Conversion of dc power input into dc power output with intermediate conversion into ac by static converters using discharge tubes with control electrode or semiconductor devices with control electrode to produce the intermediate ac using devices of a triode or a transistor type requiring continuous application of a control signal using semiconductor devices only with automatic control of the output voltage or current, e.g. flyback converters with galvanic isolation between input and output of both the power stage and the feedback loop
    • HELECTRICITY
    • H02GENERATION; CONVERSION OR DISTRIBUTION OF ELECTRIC POWER
    • H02MAPPARATUS FOR CONVERSION BETWEEN AC AND AC, BETWEEN AC AND DC, OR BETWEEN DC AND DC, AND FOR USE WITH MAINS OR SIMILAR POWER SUPPLY SYSTEMS; CONVERSION OF DC OR AC INPUT POWER INTO SURGE OUTPUT POWER; CONTROL OR REGULATION THEREOF
    • H02M1/00Details of apparatus for conversion
    • H02M1/08Circuits specially adapted for the generation of control voltages for semiconductor devices incorporated in static converters
    • HELECTRICITY
    • H02GENERATION; CONVERSION OR DISTRIBUTION OF ELECTRIC POWER
    • H02MAPPARATUS FOR CONVERSION BETWEEN AC AND AC, BETWEEN AC AND DC, OR BETWEEN DC AND DC, AND FOR USE WITH MAINS OR SIMILAR POWER SUPPLY SYSTEMS; CONVERSION OF DC OR AC INPUT POWER INTO SURGE OUTPUT POWER; CONTROL OR REGULATION THEREOF
    • H02M3/00Conversion of dc power input into dc power output
    • H02M3/22Conversion of dc power input into dc power output with intermediate conversion into ac
    • H02M3/24Conversion of dc power input into dc power output with intermediate conversion into ac by static converters
    • H02M3/28Conversion of dc power input into dc power output with intermediate conversion into ac by static converters using discharge tubes with control electrode or semiconductor devices with control electrode to produce the intermediate ac
    • H02M3/325Conversion of dc power input into dc power output with intermediate conversion into ac by static converters using discharge tubes with control electrode or semiconductor devices with control electrode to produce the intermediate ac using devices of a triode or a transistor type requiring continuous application of a control signal
    • H02M3/335Conversion of dc power input into dc power output with intermediate conversion into ac by static converters using discharge tubes with control electrode or semiconductor devices with control electrode to produce the intermediate ac using devices of a triode or a transistor type requiring continuous application of a control signal using semiconductor devices only
    • H02M3/33569Conversion of dc power input into dc power output with intermediate conversion into ac by static converters using discharge tubes with control electrode or semiconductor devices with control electrode to produce the intermediate ac using devices of a triode or a transistor type requiring continuous application of a control signal using semiconductor devices only having several active switching elements
    • H02M3/33571Half-bridge at primary side of an isolation transformer
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B44/00Circuit arrangements for operating electroluminescent light sources
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B45/00Circuit arrangements for operating light-emitting diodes [LED]
    • H05B45/30Driver circuits
    • H05B45/37Converter circuits
    • H05B45/3725Switched mode power supply [SMPS]
    • HELECTRICITY
    • H02GENERATION; CONVERSION OR DISTRIBUTION OF ELECTRIC POWER
    • H02MAPPARATUS FOR CONVERSION BETWEEN AC AND AC, BETWEEN AC AND DC, OR BETWEEN DC AND DC, AND FOR USE WITH MAINS OR SIMILAR POWER SUPPLY SYSTEMS; CONVERSION OF DC OR AC INPUT POWER INTO SURGE OUTPUT POWER; CONTROL OR REGULATION THEREOF
    • H02M1/00Details of apparatus for conversion
    • H02M1/0003Details of control, feedback or regulation circuits
    • H02M1/0009Devices or circuits for detecting current in a converter
    • HELECTRICITY
    • H02GENERATION; CONVERSION OR DISTRIBUTION OF ELECTRIC POWER
    • H02MAPPARATUS FOR CONVERSION BETWEEN AC AND AC, BETWEEN AC AND DC, OR BETWEEN DC AND DC, AND FOR USE WITH MAINS OR SIMILAR POWER SUPPLY SYSTEMS; CONVERSION OF DC OR AC INPUT POWER INTO SURGE OUTPUT POWER; CONTROL OR REGULATION THEREOF
    • H02M7/00Conversion of ac power input into dc power output; Conversion of dc power input into ac power output
    • H02M7/42Conversion of dc power input into ac power output without possibility of reversal
    • H02M7/44Conversion of dc power input into ac power output without possibility of reversal by static converters
    • H02M7/48Conversion of dc power input into ac power output without possibility of reversal by static converters using discharge tubes with control electrode or semiconductor devices with control electrode
    • H02M7/4815Resonant converters
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B45/00Circuit arrangements for operating light-emitting diodes [LED]
    • H05B45/30Driver circuits
    • H05B45/37Converter circuits
    • H05B45/3725Switched mode power supply [SMPS]
    • H05B45/38Switched mode power supply [SMPS] using boost topology
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B45/00Circuit arrangements for operating light-emitting diodes [LED]
    • H05B45/30Driver circuits
    • H05B45/37Converter circuits
    • H05B45/3725Switched mode power supply [SMPS]
    • H05B45/382Switched mode power supply [SMPS] with galvanic isolation between input and output
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B45/00Circuit arrangements for operating light-emitting diodes [LED]
    • H05B45/30Driver circuits
    • H05B45/37Converter circuits
    • H05B45/3725Switched mode power supply [SMPS]
    • H05B45/385Switched mode power supply [SMPS] using flyback topology
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02BCLIMATE CHANGE MITIGATION TECHNOLOGIES RELATED TO BUILDINGS, e.g. HOUSING, HOUSE APPLIANCES OR RELATED END-USER APPLICATIONS
    • Y02B70/00Technologies for an efficient end-user side electric power management and consumption
    • Y02B70/10Technologies improving the efficiency by using switched-mode power supplies [SMPS], i.e. efficient power electronics conversion e.g. power factor correction or reduction of losses in power supplies or efficient standby modes

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Optics & Photonics (AREA)
  • Dc-Dc Converters (AREA)
  • Circuit Arrangement For Electric Light Sources In General (AREA)

Abstract

Изобретение относится к области светодиодных источников света и, в частности, к области блоков питания для светодиодных источников света средней и высокой мощности. Техническим результатом является улучшение рабочих параметров блоков питания для светодиодных источников света средней и высокой мощности, повышение надежности блока питания для светодиодных источников света, а также обеспечение высокой степени гальванической изоляции. Результат достигается тем, что схема импульсного преобразователя содержит по меньшей мере входной терминал (IN1) для соединения с источником (VDCbus) постоянного напряжения, интегральную схему (20) управления, пару полевых транзисторов (QH, QL), соединенных с интегральной схемой (20) управления, пару связанных индукторов (10), соединенных с парой полевых транзисторов (QH, QL), диод (D), соединенный с парой полевых транзисторов (QH, QL), пару конденсаторов (Cn, Cled), и первый выходной терминал (OUT1). Схема (1) преобразователя дополнительно содержит контур (100) управления для управления током на выходе схемы через первый выходной терминал (OUT1). 3 н. и 6 з.п. ф-лы, 9 ил.

Description

ОБЛАСТЬ ТЕХНИКИ
Настоящее изобретение относится к области светодиодных источников света и, в частности, к области блоков питания для светодиодных источников света средней и высокой мощности. Настоящее изобретение разработано, прежде всего, но не исключительно, для схемы импульсного преобразователя, то есть, импульсного преобразователя, особенно подходящего для использования в качестве второго каскада преобразования энергии или выходного каскада блока питания для светодиодных источников света.
УРОВЕНЬ ТЕХНИКИ
В области блоков питания для светодиодных источников света средней и высокой мощности, например, с мощностью выше 50 Ватт, известно использование блоков питания с двух- или трехкаскадными топологиями, в которых изолирующий каскад, как правило, представляет собой обратноходовой импульсный преобразователь или резонансный LLC-преобразователь.
Двухкаскадные блоки питания представляют значительно больший интерес, поскольку являются высокопроизводительными и малозатратными, однако и они имеют некоторые недостатки. Двухкаскадные блоки питания, реализованные с использованием импульсного преобразователя с резонансной LLC-технологией, требуют наличия сложных контроллеров и обеспечивают ограниченную динамику выходного напряжения. Двухкаскадные блоки питания, реализованные с использованием обратноходового импульсного преобразователя, характеризуются более низкой производительностью, ограниченной надежностью из-за высокой напряженности электрического поля в компонентах, возникающей в результате высокого электростатического напряжения, вызванного паразитными параметрами компонентов, и высокой стоимостью интегральных схем управления, необходимых для устранения данных недостатков. Таким образом, выбор между использованием второго каскада с LLC-технологией и использованием второго каскада с обратноходовой технологией является компромиссом между динамикой выходного напряжения, производительностью и надежностью преобразователя.
Одно из решений, принятых на вооружение и известных из уровня техники, заключается во введении третьего каскада последующего регулирования. Однако, использование данного третьего каскада приводит к сильному снижению производительности блока питания и увеличению затрат и размеров.
В ходе многочисленных опытов, проведенных заявителем, была выявлена потребность в реализации схемы импульсного преобразователя, которая позволит исключить необходимость в описанном выше компромиссном выборе рабочих характеристик между обратноходовой технологией и LLC-технологией.
Одна из основных задач настоящего изобретения состоит в том, чтобы удовлетворить данную потребность.
Еще одна задача настоящего изобретения состоит в том, чтобы реализовать схему импульсного преобразователя, которая позволит устранить все недостатки схем преобразователей для светодиодных источников света известного типа.
Другая задача настоящего изобретения состоит в том, чтобы улучшить рабочие параметры блоков питания для светодиодных источников света средней и высокой мощности, которые используются, например, для подачи питания в осветительные устройства II класса.
Также, задача настоящего изобретения состоит в том, чтобы повысить надежность блока питания для светодиодных источников света известного типа.
Кроме того, задача настоящего изобретения состоит в том, чтобы уменьшить стоимость изготовления блока питания для светодиодных источников света.
РАСКРЫТИЕ СУЩНОСТИ ИЗОБРЕТЕНИЯ
В одном из вариантов осуществления настоящего изобретения раскрыта схема импульсного преобразователя, содержащая по меньшей мере входной терминал для соединения с источником постоянного напряжения, интегральную схему управления, пару полевых транзисторов, соединенных с интегральной схемой управления, пару связанных индукторов, соединенных с парой полевых транзисторов, диод, соединенный с парой полевых транзисторов, пару конденсаторов, первый выходной терминал, и контур управления для управления током на выходе схемы посредством первого выходного терминала.
Данное техническое решение позволяет реализовать схему импульсного преобразователя постоянного напряжения в постоянный ток, которая может гарантировать высокую степень гальванической изоляции.
Согласно другому аспекту настоящего изобретения схема содержит второй выходной терминал и измерительный резистор, соединенный последовательно со вторым выходным терминалом.
Данное техническое решение позволяет управлять током схемы путем измерения напряжения на головках измерительного резистора.
Согласно другому аспекту настоящего изобретения интегральная схема управления представляет собой интегральную схему для гистерезисного токового управления.
Данное техническое решение позволяет получить значительное снижение производственных затрат и сложности блока питания, содержащего импульсный преобразователь, реализованный со схемой согласно настоящему изобретению.
Согласно еще одному аспекту настоящего изобретения, схема преобразователя содержит пару МОП-транзисторов (MOSFET), соединенных с интегральной схемой.
Данное техническое решение позволяет существенно повысить производительность схемы импульсного преобразователя, поскольку переключения МОП-транзисторов происходят при нулевом напряжении, и встроенные диоды каждого МОП-транзистора начинают проводить ток перед включением МОП-транзистора, что препятствует рассеиванию энергии из-за разрежения в стыках.
Согласно еще одному аспекту настоящего изобретения контур управления содержит первый вход, соединенный с парой полевых транзисторов, второй вход, соединенный с измерительным резистором, и выход, соединенный с интегральной схемой управления.
Данное техническое решение позволяет управлять током на выходе схемы с использованием напряжения в качестве управляющей величины и, таким образом, осуществлять способы гистерезисного управления известного типа.
Согласно еще одному аспекту настоящего изобретения контур управления содержит:
- фильтр верхних частот, соединенный с первым входным терминалом,
- фильтр нижних частот, соединенный с фильтром верхних частот,
- первый усилительный блок, соединенный с фильтром нижних частот, и
- суммирующий узел, соединенный с первым усилительным блоком.
Согласно еще одному аспекту настоящего изобретения контур управления содержит:
- второй усилительный блок, соединенный со вторым входным терминалом,
- оптрон, соединенный со вторым усилительным блоком и суммирующим узлом.
Данное техническое решение позволяет получить форму кривой, идентичную форме кривой, которую получают при непосредственном измерении тока, протекающего через индуктивность намагничивания, и использовать ее в качестве входного сигнала управления интегральной схемы для гистерезисного токового управления.
Согласно еще одному аспекту настоящего изобретения предусмотрен каскад развязки сигнала, соединенный с оптроном и суммирующим узлом.
Согласно другому аспекту настоящее изобретение относится к блоку питания светодиодного источника света, содержащему схему импульсного преобразователя, раскрытую в настоящей заявке.
Данное техническое решение позволяет улучшить рабочие характеристики и снизить стоимость блоков питания для светодиодных источников света средней и высокой мощности.
Согласно еще одному аспекту настоящее изобретение относится к способу управления схемой импульсного преобразователя, содержащему этапы, на которых:
- измеряют напряжение на терминале контура управления, соединенном с узлом, общим для двух МОП-транзисторов,
- выделяют среднее значение из измеренного напряжения посредством фильтра верхних частот с постоянной времени, намного превышающей период переключения,
- фильтруют, посредством фильтра нижних частот, форму кривой на выходе фильтра верхних частот с постоянной времени, намного превышающей период переключения,
- усиливают, посредством первого усилительного блока, форму кривой на выходе фильтра нижних частот, и
- отправляют форму кривой на выходе первого усилительного блока в суммирующий узел.
Согласно еще одному аспекту настоящего изобретения способ содержит этапы, на которых:
- измеряют ток на выходе схемы преобразователя путем измерения напряжения на головках измерительного резистора,
- усиливают и фильтруют измеренное напряжение посредством второго усилительного блока,
- передают форму кривой на выходе усилительного блока в суммирующий узел посредством оптрона.
Дополнительное преимущество настоящего изобретения обусловлено тем фактом, что эффекты избыточных напряжений, связанных с паразитными параметрами пары связанных индукторов, появляются на диоде. Таким образом, надежность схемы импульсного преобразователя согласно настоящему изобретению существенно повышается по сравнению со стандартными обратноходовыми топологиями, в которых паразитные эффекты появляются на МОП-транзисторе, который является наиболее важным компонентом с точки зрения перенапряжения.
КРАТКОЕ ОПИСАНИЕ ЧЕРТЕЖЕЙ
Другие признаки и преимущества настоящего изобретения станут более понятными при прочтении нижеследующего описания примеров его осуществления, приведенного со ссылкой на прилагаемые чертежи, на которых изображено следующее.
На фиг. 1 схематично показана схема импульсного преобразователя согласно настоящему изобретению.
На фиг. 2 схематично показан контур управления схемы импульсного преобразователя с фиг. 1.
На фиг. 3 схематично показана схема блока питания светодиодного источника света.
На фиг. 4а - 4f схематично проиллюстрированы формы кривых в схеме согласно настоящему изобретению.
ОСУЩЕСТВЛЕНИЕ ИЗОБРЕТЕНИЯ
На фиг. 1 показан вариант осуществления изолированной схемы импульсного преобразователя согласно настоящему изобретению, которая обозначена в целом номером позиции 1 и далее для краткости будет именоваться как схема 1 преобразователя.
Схема 1 преобразователя содержит по меньшей мере входной терминал IN1, в частности подходящий для соединения во время эксплуатации с источником электрического напряжения, в частности, источником VDCbus постоянного напряжения.
Схема 1 преобразователя содержит пару связанных индукторов 10, представленных в виде эквивалента двойной биполярной модели, содержащей идеальный трансформатор Т, индуктивность Lm намагничивания и паразитную индуктивность LS.
Схема 1 преобразователя также содержит пару полевых транзисторов, предпочтительно пару МОП-транзисторов QH, QL, наиболее предпочтительно пару МОП-транзисторов с n каналов.
Схема 1 преобразователя дополнительно содержит диод D, пару конденсаторов Cn и Cled и первый выходной терминал OUT1, в частности, подходящий для соединения во время эксплуатации с электроприемником, предпочтительно источником света, более предпочтительно светодиодным источником света.
Первый полюс пары связанных индукторов 10 соединен с обоими МОП-транзисторами QH, QL, а второй полюс пары связанных индукторов 10 соединен с землей источника постоянного напряжения посредством конденсатора Cni, то есть конденсатора ненагруженного выхода.
Конденсатор Cled присоединен между третьим полюсом пары связанных индукторов 10, то есть массой вторичной цепи, и катодом диода D, который совпадает с первым выходным терминалом OUT1. Диод D, то есть, выходной диод, присоединен между первым выходным терминалом OUT1 и четвертым полюсом пары связанных индукторов.
Во время эксплуатации, когда входной терминал IN1 соединен с источником VDCbus постоянного напряжения, в течение этапа (Ton) включения включен только МОП-транзистор QH, чтобы обеспечить возможность передачи энергии от источника VDCbus постоянного напряжения к конденсатору ненагруженного выхода Cn и индуктивности Lm намагничивания. В течение этапа (Toff) выключения МОП-транзистор QH выключается, а МОП-транзистор QL включается и отправляет проводящий диод D на первый выходной терминал OUT1, чтобы обеспечить возможность передачи энергии из конденсатора ненагруженного выхода Cn и из индуктивности Lm намагничивания через идеальный трансформатор Т и паразитную индуктивность LS к выходному конденсатору Cled и выходу.
Согласно наиболее предпочтительному варианту осуществления настоящего изобретения схема 1 преобразователя содержит систему управления током, чтобы во время эксплуатации осуществлять как преобразование энергии, так и управление выходным током, с прохождением, таким образом, от постоянного напряжения VDCbus(t) на входе до управляемого постоянного тока Iled(t) на выходе.
В этом случае схема 1 преобразователя согласно настоящему изобретению дополнительно содержит интегральную схему (20) управления, соединенную с обоими полевыми МОП-транзисторами для управления током схемы 1 преобразователя, предпочтительно для гистерезисного токового управления схемы 1 преобразователя. Однако, самой по себе интегральной схемы 20 управления недостаточно для управления постоянным током Iled(t) на выходе схемы 1 преобразователя.
Для лучшего понимания настоящего изобретения в настоящий документ включены некоторые соображения, появившиеся у заявителя в ходе развернутых экспериментальных исследований. В случае, когда коэффициент преобразования идеального трансформатора Т равен единице, среднее значение тока ILm(t), который протекает через индуктивность Lm намагничивания, равняется среднему значению выходного тока Iled(t), выходящего из первого выходного терминала OUT1.
Тем не менее, следует рассмотреть треугольную форму кривой тока ILm(t), протекающего через индуктивность Lm намагничивания. Данный ток не может быть считан с помощью считывающих устройств известного типа, и, как уже было упомянуто, индуктивность Lm намагничивания не является реальным компонентом, а является частью модели пары связанных индукторов и, таким образом, недоступна. Для обеспечения управления током было даже предложено управление по среднему значению выходного тока Iled(t), который может быть считан посредством измерительного резистора.
Таким образом, система управления током схемы 1 преобразователя согласно настоящему изобретению содержит контур 100 управления, предпочтительно, обратный контур управления, и измерительный резистор RS, соединенный со вторым выходным терминалом OUT2 схемы 1 преобразователя.
Согласно варианту осуществления с фиг. 2, контур 100 управления содержит первый входной терминал VAC, соединенный в общем узле, общем для двух МОП-транзисторов QH и QL, и второй входной терминал VDC, соединенный с резистором RS. Контур 100 управления дополнительно содержит фильтр 22 верхних частот, соединенный с первым входным терминалом Vac контура 100 управления, фильтр (24) нижних частот, соединенный с фильтром 22 верхних частот, первый усилительный блок 26 с коэффициентом GAC усиления, соединенный с фильтром 24 нижних частот, и суммирующий узел 28, соединенный с первым усилительным блоком 26.
Контур 100 управления дополнительно содержит второй усилительный блок 30 с коэффициентом GAC усиления, соединенный со вторым входным терминалом VDC контура 100 управления, оптрон 32, соединенный со вторым усилительным блоком 30, каскад 34 развязки сигнала (буфер), соединенный с оптроном 32 и суммирующим узлом 28.
И наконец, контур 100 управления содержит выходной терминал VFB, соединенный с интегральной схемой 20 управления.
Согласно настоящему изобретению, для того, чтобы осуществить преобразование энергии, происходящее от значения VDCbus(t) постоянного напряжения, при значении Iled(t) управляемого постоянного тока в раскрытой выше схеме, необходим способ косвенного восстановления формы кривой, которая была бы получена при измерении тока ILm(t), протекающего через индуктивность Lm намагничивания.
Как показано на фиг. 4а - 4f, ток ILm(t) может быть разделен на две составляющие, на постоянную составляющую и частотную составляющую. Постоянная составляющая соответствует среднему значению тока Iled на выходе первого выходного терминала OUT1, а частотная составляющая представляет собой треугольную кривую, которая имеет нулевое среднее значение, и которая во время этапа включения (Ton) имеет положительную кривизну с коэффициентом
Figure 00000001
а во время этапа выключения (Toff) имеет отрицательную кривизну с коэффициентом
Figure 00000002
Таким образом, способ содержит этапы, на которых:
- измеряют напряжение VAC(t) на терминале VAC, соединенном с узлом, общим для двух МОП-транзисторов QH и QL (прямоугольная форма кривой),
- выделяют среднее значение из измеренного напряжения (VAC(t) посредством фильтра (22) верхних частот с постоянной (τHP) времени, намного превышающей период (Ton+Toff) переключения,
- фильтруют, посредством фильтра (24) нижних частот, форму кривой на выходе фильтра (22) верхних частот с постоянной (τHP) времени, намного превышающей период (Ton+Toff) переключения, с получением треугольной формы кривой,
- усиливают, посредством первого усилительного блока 26 с коэффициентом GAC усиления, форму кривой на выходе фильтра (24) нижних частот, и
- отправляют форму V'AC(t) кривой на выходе первого усилительного блока 26 в суммирующий узел 28.
Форма V'AC(t) кривой будет иметь прогрессию в форме треугольника, в точности как частотная составляющая тока, протекающего через индуктивность Lm намагничивания, при этом кривизна во время этапов включения и выключения будет, соответственно, сначала положительной, а потом отрицательной со следующими коэффициентами:
Figure 00000003
и
Figure 00000004
Таким образом, способ содержит этапы, на которых:
- измеряют ток на выходе схемы 1 преобразователя путем измерения напряжения VDC(t) на головках измерительного резистора RS,
- усиливают и фильтруют измеренное напряжение (VDC(t) посредством второго усилительного блока 30 с коэффициентом GDC усиления,
- передают форму кривой V'DC(t)=ILED×RS×GDC на выходе усилительного блока 30 в суммирующий узел 28 посредством оптрона 32.
На выходе суммирующего узла 28, путем предварительно заданного измерения множителей GDC и GAC можно получить форму кривой VFB(t)=V'AC(t)+V'DC(t), идентичную форме кривой, которая была бы получена путем непосредственного измерения тока, протекающего через индуктивность Lm намагничивания, можно обеспечить гистерезисное управление средним значением выходной величины Iled(t) схемы 1 преобразователя согласно настоящему изобретению с использованием интегральной схемы 20 управления, а также можно использовать напряжение VFB(t) в качестве управляющей величины посредством способов гистерезисного управления известного типа.
Согласно одному из вариантов осуществления настоящего изобретения, описанный выше способ может также содержать этап развязки результата усиления и фильтрации измеренного напряжения VDC(t) на выходе оптрона 32 посредством каскада 34 развязки сигнала перед его отправкой в суммирующий узел 28.
Согласно еще одному аспекту настоящего изобретения, схема импульсного преобразователя может использоваться в режиме непрерывной проводимости. Благодаря такому техническому решению среднеквадратические значения тока могут быть значительно уменьшены, что позволяет улучшить параметры электромагнитных помех (EMI).
Как указано во вводной части настоящего описания, схема 1 преобразователя согласно настоящему изобретению особенно подходит для использования в качестве второго каскада преобразования энергии, или выходного каскада блока питания для светодиодных источников света. Как показано, в частности, на фиг. 3, блок 40 питания для светодиодных источников света содержит первый каскад 50 фильтра электромагнитных помех, второй активный каскад 60 синхронизации и схему 1 преобразователя согласно настоящему изобретению. Блок 40 питания соединен со светодиодным источником 70 света.
Более подробно, каскад 50 фильтра электромагнитных помех представляет собой пассивный фильтр, благодаря которому электронные устройства могут соответствовать стандартам электромагнитной совместимости, и который содержит фильтр π, состоящий из дроссельной катушки Lchoke общего типа и пары конденсаторов CX класса X.
Активный каскад 60 синхронизации осуществляет преобразование энергии из синусоидального режима (АС) в прямой режим (DC), с сохранением при этом коэффициентов мощности (КМ) и общего гармонического искажения (THD) вблизи идеальных значений.
Как правило, обеспечить управляемое выходное постоянное напряжение, равное примерно 400 В, может повышающий преобразователь. Активный каскад 60 синхронизации содержит полный диодный мост 62 для выпрямления напряжения (PNT) сети, первый конденсатор Cboost для фильтрации высокочастотных составляющих, создаваемых в процессе преобразования, МОП-транзистор Qboost, который при управлении посредством интегральной схемы ICboost, позволяет аккумулировать энергию в индукторе Lboost во время фазы (Tonboost) включения, то есть с закрытым МОП-транзистором Qboost.
Во время этапа (Toffboost) выключения, то есть с открытым МОП-транзистором Qboost, энергия передается на второй конденсатор CELboost и на выход через диод Dboost. Следует отметить, что интегральная схема ICboost может управлять этим процессом передачи энергии, так что она переходит из синусоидального режима в прямой режим с сохранением при этом фазового сдвига между током, поглощаемым из сети, и напряжением сети.
Светодиодный источник 70 света, являющийся электроприемником блока 40 питания, содержит один или более светодиодов 72 и требует постоянного тока низкой пульсации.
Согласно другому аспекту настоящего изобретения, значение управляемой величины на выходе, то есть, тока, может быть изменено за счет воздействия на соотношение времени переключений
Figure 00000005
. Данное техническое решение позволяет существенно улучшить динамику напряжения на выходе.
Хотя настоящее изобретение разработано, прежде всего, но не исключительно, для схемы импульсного преобразователя, то есть, импульсного преобразователя, особенно подходящего для использования в качестве второго каскада преобразования энергии, или выходного каскада трансформатора для светодиодных источников света, специалист в данной области техники может легко использовать схему 1 преобразователя согласно настоящему изобретению в различных электронных устройствах, таких как блоки питания для различных электроприемников, например, электрических и/или электронных устройств, отличных от источников света и/или светодиодных источников света.
Все элементы могут быть заменены их техническими эквивалентами. Аналогично, использованные материалы, а также формы и размеры могут быть изменены в зависимости от требований, без выхода при этом за рамки объема защиты настоящего изобретения, определенного в прилагаемой формуле изобретения.

Claims (38)

1. Схема (1) импульсного преобразователя для управления светодиодом (72), содержащая:
- по меньшей мере, входной терминал (IN1) для соединения с источником (VDCbus) постоянного напряжения,
- пару выходных терминалов (OUT1, OUT2),
- пару полевых транзисторов (QH, QL), соединенных последовательно между входным терминалом (IN1) и опорным терминалом схемы импульсного преобразователя,
- пару связанных индукторов (10), имеющих первый полюс, соединенный с общим узлом полевых транзисторов (QH, QL), второй полюс, соединенный с опорным терминалом через конденсатор (Сn), третий полюс, соединенный со вторым выходным терминалом (OUT2), и четвертый полюс, соединенный с первым выходным терминалом (OUT1) через диод (D),
- интегральную схему (20) управления, соединенную с полевыми транзисторами (QH, QL) для управления выходным током (ILED) схемы (1) преобразователя,
- контур (100) управления, и
- измерительный резистор (RS), соединенный со вторым выходным терминалом (OUT2) и с третьим полюсом пары связанных индукторов (10),
отличающаяся тем, что
контур (100) управления содержит первый входной терминал (VAC), соединенный с указанным общим узлом для измерения первого напряжения (VAC(t)), второй входной терминал (VDC), соединенный с измерительным резистором (RS) для измерения второго напряжения (VDC(t)), и выходной терминал (VFB), соединенный с интегральной схемой (20) управления для подачи к ней третьего напряжения (VFB(t)), причем контур (100) управления дополнительно содержит:
- первую ветвь (22, 24, 26) контура управления, соединенную с первым входным терминалом (VAC) и конфигурированную для обеспечения первой формы (V'AC(t)) кривой, имеющей прогрессию в форме треугольника, которая соответствует частотной составляющей тока, протекающего через индуктивность (Lm) намагничивания модели пары связанных индукторов (10), на основании первого напряжения (VAC(t));
- вторую ветвь (30, 32, 34) контура управления, соединенную со вторым входным терминалом (VDC) и конфигурированную для обеспечения второй формы (V'Dc(t)) кривой, которая пропорциональна постоянной составляющей тока, протекающего через индуктивность (Lm) намагничивания модели пары связанных индукторов (10), на основании второго напряжения (VDC(t)); и
- суммирующий узел (28), соединенный с первой ветвью (22, 24, 26) контура управления, со второй ветвью (30, 32, 34) контура управления и с выходным терминалом (VFB), причем суммирующий узел (28) конфигурирован для объединения первой формы (V'AC(t)) кривой со второй формой (V'DC(t)) кривой для подачи третьего напряжения (VFB(t)), причем
интегральная схема (20) управления выполнена с возможностью осуществления гистерезисного токового управления средним значением выходного тока (ILED) с использованием третьего напряжения (VFB(t)) в качестве управляющей величины.
2. Схема по п. 1, отличающаяся тем, что полевые транзисторы представляют собой МОП-транзисторы.
3. Схема по любому из пп. 1, 2, отличающаяся тем, что первая ветвь (22, 24, 26) контура (100) управления содержит:
- фильтр (22) верхних частот, соединенный с первым входным терминалом (VAC),
- фильтр (24) нижних частот, соединенный с фильтром (22) верхних частот,
- первый усилительный блок (26), соединенный с фильтром (24) нижних частот.
4. Схема по п. 3, отличающаяся тем, что вторая ветвь (30, 32, 34) контура (100) управления дополнительно содержит:
- второй усилительный блок (30), соединенный со вторым входным терминалом (VDC),
- оптрон (32), соединенный со вторым усилительным блоком (30) и суммирующим узлом (28).
5. Схема по п. 4, отличающаяся тем, что содержит каскад (34) развязки сигнала, соединенный с оптроном (32) и суммирующим узлом (28).
6. Блок питания светодиодного источника света, содержащий схему импульсного преобразователя по любому из пп. 1-5.
7. Способ управления схемой (1) импульсного преобразователя для управления светодиодом (72), содержащей пару полевых транзисторов (QH, QL), соединенных последовательно между входным терминалом (IN1) и опорным терминалом схемы импульсного преобразователя, пару связанных индукторов (10), соединенных с общим узлом полевых транзисторов (QH, QL) и интегральную схему (20) управления, соединенную с полевыми транзисторами (QH, QL) для управления выходным током (ILED), подаваемым на пару выходных терминалов (OUT1, OUT2) схемы (1) импульсного преобразователя, причем способ содержит этапы, на которых:
- измеряют первое напряжение (VAC(t)) в общем узле полевых транзисторов,
- обеспечивают первую форму (V'AC(t)) кривой, имеющую прогрессию в форме треугольника, которая соответствует частотной составляющей тока, протекающего через индуктивность (Lm) намагничивания модели пары связанных индукторов (10), на основании измерения первого напряжения (VAC(t));
- измеряют второе напряжение (VDC(t)) в выходных терминалах,
- обеспечивают вторую форму (V'DC(t)) кривой, которая пропорциональна постоянной составляющей тока, протекающего через индуктивность (Lm) намагничивания модели пары связанных индукторов (10), на основании измерения второго напряжения (VDC(t));
- объединяют первую форму (V'АС(t)) кривой со второй формой (V'DC(t)) кривой для подачи третьего напряжения (VFB(t)), и
- по очереди включают каждый полевой транзистор из пары полевых транзисторов на основании третьего напряжения для гистерезисного управления средним значением выходного тока.
8. Способ по п. 7, реализованный посредством схемы по п. 5, причем этап обеспечения первой формы (V'AC(t)) кривой включает в себя:
- выделение среднего значения из первого напряжения (VAC(t)),
- фильтрацию нижних частот формы кривой на выходе фильтра (22) верхних частот с постоянной (τНР) времени, намного превышающей период переключения (Тon+Toff), и
- усиление формы кривой на выходе фильтра (24) нижних частот.
9. Способ по п. 8, причем обеспечение второй формы (V'DC(t)) кривой включает в себя:
- усиление второго напряжения (VDC(t)), и
- фильтрацию усиленного второго напряжения (VDC(t)).
RU2018133517A 2016-04-29 2017-04-21 Схема импульсного преобразователя RU2730176C2 (ru)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
ITUA2016A003028A ITUA20163028A1 (it) 2016-04-29 2016-04-29 Circuito di un convertitore a commutazione
IT102016000044195 2016-04-29
PCT/IB2017/052314 WO2017187309A1 (en) 2016-04-29 2017-04-21 A switching converter circuit

Publications (3)

Publication Number Publication Date
RU2018133517A RU2018133517A (ru) 2020-05-29
RU2018133517A3 RU2018133517A3 (ru) 2020-07-22
RU2730176C2 true RU2730176C2 (ru) 2020-08-19

Family

ID=56682209

Family Applications (1)

Application Number Title Priority Date Filing Date
RU2018133517A RU2730176C2 (ru) 2016-04-29 2017-04-21 Схема импульсного преобразователя

Country Status (10)

Country Link
US (1) US10652959B2 (ru)
EP (1) EP3449696B1 (ru)
CN (1) CN109076665B (ru)
CA (1) CA3021540A1 (ru)
ES (1) ES2791529T3 (ru)
HU (1) HUE049602T2 (ru)
IT (1) ITUA20163028A1 (ru)
PL (1) PL3449696T3 (ru)
RU (1) RU2730176C2 (ru)
WO (1) WO2017187309A1 (ru)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
IT202100003155A1 (it) 2021-02-12 2022-08-12 Ledcom Int S R L Dispositivo wireless per telecomunicazioni e smart city a banda ultra larga

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6232752B1 (en) * 1999-11-10 2001-05-15 Stephen R. Bissell DC/DC converter with synchronous switching regulation
US6304066B1 (en) * 1993-03-23 2001-10-16 Linear Technology Corporation Control circuit and method for maintaining high efficiency over broad current ranges in a switching regular circuit
US6400584B1 (en) * 2001-03-23 2002-06-04 Koninklijke Philips Electronics N.V. Two stage switching power supply for connecting an AC power source to a load
US20090146575A1 (en) * 2007-12-05 2009-06-11 Yi-Shan Chu Light Emitting Diode (LED) Driving Device
RU2009146880A (ru) * 2007-06-15 2011-07-20 Фишер Контролз Интернешнел Ллс (Us) Двунаправленный преобразователь постоянного тока в постоянный для управления накопителем энергии при отводе энергии
US8492987B2 (en) * 2009-10-07 2013-07-23 Lutron Electronics Co., Inc. Load control device for a light-emitting diode light source
US20140009084A1 (en) * 2012-07-06 2014-01-09 Lutron Electronics Co., Inc. Forward Converter Having a Primary-Side Current Sense Circuit
EP2770623A1 (en) * 2013-02-20 2014-08-27 Nxp B.V. Resonant converter
US20150171754A1 (en) * 2013-12-18 2015-06-18 Texas Instruments Deutschland Gmbh Primary side current regulation on llc converters for led driving

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7911812B2 (en) * 2007-01-22 2011-03-22 Power Integrations, Inc. Control arrangement for a PFC power converter
US8143800B2 (en) * 2009-06-22 2012-03-27 O2Micro, Inc. Circuits and methods for driving a load with power factor correction function
JP2012039761A (ja) * 2010-08-06 2012-02-23 Sanken Electric Co Ltd スイッチング電源装置
CN102098853B (zh) * 2011-01-30 2015-04-15 成都芯源系统有限公司 发光元件驱动系统、驱动控制电路及驱动方法
JP5594322B2 (ja) * 2012-06-14 2014-09-24 株式会社村田製作所 スイッチング電源装置
US20140091718A1 (en) * 2012-09-28 2014-04-03 Power Systems Technologies, Ltd. Power Converter with an Inductor-Inductor-Capacitor Stage and Method of Operating the Same
CN203788149U (zh) * 2013-11-30 2014-08-20 成都岷创科技有限公司 反激式隔离型开关电源
CN104113966B (zh) * 2014-06-13 2016-05-11 佛山市南海赛威科技技术有限公司 平均电流模式控制的恒流源系统及其控制方法
US9924571B2 (en) 2014-10-17 2018-03-20 Tridonic Gmbh & Co Kg Operating circuit for energizing a lamp, LED converter, and method for operating an operating circuit

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6304066B1 (en) * 1993-03-23 2001-10-16 Linear Technology Corporation Control circuit and method for maintaining high efficiency over broad current ranges in a switching regular circuit
US6232752B1 (en) * 1999-11-10 2001-05-15 Stephen R. Bissell DC/DC converter with synchronous switching regulation
US6400584B1 (en) * 2001-03-23 2002-06-04 Koninklijke Philips Electronics N.V. Two stage switching power supply for connecting an AC power source to a load
RU2009146880A (ru) * 2007-06-15 2011-07-20 Фишер Контролз Интернешнел Ллс (Us) Двунаправленный преобразователь постоянного тока в постоянный для управления накопителем энергии при отводе энергии
US20090146575A1 (en) * 2007-12-05 2009-06-11 Yi-Shan Chu Light Emitting Diode (LED) Driving Device
US8492987B2 (en) * 2009-10-07 2013-07-23 Lutron Electronics Co., Inc. Load control device for a light-emitting diode light source
US20140009084A1 (en) * 2012-07-06 2014-01-09 Lutron Electronics Co., Inc. Forward Converter Having a Primary-Side Current Sense Circuit
EP2770623A1 (en) * 2013-02-20 2014-08-27 Nxp B.V. Resonant converter
US20150171754A1 (en) * 2013-12-18 2015-06-18 Texas Instruments Deutschland Gmbh Primary side current regulation on llc converters for led driving

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
IT202100003155A1 (it) 2021-02-12 2022-08-12 Ledcom Int S R L Dispositivo wireless per telecomunicazioni e smart city a banda ultra larga

Also Published As

Publication number Publication date
RU2018133517A3 (ru) 2020-07-22
RU2018133517A (ru) 2020-05-29
CN109076665B (zh) 2020-08-04
EP3449696A1 (en) 2019-03-06
CN109076665A (zh) 2018-12-21
US20190141803A1 (en) 2019-05-09
WO2017187309A1 (en) 2017-11-02
PL3449696T3 (pl) 2020-09-07
HUE049602T2 (hu) 2020-09-28
EP3449696B1 (en) 2020-03-25
US10652959B2 (en) 2020-05-12
ES2791529T3 (es) 2020-11-04
CA3021540A1 (en) 2017-11-02
ITUA20163028A1 (it) 2017-10-29

Similar Documents

Publication Publication Date Title
US8837174B2 (en) Switching power-supply apparatus including switching elements having a low threshold voltage
TWI312610B (en) Bridgeless pfc boost converter
US9525336B2 (en) Harmonic control method and circuit for flyback switching power supply
KR101597885B1 (ko) 절연된 구동 회로와 통합된 매그네틱스
CA2712879C (en) Switching inverters and converters for power conversion
TWI436563B (zh) 用於臨界連續電流模式之無橋功率因數校正電路及其方法
TW200938988A (en) Bridgeless PFC for critical conduction mode and controlling method thereof
US20140157015A1 (en) Clamp circuits for power converters
JP2013158239A (ja) 三相ソフトスイッチング力率改善整流器
JP2017028987A (ja) 共振変換器における同期整流のための回路および方法
TWM449407U (zh) 電源轉換裝置
TWI580166B (zh) 交錯式升壓轉換器
RU2730176C2 (ru) Схема импульсного преобразователя
Kathiresan et al. Novel high-power nonresonant multichannel LED driver
TW201417476A (zh) 電源轉換裝置
TWI586092B (zh) 單級交流至直流轉換器
EP3167295A1 (en) Sensing current flowing through a capacitor
TWI493857B (zh) Power conversion device
JP2005513849A (ja) 同期されたスイッチングレグをもつアイソレーションコンバータ
CN111725987A (zh) 电力转换装置
CN113014112B (zh) 控制电路、控制方法以及功率变换器
KR101421020B1 (ko) 브리지리스 역률 보상 회로
CN104638926A (zh) 一种磁通对消式高效率反激式dc-dc转换器
CN216771806U (zh) 一种开关电子负载
Martiš et al. 12 kW Flyback Converter with a Passive Quasi-resonant Snubber