RU2464692C1 - Voltage converter (versions) - Google Patents

Voltage converter (versions) Download PDF

Info

Publication number
RU2464692C1
RU2464692C1 RU2011134950/07A RU2011134950A RU2464692C1 RU 2464692 C1 RU2464692 C1 RU 2464692C1 RU 2011134950/07 A RU2011134950/07 A RU 2011134950/07A RU 2011134950 A RU2011134950 A RU 2011134950A RU 2464692 C1 RU2464692 C1 RU 2464692C1
Authority
RU
Russia
Prior art keywords
output
transistor
input
terminals
converter
Prior art date
Application number
RU2011134950/07A
Other languages
Russian (ru)
Inventor
Геннадий Яковлевич Михальченко (RU)
Геннадий Яковлевич Михальченко
Степан Валерьевич Маморцев (RU)
Степан Валерьевич Маморцев
Сергей Геннадьевич Михальченко (RU)
Сергей Геннадьевич Михальченко
Original Assignee
Федеральное государственное бюджетное образовательное учреждение высшего профессионального образования "Томский государственный университет систем управления и радиоэлектроники"
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Федеральное государственное бюджетное образовательное учреждение высшего профессионального образования "Томский государственный университет систем управления и радиоэлектроники" filed Critical Федеральное государственное бюджетное образовательное учреждение высшего профессионального образования "Томский государственный университет систем управления и радиоэлектроники"
Priority to RU2011134950/07A priority Critical patent/RU2464692C1/en
Application granted granted Critical
Publication of RU2464692C1 publication Critical patent/RU2464692C1/en

Links

Images

Classifications

    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02BCLIMATE CHANGE MITIGATION TECHNOLOGIES RELATED TO BUILDINGS, e.g. HOUSING, HOUSE APPLIANCES OR RELATED END-USER APPLICATIONS
    • Y02B70/00Technologies for an efficient end-user side electric power management and consumption
    • Y02B70/10Technologies improving the efficiency by using switched-mode power supplies [SMPS], i.e. efficient power electronics conversion e.g. power factor correction or reduction of losses in power supplies or efficient standby modes

Abstract

FIELD: electricity.
SUBSTANCE: voltage converter of the first version comprises an accumulating capacitor, to one of leads of which there is a transistor drain connected, as well as one of leads of an input throttle, and to the other one - a diode anode and one of leads of an output throttle. Other leads of the input throttle and the output throttle form an input and output clamps of the converter accordingly. A filter capacitor is connected to the output clamp by one lead, and its other lead, a diode cathode and transistor source are combined to form a common converter bus. The voltage converter comprises a control circuit, which includes a PWM controller, which is connected by its outputs to drivers, outputs of which form outputs of a control circuit. The voltage converter comprises a switching throttle, the second input and the second output throttles, the second transistor, the second diode and the second accumulating capacitor. According to the second version it additionally comprises a bridge rectifier, current and voltage sensors and a generator of harmonic oscillations.
EFFECT: creation of electric energy converters with provision of soft switchover of transistors with no overshoots of power released on a transistor crystal during transistor start-up and disconnection.
4 cl, 5 dwg

Description

Предлагаемая группа изобретений относится к электротехнике, в частности к устройствам преобразования входной энергии постоянного или переменного тока в энергию постоянного тока.The proposed group of inventions relates to electrical engineering, in particular to devices for converting input DC or AC energy into DC energy.

Преобразователь напряжения находит широкое применение в таких устройствах как: источники питания светодиодного освещения, сварочные аппараты инверторного типа; зарядные устройства аккумуляторных батарей; зарядные устройства емкостных накопителей энергии; источники питания озонаторов, а также в технологических источниках питания, нагрузка которых может изменяться в широких пределах.The voltage converter is widely used in devices such as: power supplies for LED lighting, inverter-type welding machines; battery chargers; Chargers for capacitive energy storage ozonator power sources, as well as in technological power sources, the load of which can vary widely.

Известен преобразователь однофазного переменного напряжения в постоянное инвертирующего типа с корректором коэффициента мощности (патент №92261 на полезную модель, опубл. 10.03.2010), включающий входной выпрямитель, датчик тока, транзистор, дроссель и отсекающий диод с конденсатором, параллельно которому подключены нагрузка и датчик выходного напряжения, а также схему управления с источником задающего напряжения, выход которого подключен к одному входу схемы сравнения, другой вход которой соединен с выходом датчика выходного напряжения, а выход схемы сравнения через корректирующее устройство и интегратор с тактирующим входом подключен к одному из входов компаратора, выход которого подключен к счетному входу триггера, тактирующий вход которого соединен с выходом задающего генератора, а прямой выход триггера через драйвер подключен к затвору транзистора, инверсный выход задающего генератора связан с тактирующим входом интегратора, где дополнительно введены датчик выпрямленного напряжения сети и второе корректирующее устройство, включенное между выходом первой схемы сравнения и входом компаратора, вторая схема сравнения выполнена трехвходовой, к которым подключены выходы обоих датчиков напряжения, и источника задающего напряжения, выход через корректирующее устройство связан с входом интегратора и одним из входов первой схемы сравнения, а тактирующий вход интегратора соединен с выходом задающего генератора, причем к положительному выводу выпрямителя подключен сток транзистора, исток которого через дроссель и датчик тока соединен с его отрицательным выводом, а параллельно дросселю подключена цепь из последовательно соединенных конденсатора и отсекающего диода.A known converter of single-phase AC to DC inverting type with power factor corrector (patent No. 92261 for utility model, published March 10, 2010), including an input rectifier, current sensor, transistor, inductor and a cut-off diode with a capacitor, in parallel with which a load and a sensor are connected output voltage, as well as a control circuit with a reference voltage source, the output of which is connected to one input of the comparison circuit, the other input of which is connected to the output of the output voltage sensor, and in the course of the comparison circuit through a correcting device and an integrator with a clock input is connected to one of the inputs of the comparator, the output of which is connected to the counting input of the trigger, the clock input of which is connected to the output of the master oscillator, and the direct output of the trigger through the driver is connected to the gate of the transistor, the inverse output of the master oscillator connected to the clock input of the integrator, where an additional sensor of the rectified voltage of the network and a second correction device connected between the output of the first circuit the input and the comparator, the second comparison circuit is made of a three-input circuit, to which the outputs of both voltage sensors and the reference voltage source are connected, the output through the correction device is connected to the integrator input and one of the inputs of the first comparison circuit, and the integrator clock input is connected to the output of the reference generator, moreover, the drain of the transistor is connected to the positive terminal of the rectifier, the source of which is connected through its inductor and current sensor to its negative terminal, and a circuit is connected in parallel with the inductor series-connected capacitor and diode clipping.

Недостатком преобразователя является потребление из сети импульсного тока.The disadvantage of the converter is the consumption of pulsed current from the network.

Известен преобразователь (Smith.M. PWM Controller with Jne-Cycle Response. / M. Smith, K.Smedley // US Patent 6084450), включающий силовой транзистор, входной выпрямитель с датчиками тока, положительный вывод выпрямителя связан с последовательно соединенными дросселем, отсекающим диодом и конденсатором выходного фильтра, параллельно которому подключен датчик выходного напряжения, сток силового транзистора подключен к общей точке дросселя и отсекающего диода, а его исток связан с отрицательным выводом выпрямителя, а также схему управления с источником задающего напряжения, выход которого подключен к одному входу схемы сравнения, другой вход которой соединен с выходом датчика выходного напряжения, а выход схемы сравнения через корректирующее устройство подключен к входу интегратора с тактирующим входом и к одному из входов второй схемы сравнения напряжения корректирующего устройства с выходным сигналом датчика тока, выход которого вместе с выходом компаратора подключены к входам компаратора, а инверсный выход триггера связан с тактирующим входом интегратора.A known converter (Smith.M. PWM Controller with Jne-Cycle Response. / M. Smith, K.Smedley // US Patent 6084450), including a power transistor, an input rectifier with current sensors, the positive output of the rectifier is connected to a series-connected inductor, cut-off the output filter diode and capacitor, in parallel with which the output voltage sensor is connected, the drain of the power transistor is connected to the common point of the inductor and the cut-off diode, and its source is connected to the negative terminal of the rectifier, as well as the control circuit with the source of the reference voltage, the output of which is connected to one input of the comparison circuit, the other input of which is connected to the output of the output voltage sensor, and the output of the comparison circuit through a correction device is connected to the integrator input with a clock input and to one of the inputs of the second voltage comparison circuit of the correction device with the output signal of the current sensor, the output of which, together with the output of the comparator, is connected to the inputs of the comparator, and the inverse output of the trigger is connected to the clock input of the integrator.

Недостатком известного преобразователя является невозможность ограничения тока в режимах перегрузок и коротких замыканий нагрузки.A disadvantage of the known Converter is the impossibility of limiting the current in the modes of overloads and short circuits of the load.

Известен преобразователь постоянного напряжения в постоянное по схеме Кука (Slobodan M. Cuk. DC-to-DC Switching Converter With Zero Input And Output Current Ripple And Integrated Magnetics Circuits// U. S. Patent 4257087. Mar. 17, 1981), принятый за прототип, включающий накопительный конденсатор, к одному из выводов которого подключены сток транзистора и один из выводов входного дросселя, а к другому - анод диода и один из выводов выходного дросселя, другие выводы дросселей образуют входной и выходной зажимы преобразователя соответственно, причем к выходному зажиму одним выводом подключен конденсатор фильтра, другой вывод которого, катод диода и исток транзистора объединены и образуют общую шину преобразователя, и схему управления транзистором с драйвером, выход которого подключен к затвору транзистора.Known DC-DC Converter according to Cook's scheme (Slobodan M. Cuk. DC-to-DC Switching Converter With Zero Input And Output Current Ripple And Integrated Magnetics Circuits // US Patent 4257087. Mar. 17, 1981), adopted for the prototype, including a storage capacitor, to one of the terminals of which the drain of the transistor and one of the terminals of the input choke are connected, and to the other, the anode of the diode and one of the terminals of the output choke, the other terminals of the chokes form the input and output terminals of the converter, respectively, with one terminal connected to the output terminal capacitor filter and, the other output of which, the cathode of the diode and the source of the transistor are combined and form a common converter bus, and a control circuit of the transistor with a driver, the output of which is connected to the gate of the transistor.

Достоинством известного преобразователя являются меньшие габариты дросселя за счет работы по частному симметричному циклу петли гистерезиса, сглаженный потребляемый и выходной токи, меньшие пульсации выходного напряжения.The advantage of the known converter is the smaller dimensions of the inductor due to the work on the private symmetric cycle of the hysteresis loop, the smoothed consumed and output currents, less ripple of the output voltage.

Недостатком является неоправданно высокое значение динамических потерь, связанное с тем, что к моменту включения транзистора его емкость заряжена до удвоенного напряжения источника питания и при включении транзистора эта емкость разряжается импульсным током, в десятки раз превышающем номинальное значение тока стока. Кроме того, дополнительно в этот же момент транзистор нагружается еще и обратным током выключающегося диода по цепи: положительная обкладка накопительного конденсатора, транзистор, диод, отрицательная обкладка накопительного конденсатора. Суммарное значение этого импульсного тока сопровождается выделением на кристалле транзистора мощности в десятки киловатт за доли микросекунды, что приводит к постепенной деградации кристалла и, как следствие, к сокращению срока службы преобразователя. Снижение динамических нагрузок на кристалл транзистора достигается включением в схему снабберных RC или RLC цепей, что приводит к снижению коэффициента полезного действия.The disadvantage is the unreasonably high value of dynamic losses, due to the fact that by the time the transistor is turned on, its capacitance is charged to double the voltage of the power source and when the transistor is turned on, this capacitance is discharged by a pulse current tens of times higher than the rated value of the drain current. In addition, in addition, at the same moment, the transistor is also loaded with the reverse current of the turning off diode along the circuit: positive lining of the storage capacitor, transistor, diode, negative lining of the storage capacitor. The total value of this pulse current is accompanied by the release of tens of kilowatts per microsecond of power on the transistor's crystal, which leads to a gradual degradation of the crystal and, as a result, to a reduction in the converter's service life. Reducing the dynamic loads on the transistor crystal is achieved by including snubber RC or RLC circuits in the circuit, which leads to a decrease in the efficiency.

Основной задачей, на решение которой направлена группа изобретений, является создание преобразователей электрической энергии с обеспечением мягкого переключения транзисторов, у которых отсутствуют всплески выделяемой на кристалле транзистора мощности в моменты включения и выключения транзистора.The main task, which the group of inventions is directed to, is the creation of electric energy converters with the soft switching of transistors, which do not have bursts of power released on the transistor's crystal at the moments when the transistor is turned on and off.

Техническим результатом является повышение коэффициента полезного действия посредством уменьшения динамических потерь, а также повышение надежности, и, как следствие, увеличение срока службы преобразователя.The technical result is to increase the efficiency by reducing dynamic losses, as well as improving reliability, and, as a result, increasing the life of the converter.

Поставленная задача по первому варианту достигается тем, что преобразователь напряжения, содержащий накопительный конденсатор, к одному из выводов которого подключены сток транзистора и один из выводов входного дросселя, а к другому - анод диода и один из выводов выходного дросселя, другие выводы входного и выходного дросселей образуют входной и выходной зажимы преобразователя соответственно, причем к выходному зажиму одним выводом подключен конденсатор фильтра, другой вывод которого, катод диода и исток транзистора объединены и образуют общую шину преобразователя, и схему управления, выход которой подключен к затвору транзистора, дополнительно содержит коммутирующий дроссель, второй входной и второй выходной дроссели, второй транзистор, второй диод и второй накопительный конденсатор, к одному из выводов которого подключены сток второго транзистора и один из выводов второго входного дросселя, а к другому - анод второго диода и один из выводов второго выходного дросселя, другие выводы второго входного и второго выходного дросселей подключены к входному и выходному зажимам преобразователя соответственно, а катод второго диода и исток второго транзистора подключены к общей шине преобразователя, причем стоки транзисторов соединены через коммутирующий дроссель, а схема управления включает второй выход, подключенный к затвору второго транзистора.The task according to the first embodiment is achieved by the fact that a voltage converter containing a storage capacitor, one of the terminals of which connect the drain of the transistor and one of the terminals of the input inductor, and to the other - the anode of the diode and one of the outputs of the output inductor, other outputs of the input and output reactors form the input and output terminals of the converter, respectively, with a filter capacitor connected to the output terminal, the other terminal of which, the cathode of the diode and the source of the transistor are combined and the image comfort is a common converter bus, and the control circuit, the output of which is connected to the gate of the transistor, additionally contains a switching choke, a second input and second output chokes, a second transistor, a second diode and a second storage capacitor, one of the terminals of which connects the drain of the second transistor and one of the conclusions of the second input choke, and to the other, the anode of the second diode and one of the pins of the second output choke, the other pins of the second input and second output chokes are connected to the input and output the converter presses, respectively, and the cathode of the second diode and the source of the second transistor are connected to the common bus of the converter, and the drains of the transistors are connected through a switching choke, and the control circuit includes a second output connected to the gate of the second transistor.

Оптимально, чтобы схема управления содержала ШИМ контроллер, выходами подключенный к драйверам, выходы которых образуют выходы схемы управления.It is optimal that the control circuit contains a PWM controller with outputs connected to drivers whose outputs form the outputs of the control circuit.

Поставленная задача по второму варианту достигается тем, что преобразователь напряжения, содержащий накопительный конденсатор, к одному из выводов которого подключены сток транзистора и один из выводов входного дросселя, а к другому - анод диода и один из выводов выходного дросселя, другой вывод выходного дросселя образует выходной зажим преобразователя, причем к выходному зажиму одним выводом подключен конденсатор фильтра, другой вывод которого, катод диода и исток транзистора объединены и образуют общую шину преобразователя, и схему управления, выход которой подключен к затвору транзистора, дополнительно содержит мостовой выпрямитель, подключенный к сети переменного тока, датчики тока и напряжения, генератор гармонических колебаний, вход синхронизации которого подключен к выходу датчика напряжения, коммутирующий дроссель, второй входной и второй выходной дроссели, второй транзистор, второй диод и второй накопительный конденсатор, к одному из выводов которого подключены сток второго транзистора и один из выводов второго входного дросселя, а к другому выводу накопительного конденсатора - анод второго диода и один из выводов второго выходного дросселя, другой вывод второго входного дросселя связан с датчиком тока, а другой вывод выходного дросселя подключен к выходному зажиму преобразователя, при этом катод второго диода и исток второго транзистора подключены к общей шине преобразователя, причем стоки транзисторов соединены через коммутирующий дроссель, а схема управления включает второй выход, подключенный к затвору второго транзистора, и два входа, подключенные к выходам датчика тока и генератора гармонических колебаний соответственно.The task according to the second embodiment is achieved by the fact that a voltage converter containing a storage capacitor, one of the terminals of which connect the drain of the transistor and one of the terminals of the input inductor, and to the other, the anode of the diode and one of the outputs of the output inductor, the other output of the output inductor forms the output a converter clamp, moreover, a filter capacitor is connected to the output terminal by one output, the other terminal of which, the cathode of the diode and the source of the transistor are combined to form a common converter bus, and a circuit the control, the output of which is connected to the gate of the transistor, additionally contains a bridge rectifier connected to an alternating current network, current and voltage sensors, a harmonic oscillation generator, the synchronization input of which is connected to the output of the voltage sensor, a switching inductor, a second input and second output inductors, a second transistor , the second diode and the second storage capacitor, to one of the terminals of which the drain of the second transistor and one of the terminals of the second input choke are connected, and to the other terminal a solid capacitor — the anode of the second diode and one of the terminals of the second output inductor, the other output of the second input inductor is connected to the current sensor, and the other output of the output inductor is connected to the output terminal of the converter, while the cathode of the second diode and the source of the second transistor are connected to the common converter bus, moreover, the drains of the transistors are connected through a switching reactor, and the control circuit includes a second output connected to the gate of the second transistor, and two inputs connected to the outputs of the current sensor and the generator pa harmonic oscillations, respectively.

Целесообразно, чтобы схема управления содержала ШИМ контроллер, выходами подключенный к драйверам, выходы которых образуют выходы схемы управления, а входы ШИМ контроллера образуют входы схемы управления.It is advisable that the control circuit contains a PWM controller, the outputs connected to the drivers, the outputs of which form the outputs of the control circuit, and the inputs of the PWM controller form the inputs of the control circuit.

Предлагаемая группа изобретений обеспечивает мягкую коммутацию транзисторов посредством включения между стоками транзисторов коммутирующего дросселя и обеспечения противотактной работы двух преобразователей, за счет чего возрастает коэффициент полезного действия, снижаются динамические нагрузки на кристалл транзистора и повышается надежность и, как следствие, увеличивается срок службы преобразователя.The proposed group of inventions provides soft switching of transistors by switching between the drains of the transistors of a switching choke and ensuring the counter-operation of two converters, which increases the efficiency, reduces the dynamic load on the transistor's crystal and increases reliability and, as a result, increases the service life of the converter.

На фиг.1 приведена схема преобразователя напряжения по первому варианту, на фиг.2-4 приведены временные диаграммы, поясняющие принцип работы схем по обоим вариантам, на фиг.5 - схема преобразователя по второму варианту.Figure 1 shows a diagram of a voltage converter according to the first embodiment, figure 2-4 shows timing diagrams explaining the principle of operation of the circuits for both options, figure 5 - a diagram of a converter according to the second embodiment.

Преобразователь напряжения по первому варианту (фиг.1) содержит накопительный конденсатор 1, к одному из выводов которого подключены сток транзистора 2 и один из выводов входного дросселя 3, а к другому - анод диода 4 и один из выводов выходного дросселя 5. Другие выводы входного дросселя 3 и выходного дросселя 5 образуют входной и выходной зажимы преобразователя соответственно. К выходному зажиму одним выводом подключен конденсатор 6 фильтра, другой вывод которого, катод диода 4 и исток транзистора 2 объединены и образуют общую шину преобразователя. Преобразователь напряжения содержит схему 7 управления, которая включает ШИМ контроллер 8, выходами подключенный к драйверам 9 и 10, выходы которых образуют выходы схемы 7 управления. Один выход схемы 7 управления подключен к затвору транзистора 2. Преобразователь напряжения содержит коммутирующий дроссель 11, второй входной 12 и второй выходной 13 дроссели, второй транзистор 14, второй диод 15 и второй накопительный конденсатор 16, к одному из выводов которого подключены сток второго транзистора 14 и один из выводов второго входного дросселя 12. К другому выводу накопительного конденсатора 16 подключены анод второго диода 15 и один из выводов второго выходного дросселя 13. Другие выводы входного дросселя 12 и выходного дросселя 13 подключены к входному и выходному зажимам преобразователя соответственно. Катод второго диода 15 и исток второго транзистора 14 подключены к общей шине преобразователя. Стоки транзисторов 2 и 14 соединены через коммутирующий дроссель 11, а затвор второго транзистора 14 подключен к другому выходу схемы 7 управления.The voltage converter according to the first embodiment (Fig. 1) contains a storage capacitor 1, to one of the terminals of which the drain of the transistor 2 and one of the terminals of the input inductor 3 are connected, and to the other, the anode of the diode 4 and one of the terminals of the output inductor 5. Other outputs of the input the inductor 3 and the output inductor 5 form the input and output terminals of the converter, respectively. A filter capacitor 6 is connected to the output terminal by one output, the other terminal of which, the cathode of the diode 4 and the source of the transistor 2 are combined and form a common converter bus. The voltage Converter contains a control circuit 7, which includes a PWM controller 8, the outputs connected to the drivers 9 and 10, the outputs of which form the outputs of the control circuit 7. One output of the control circuit 7 is connected to the gate of the transistor 2. The voltage converter contains a switching choke 11, a second input 12 and a second output 13 chokes, a second transistor 14, a second diode 15 and a second storage capacitor 16, the drain of the second transistor 14 is connected to one of its terminals and one of the terminals of the second input inductor 12. Anode of the second diode 15 and one of the terminals of the second output inductor 13 are connected to the other output of the storage capacitor 16. Other outputs of the input inductor 12 and the output inductor 13 connected to the input and output terminals of the converter, respectively. The cathode of the second diode 15 and the source of the second transistor 14 are connected to a common converter bus. The drains of transistors 2 and 14 are connected through a switching choke 11, and the gate of the second transistor 14 is connected to another output of the control circuit 7.

На фиг.2 приняты следующие обозначения: 17 - напряжение управления транзистором 2; 18 - напряжение управления транзистором 14; 19 - ток дросселя 3; 20 - ток дросселя 12; 21 - входной ток преобразователя; 22 - ток дросселя 5; 23 - ток дросселя 13, 24 - ток нагрузки. На фиг.3 обозначено: 25 - ток транзистора 2; 26 - потенциал стока транзистора 2; 27 - ток транзистора 14; 28 - потенциал стока транзистора 14. На фиг.4 приняты следующие обозначения: 29, 37 - напряжение управления транзистором 2; 30, 38 - потенциал стока транзистора 2; 31, 39 - ток транзистора 2; 32, 40 - рассеиваемая на транзисторе 2 мощность; 33, 41 - напряжение управления транзистором схемы преобразователя-прототипа; 34, 42 - потенциал стока транзистора схемы преобразователя-прототипа; 35, 43 - ток транзистора схемы преобразователя-прототипа; 36, 44 - рассеиваемая на транзисторе схемы преобразователя-прототипа мощность; 45 - разделительная линия между моментами включения и выключения транзисторов.In figure 2, the following notation: 17 - the control voltage of the transistor 2; 18 - voltage control transistor 14; 19 - inductor current 3; 20 - inductor current 12; 21 - input current of the converter; 22 - inductor current 5; 23 - inductor current 13, 24 - load current. In figure 3 is indicated: 25 - current transistor 2; 26 - drain potential of transistor 2; 27 - current transistor 14; 28 - drain potential of transistor 14. In figure 4, the following notation: 29, 37 - control voltage of the transistor 2; 30, 38 - drain potential of transistor 2; 31, 39 - current transistor 2; 32, 40 - power dissipated by the transistor 2; 33, 41 - voltage control transistor of the Converter circuit prototype; 34, 42 - drain potential of the transistor of the prototype converter circuit; 35, 43 - current transistor of the Converter circuit prototype; 36, 44 - power dissipated on the transistor of the prototype converter circuit; 45 - dividing line between the moments of turning on and off the transistors.

Преобразователь напряжения по второму варианту (фиг.5) содержащий все элементы, присущие преобразователю напряжения по первому варианту, дополнительно содержит мостовой выпрямитель 46, входные зажимы которого подключены к сети переменного тока, а к выходным зажимам подключен датчик 47 напряжения, выход которого соединен с синхронизирующим входом генератора 48 гармонических колебаний, выходом подключенного к одному из входов схемы 7 управления, а другой вход схемы 7 управления связан с выходом датчика 49 тока, расположенного между положительным выходным зажимом мостового выпрямителя 46 и первым входным дросселем 3, к которому также подключен положительный вывод неполярного конденсатора 50, другой вывод которого подсоединен к общей шине преобразователяThe voltage converter according to the second embodiment (Fig. 5) containing all the elements inherent in the voltage converter according to the first embodiment, further comprises a bridge rectifier 46, the input terminals of which are connected to an alternating current main, and the voltage sensor 47 is connected to the output terminals, the output of which is connected to the synchronizing the input of the generator 48 of harmonic oscillations, the output connected to one of the inputs of the control circuit 7, and the other input of the control circuit 7 is connected to the output of the current sensor 49 located between the positive nym output terminal of bridge rectifier 46 and the first throttle input 3 to which is also connected to the positive terminal of a nonpolar capacitor 50, the other terminal of which is connected to the common bus converter

Преобразователь напряжения по первому варианту работает следующим образом. В установившемся режиме работы преобразователя в момент времени t1 выключается транзистор 2 и включается транзистор 14 по сигналам ШИМ контроллера выходными напряжениями 17 и 18 драйверов 9 и 10 соответственно. Ток 20 дросселя 12 начинает нарастать, а ток 19 дросселя 3 спадает. Конденсатор 1 подзаряжается до удвоенного значения напряжения источника питания, в то время как конденсатор 16 частично разряжается током нагрузки через включенный транзистор 14, который выключается в момент времени t2. Суммарный ток входных дросселей 3 и 12 представляет собой потребляемый от источника питания ток 21. Аналогично сумма токов 22 и 23 выходных дросселей 5 и 13 является током 24 нагрузки и током конденсатора 6 фильтра.The voltage Converter according to the first embodiment works as follows. In the steady-state operation mode of the converter, at the time t 1, the transistor 2 turns off and the transistor 14 is turned on by the PWM controller signals with the output voltages 17 and 18 of the drivers 9 and 10, respectively. The current 20 of the inductor 12 begins to increase, and the current 19 of the inductor 3 decreases. The capacitor 1 is recharged to twice the voltage of the power source, while the capacitor 16 is partially discharged by the load current through the included transistor 14, which turns off at time t 2 . The total current of the input chokes 3 and 12 represents the current 21 consumed from the power source. Similarly, the sum of the currents 22 and 23 of the output chokes 5 and 13 is the load current 24 and the filter capacitor 6.

На интервале выключенного состояния транзистора 2 дроссель 5 отдает накопленную энергию в конденсатор 6 фильтра и нагрузку по цепи: вывод дросселя 5, диод 4, конденсатор 6, второй вывод дросселя 5. Заряженный на интервале времени t2-t5 до удвоенного напряжения источника питания конденсатор 16 на следующем интервале разряжается через транзистор по цепи: плюс конденсатора 16, транзистор 14, конденсатор 6, дроссель 13, минус конденсатора 16. Дроссель 13 при этом накапливает энергию.In the off interval of the transistor 2, the inductor 5 transfers the accumulated energy to the filter capacitor 6 and the load on the circuit: output of the inductor 5, diode 4, capacitor 6, second output of the inductor 5. Charged in the time interval t 2 -t 5 to the doubled voltage of the power source capacitor 16 in the following interval is discharged through the transistor in a circuit: plus capacitor 16, transistor 14, capacitor 6, inductor 13, minus capacitor 16. In this case, inductor 13 accumulates energy.

В интервале времени t2-t3, оба транзистора выключены, питание нагрузки обеспечивается энергией конденсатора 6 фильтра, а цепь: дроссель 13, конденсатор 16, коммутирующий дроссель 11, конденсатор 1, дроссель 5 образует контур, обеспечивающий, при максимальных углах регулирования ШИМ контроллера, снижение потенциала на стоке выключенного транзистора 2 до отрицательного напряжения, при котором включается встроенный в него обратный диод (на фиг.1 не показан). Поскольку потенциал 26 стока выключенного в интервале времени t1-t3 транзистора 2 определяется суммой напряжений замкнутого контура, образованного дросселями 3, 11, 12, и к моменту времени t2 становится равным нулю, то и включение его в момент времени t3 осуществляется при нулевом напряжении и отрицательном токе 25, протекающем через встроенный обратный диод. В интервале времени t3-t5 аналогичные процессы протекают в транзисторе 2, работающем в противотактном режиме. Процессы мягкого включения транзисторов протекают следующим образом. К концу интервала проводимости, например транзистора 2, ток дросселя 5 убывает до нулевого значения и под действием напряжения на конденсаторе 6 изменяет свое направление. В это же время ток подзарядки конденсатора 1 снижается, приближаясь к значению, близкому к нулю, и как только он сравняется с током дросселя 5 - диод 4 запирается. Ток дросселя 5 продолжает протекать, теперь уже по цепи: вывод дросселя 5, конденсатор 1, паразитная емкость сток-исток транзистора 2, конденсатор фильтра 6, второй вывод дросселя 5. Этим током разряжается паразитная емкость транзистора 2 до нулевого значения и перезаряжается до противоположной полярности, при которой открывается встроенный обратный диод транзистора, который и ограничивает обратное напряжение на транзисторе. Мягкая коммутация транзистора возможна с данного момента времени и до момента, когда накопленная энергия дросселя 5 не снизится до нулевого значения. В противотактном транзисторе 14 процессы включения протекают аналогично рассмотренным.In the time interval t 2 -t 3 , both transistors are turned off, the load is supplied with energy from the filter capacitor 6, and the circuit: inductor 13, capacitor 16, switching inductor 11, capacitor 1, inductor 5 forms a circuit that provides, at maximum control angles of the PWM controller , a decrease in the potential at the drain of the turned off transistor 2 to a negative voltage, at which the built-in reverse diode is turned on (not shown in Fig. 1). Since the potential 26 of the drain turned off in the time interval t 1 -t 3 of the transistor 2 is determined by the sum of the voltages of the closed circuit formed by the chokes 3, 11, 12, and by the time t 2 becomes equal to zero, then it is turned on at time t 3 zero voltage and negative current 25 flowing through the built-in reverse diode. In the time interval t 3 -t 5 similar processes occur in the transistor 2, operating in a counter-cycle mode. The soft switching processes of transistors proceed as follows. By the end of the conduction interval, for example, transistor 2, the current of the inductor 5 decreases to zero and changes its direction under the action of the voltage across the capacitor 6. At the same time, the charging current of the capacitor 1 decreases, approaching a value close to zero, and as soon as it becomes equal to the current of the inductor 5, the diode 4 is locked. The current of the inductor 5 continues to flow, now along the circuit: the output of the inductor 5, the capacitor 1, the stray capacitance of the drain-source of the transistor 2, the filter capacitor 6, the second output of the inductor 5. This current discharges the stray capacitance of the transistor 2 to zero and recharges to the opposite polarity , which opens the built-in reverse diode of the transistor, which limits the reverse voltage at the transistor. Soft switching of the transistor is possible from a given point in time to the moment when the accumulated energy of the inductor 5 does not decrease to zero. In the counter-current transistor 14, the switching processes proceed similarly to those considered.

Таким образом, к моменту включения транзисторов 2 и 14 потенциалы 26 и 28 стока этих транзисторов спадают до нуля и через встроенные обратные диоды начинает протекать отрицательный ток в направлении исток-сток, что приводит к тому, что в момент включения рассеиваемая на кристалле транзистора мощность равна нулю. В момент выключения транзисторов в предлагаемом варианте преобразователя и в схеме прототипа динамическая мощность на транзисторах сравнима по порядку и находится на уровне статической рассеиваемой мощности.Thus, by the time of turning on the transistors 2 and 14, the drain potentials 26 and 28 of these transistors drop to zero and a negative current begins to flow through the built-in reverse diodes in the source-drain direction, which leads to the fact that at the moment of switching on, the power dissipated on the transistor's crystal is to zero. At the time of turning off the transistors in the proposed version of the Converter and in the prototype circuit, the dynamic power on the transistors is comparable in order and is at the level of static power dissipation.

Кроме того, как показано на фиг.2, токи 19 и 20 дросселей 3 и 12 соответственно складываются таким образом, что потребляемый входной ток 21 преобразователя становится практически постоянным, за счет того, что имеет удвоенную частоту пульсаций по отношению к частоте коммутации транзисторов и минимальные амплитуды пульсаций. Аналогично, за счет эффекта сложения токов 22 и 23 дросселей 5 и 13, изменяющихся противоположно, выходной ток 24 нагрузки преобразователя имеет сглаженную форму постоянного тока. На фиг.4 показаны сравнительные диаграммы токов, потенциалов стока и рассеиваемой мощности транзистора 2 предлагаемого преобразователя и транзистора прототипа в моменты включения, слева от разделительной линии 45 и выключения, справа от разделительной линии 45 данных транзисторов. Из фиг.4 видно, что пиковые значения тока 35 и рассеиваемой мощности 36 транзистора прототипа во много раз выше значения тока 31 и мощности 32 транзистора предлагаемого преобразователя. В частности, численные значения тока 31 и мощности 32 в моменты включения транзисторов по результатам имитационного моделирования составляют 4 А и 38 Вт соответственно, а численные значения тока 35 и мощности 36 составляют 88 А и 11 кВт, соответственно. Из вышесказанного следует достижение указанного в описании технического результата.In addition, as shown in figure 2, the currents 19 and 20 of the chokes 3 and 12, respectively, are added in such a way that the input input current 21 of the Converter becomes almost constant, due to the fact that it has a double ripple frequency with respect to the switching frequency of the transistors and minimum pulsation amplitudes. Similarly, due to the effect of the addition of currents 22 and 23 of the chokes 5 and 13, changing opposite, the output current 24 of the load of the Converter has a smoothed DC shape. Figure 4 shows a comparative diagram of currents, drain potentials and power dissipation of the transistor 2 of the proposed Converter and the transistor of the prototype at the time of turning on, to the left of the dividing line 45 and off, to the right of the dividing line 45 of these transistors. Figure 4 shows that the peak values of current 35 and power dissipation 36 of the transistor of the prototype are many times higher than the values of current 31 and power 32 of the transistor of the proposed Converter. In particular, the numerical values of current 31 and power 32 at the moment of switching on the transistors according to the simulation results are 4 A and 38 W, respectively, and the numerical values of current 35 and power 36 are 88 A and 11 kW, respectively. From the foregoing, the achievement of the technical result indicated in the description follows.

Таким образом, на фиг.4 показано, что благодаря заявленным преимуществам предлагаемый преобразователь по первому варианту обладает на один-два порядка меньшими динамическими потерями в момент включения транзисторов.Thus, Fig. 4 shows that, due to the claimed advantages, the proposed converter according to the first embodiment has one to two orders of magnitude lower dynamic losses at the moment the transistors are turned on.

Преобразователь напряжения по второму варианту работает аналогично преобразователю по первому варианту, с учетом того, что напряжение на неполярном конденсаторе 50 представляет собой выпрямленное напряжение сети, изменяющееся от нуля до амплитудного значения. Выходной сигнал датчика 49 тока используется в ШИМ контроллере 8 как сигнал обратной связи по току, а выходной сигнал с датчика 47 напряжения синхронизирует работу генератора 48 гармонических колебаний. При этом на выходе генератора 48 гармонических колебаний формируется синусоидальный сигнал с постоянной составляющей, используемый в ШИМ контроллере 8 как источник опорного напряжения для контура стабилизации входного тока. Неполярный конденсатор 50 относительно небольшой емкости предназначен для поглощения энергии коммутационных перенапряжений от паразитных индуктивностей. При подаче переменного напряжения на входные зажимы мостового выпрямителя 46 между его положительным и отрицательным выходными выводами действует выпрямленное напряжение с удвоенной частотой пульсаций напряжения сети. Дальнейшие процессы в предлагаемом преобразователе протекают так, как указано в описании преобразователя напряжения по первому варианту, с учетом того, что напряжение источника питания не постоянное, а пульсирующее от нуля до амплитудного значения напряжения сети. Ток, потребляемый из питающей сети, в замкнутой системе регулирования с контуром стабилизации тока, приобретает синусоидальную форму с минимальными пульсациями. Так дополнительно реализуется функция коррекции коэффициента мощности.The voltage converter according to the second embodiment works similarly to the converter according to the first embodiment, taking into account the fact that the voltage on the non-polar capacitor 50 is a rectified mains voltage, varying from zero to the amplitude value. The output signal of the current sensor 49 is used in the PWM controller 8 as a current feedback signal, and the output from the voltage sensor 47 synchronizes the operation of the harmonic oscillation generator 48. In this case, a sinusoidal signal with a constant component is generated at the output of the harmonic oscillation generator 48, which is used in the PWM controller 8 as a reference voltage source for the input current stabilization circuit. A relatively small capacitor non-polar capacitor 50 is designed to absorb switching overvoltage energy from stray inductances. When applying alternating voltage to the input terminals of the bridge rectifier 46 between its positive and negative output terminals, a rectified voltage with a doubled frequency of the ripple of the mains voltage acts. Further processes in the proposed converter proceed as described in the description of the voltage converter according to the first embodiment, taking into account that the voltage of the power source is not constant, but pulsating from zero to the amplitude value of the mains voltage. The current consumed from the supply network in a closed-loop control system with a current stabilization circuit takes on a sinusoidal shape with minimal ripple. So the power factor correction function is additionally implemented.

Таким образом, предлагаемая группа изобретений обеспечивает повышение коэффициента полезного действия путем уменьшения динамических потерь при переключении транзисторов, что улучшает надежность и срок службы преобразователя. Кроме того, в преобразователях напряжения по второму варианту, одновременно с регулированием постоянного напряжения реализуется еще и функция коррекции коэффициента мощности.Thus, the proposed group of inventions provides an increase in the efficiency by reducing dynamic losses when switching transistors, which improves the reliability and service life of the converter. In addition, in voltage converters according to the second embodiment, simultaneously with the regulation of the direct voltage, a power factor correction function is also implemented.

Claims (4)

1. Преобразователь напряжения, содержащий накопительный конденсатор, к одному из выводов которого подключены сток транзистора и один из выводов входного дросселя, а к другому - анод диода и один из выводов выходного дросселя, другие выводы входного и выходного дросселей образуют входной и выходной зажимы преобразователя соответственно, причем к выходному зажиму одним выводом подключен конденсатор фильтра, другой вывод которого, катод диода и исток транзистора объединены и образуют общую шину преобразователя, и схему управления, выход которой подключен к затвору транзистора, отличающийся тем, что он дополнительно содержит коммутирующий дроссель, второй входной и второй выходной дроссели, второй транзистор, второй диод и второй накопительный конденсатор, к одному из выводов которого подключены сток второго транзистора и один из выводов второго входного дросселя, а к другому - анод второго диода и один из выводов второго выходного дросселя, другие выводы второго входного и второго выходного дросселей подключены к входному и выходному зажимам преобразователя соответственно, а катод второго диода и исток второго транзистора подключены к общей шине преобразователя, причем стоки транзисторов соединены через коммутирующий дроссель, а схема управления включает второй выход, подключенный к затвору второго транзистора.1. A voltage converter comprising a storage capacitor, to one of the terminals of which a drain of the transistor and one of the terminals of the input inductor are connected, and to the other, the anode of the diode and one of the terminals of the output inductor, the other terminals of the input and output inductors form the input and output terminals of the converter, respectively moreover, a filter capacitor is connected to the output terminal with one output, the other terminal of which, the cathode of the diode and the source of the transistor are combined and form a common converter bus, and a control circuit, the output to the second is connected to the gate of the transistor, characterized in that it further comprises a switching choke, a second input and second output chokes, a second transistor, a second diode and a second storage capacitor, to one of the terminals of which a drain of the second transistor and one of the terminals of the second input choke are connected, and to the other, the anode of the second diode and one of the terminals of the second output choke, the other terminals of the second input and second output chokes are connected to the input and output terminals of the converter but, as the cathode of the second diode and the source of the second transistor are connected to a common bus converter, wherein the drains of transistors connected through a commutating reactor, and the control circuit includes a second output coupled to the gate of the second transistor. 2. Преобразователь по п.1, отличающийся тем, что схема управления содержит ШИМ-контроллер, выходами подключенный к драйверам, выходы которых образуют выходы схемы управления.2. The Converter according to claim 1, characterized in that the control circuit includes a PWM controller, outputs connected to drivers, the outputs of which form the outputs of the control circuit. 3. Преобразователь напряжения, содержащий накопительный конденсатор, к одному из выводов которого подключены сток транзистора и один из выводов входного дросселя, а к другому - анод диода и один из выводов выходного дросселя, другой вывод выходного дросселя образует выходной зажим преобразователя, причем к выходному зажиму одним выводом подключен конденсатор фильтра, другой вывод которого, катод диода и исток транзистора объединены и образуют общую шину преобразователя, и схему управления, выход которой подключен к затвору транзистора, отличающийся тем, что он дополнительно содержит мостовой выпрямитель, подключенный к сети переменного тока, датчики тока и напряжения, генератор гармонических колебаний, вход синхронизации которого подключен к выходу датчика напряжения, коммутирующий дроссель, второй входной и второй выходной дроссели, второй транзистор, второй диод и второй накопительный конденсатор, к одному из выводов которого подключены сток второго транзистора и один из выводов второго входного дросселя, а к другому выводу накопительного конденсатора - анод второго диода и один из выводов второго выходного дросселя, другой вывод второго входного дросселя связан с датчиком тока, а другой вывод выходного дросселя подключен к выходному зажиму преобразователя, при этом катод второго диода и исток второго транзистора подключены к общей шине преобразователя, причем стоки транзисторов соединены через коммутирующий дроссель, а схема управления включает второй выход, подключенный к затвору второго транзистора, и два входа, подключенные к выходам датчика тока и генератора гармонических колебаний соответственно.3. A voltage converter containing a storage capacitor, to one of the terminals of which a drain of the transistor and one of the terminals of the input inductor are connected, and to the other, the anode of the diode and one of the terminals of the output inductor, the other output of the output inductor forms the output terminal of the converter, and to the output terminal a filter capacitor is connected to one terminal, the other terminal of which, the cathode of the diode and the source of the transistor are combined to form a common converter bus, and a control circuit whose output is connected to the gate of the transistor, characterized in that it further comprises a bridge rectifier connected to an alternating current network, current and voltage sensors, a harmonic oscillation generator, the synchronization input of which is connected to the output of the voltage sensor, a switching inductor, a second input and second output inductors, a second transistor, a second diode and the second storage capacitor, to one of the terminals of which the drain of the second transistor and one of the terminals of the second input choke are connected, and the second anode of the storage capacitor about the diode and one of the terminals of the second output choke, the other terminal of the second input choke is connected to the current sensor, and the other output of the output choke is connected to the output terminal of the converter, while the cathode of the second diode and the source of the second transistor are connected to the common bus of the converter, and the drains of the transistors are connected through a switching inductor, and the control circuit includes a second output connected to the gate of the second transistor, and two inputs connected to the outputs of the current sensor and harmonic oscillator, respectively governmental. 4. Преобразователь по п.3, отличающийся тем, что схема управления содержит ШИМ-контроллер, выходами подключенный к драйверам, выходы которых образуют выходы схемы управления, а входы ШИМ-контроллера образуют входы схемы управления. 4. The Converter according to claim 3, characterized in that the control circuit comprises a PWM controller, outputs connected to drivers, the outputs of which form the outputs of the control circuit, and the inputs of the PWM controller form the inputs of the control circuit.
RU2011134950/07A 2011-08-19 2011-08-19 Voltage converter (versions) RU2464692C1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
RU2011134950/07A RU2464692C1 (en) 2011-08-19 2011-08-19 Voltage converter (versions)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
RU2011134950/07A RU2464692C1 (en) 2011-08-19 2011-08-19 Voltage converter (versions)

Publications (1)

Publication Number Publication Date
RU2464692C1 true RU2464692C1 (en) 2012-10-20

Family

ID=47145548

Family Applications (1)

Application Number Title Priority Date Filing Date
RU2011134950/07A RU2464692C1 (en) 2011-08-19 2011-08-19 Voltage converter (versions)

Country Status (1)

Country Link
RU (1) RU2464692C1 (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
RU2576383C2 (en) * 2014-06-24 2016-03-10 Российская Федерация, от имени которой выступает Государственная корпорация по атомной энергии "Росатом" Arkadyev-marks generator
RU2754358C1 (en) * 2021-01-15 2021-09-01 Российская Федерация, от имени которой выступает Государственная корпорация по атомной энергии "Росатом" (Госкорпорация "Росатом") Pulse voltage generator
RU2761109C1 (en) * 2021-03-29 2021-12-06 Российская Федерация, от имени которой выступает Государственная корпорация по атомной энергии "Росатом" (Госкорпорация "Росатом") Two-phase harmonic signal generator
RU2792636C1 (en) * 2022-03-25 2023-03-22 Акционерное общество "Научно-производственная корпорация "Космические системы мониторинга, информационно-управляющие и электромеханические комплексы" имени А.Г. Иосифьяна" АО "Корпорация "ВНИИЭМ" Inverting dc voltage converter of accumulative type

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SU337891A1 (en) * М. С. Тимофеевич VOLTAGE TRANSFORMER
US4257087A (en) * 1979-04-02 1981-03-17 California Institute Of Technology DC-to-DC switching converter with zero input and output current ripple and integrated magnetics circuits
SU1394366A1 (en) * 1986-01-02 1988-05-07 Предприятие П/Я Г-4367 Controlled voltage converter
RU2335841C1 (en) * 2007-08-10 2008-10-10 Георгий Маркович Мустафа High-voltage dc voltage converter with filter-compensating circuit and method of controlling its output power
RU92261U1 (en) * 2009-11-02 2010-03-10 Государственное образовательное учреждение высшего профессионального образования Томский государственный университет систем управления и радиоэлектроники SINGLE-PHASE AC VOLTAGE CONVERTER TO CONSTANT WITH POWER FACTOR CORRECTOR

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SU337891A1 (en) * М. С. Тимофеевич VOLTAGE TRANSFORMER
US4257087A (en) * 1979-04-02 1981-03-17 California Institute Of Technology DC-to-DC switching converter with zero input and output current ripple and integrated magnetics circuits
SU1394366A1 (en) * 1986-01-02 1988-05-07 Предприятие П/Я Г-4367 Controlled voltage converter
RU2335841C1 (en) * 2007-08-10 2008-10-10 Георгий Маркович Мустафа High-voltage dc voltage converter with filter-compensating circuit and method of controlling its output power
RU92261U1 (en) * 2009-11-02 2010-03-10 Государственное образовательное учреждение высшего профессионального образования Томский государственный университет систем управления и радиоэлектроники SINGLE-PHASE AC VOLTAGE CONVERTER TO CONSTANT WITH POWER FACTOR CORRECTOR

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
RU2576383C2 (en) * 2014-06-24 2016-03-10 Российская Федерация, от имени которой выступает Государственная корпорация по атомной энергии "Росатом" Arkadyev-marks generator
RU2754358C1 (en) * 2021-01-15 2021-09-01 Российская Федерация, от имени которой выступает Государственная корпорация по атомной энергии "Росатом" (Госкорпорация "Росатом") Pulse voltage generator
RU2761109C1 (en) * 2021-03-29 2021-12-06 Российская Федерация, от имени которой выступает Государственная корпорация по атомной энергии "Росатом" (Госкорпорация "Росатом") Two-phase harmonic signal generator
RU2792636C1 (en) * 2022-03-25 2023-03-22 Акционерное общество "Научно-производственная корпорация "Космические системы мониторинга, информационно-управляющие и электромеханические комплексы" имени А.Г. Иосифьяна" АО "Корпорация "ВНИИЭМ" Inverting dc voltage converter of accumulative type

Similar Documents

Publication Publication Date Title
Ardi et al. Study on a high voltage gain SEPIC-based DC–DC converter with continuous input current for sustainable energy applications
Sathyan et al. Soft-switching DC–DC converter for distributed energy sources with high step-up voltage capability
Zhang et al. Novel forward–flyback hybrid bidirectional DC–DC converter
US8488340B2 (en) Power converter with boost-buck-buck configuration utilizing an intermediate power regulating circuit
US9444355B2 (en) Method and apparatus for determining a bridge mode for power conversion
TWI373900B (en) High efficiency charging circuit and power supplying system
US8488346B2 (en) Power conversion apparatus and method
US20180337610A1 (en) PWM Controlled Resonant Converter
JP5547603B2 (en) Power supply
US10673320B2 (en) Snubber circuit and power conversion system including same
CN109874375B (en) Power conversion device
KR20120063513A (en) Electrical energy conversion circuit device
Yao et al. Push–pull forward three-level converter with reduced rectifier voltage stress
RU2464692C1 (en) Voltage converter (versions)
TWI551024B (en) Ac-dc power conversion device and control method thereof
Moradzadeh et al. Novel high step-up DC/DC converter structure using a coupled inductor with minimal voltage stress on the main switch
JP6963930B2 (en) DC / DC converter
Liya et al. A coupled inductor buck-boost DC-DC converter with wide voltage conversion range
RU158535U1 (en) CONSTANT VOLTAGE CONVERTER TO CONSTANT
JP2015228760A (en) Switching power supply
Yegane et al. A new high step-up dc/dc converter based on integrating coupled-inductor and voltage multiplier cell techniques for renewable energy applications
Lei et al. Nonisolated high step-up soft-switching DC-DC converter integrating Dickson switched-capacitor techniques
Peter et al. Design and analysis of an AC-DC LLC resonant converter with new bus voltage stabilization technique
KR102409678B1 (en) Boost converter with active power decoupling operation
Li et al. Analysis and design of a 1-kW 3X interleaved switched-capacitor DC-DC converter

Legal Events

Date Code Title Description
MM4A The patent is invalid due to non-payment of fees

Effective date: 20140820