RU2251786C2 - Voltage converter and its control process - Google Patents

Voltage converter and its control process Download PDF

Info

Publication number
RU2251786C2
RU2251786C2 RU2003100565/09A RU2003100565A RU2251786C2 RU 2251786 C2 RU2251786 C2 RU 2251786C2 RU 2003100565/09 A RU2003100565/09 A RU 2003100565/09A RU 2003100565 A RU2003100565 A RU 2003100565A RU 2251786 C2 RU2251786 C2 RU 2251786C2
Authority
RU
Russia
Prior art keywords
output
voltage
circuit
converter
current
Prior art date
Application number
RU2003100565/09A
Other languages
Russian (ru)
Other versions
RU2003100565A (en
Inventor
В.А. Пикалов (RU)
В.А. Пикалов
В.В. Светличный (RU)
В.В. Светличный
Original Assignee
Федеральное государственное унитарное предприятие "Производственно- конструкторское предприятие "ИРИС"
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Федеральное государственное унитарное предприятие "Производственно- конструкторское предприятие "ИРИС" filed Critical Федеральное государственное унитарное предприятие "Производственно- конструкторское предприятие "ИРИС"
Priority to RU2003100565/09A priority Critical patent/RU2251786C2/en
Publication of RU2003100565A publication Critical patent/RU2003100565A/en
Application granted granted Critical
Publication of RU2251786C2 publication Critical patent/RU2251786C2/en

Links

Images

Classifications

    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02BCLIMATE CHANGE MITIGATION TECHNOLOGIES RELATED TO BUILDINGS, e.g. HOUSING, HOUSE APPLIANCES OR RELATED END-USER APPLICATIONS
    • Y02B70/00Technologies for an efficient end-user side electric power management and consumption
    • Y02B70/10Technologies improving the efficiency by using switched-mode power supplies [SMPS], i.e. efficient power electronics conversion e.g. power factor correction or reduction of losses in power supplies or efficient standby modes

Abstract

FIELD: electrical engineering; static power converters.
SUBSTANCE: steady mode of sine-wave current resonant excitation is organized in voltage converter power circuit incorporating series LC circuit by means of proposed process, frequency of this sine-wave current being in step with operating clock frequency of converter. To this end automatic operating frequency and phase control unit is inserted in inverter circuit, its phase being synchronous with characteristic oscillations of series resonance-tuned LC circuit formed by isolating capacitor and dissipation inductance of power transformer.
EFFECT: reduced loss at switching members of inverter due to sine-wave type of handled current.
4 cl, 4 dwg

Description

Изобретение относится к области электротехники, в частности к статическим преобразователям напряжения источника постоянного тока одного уровня в постоянное напряжение другого уровня с гальванической развязкой между ними.The invention relates to the field of electrical engineering, in particular to static voltage converters of a DC source of one level to a constant voltage of another level with galvanic isolation between them.

Известен "Преобразователь с последовательным резонансом" патент Японии № 701017084, Н 02 М 3/335 (Изобретения стран мира. Вып.107, № 18/98). Однако представленный преобразователь не обеспечивает гальванической развязки преобразованного напряжения.Known "Converter with serial resonance" Japan patent No. 701017084, H 02 M 3/335 (Inventions of the world. Issue 107, No. 18/98). However, the presented Converter does not provide galvanic isolation of the converted voltage.

Сущность этого патента, судя по его описанию, заключается в том, что при провалах напряжения для поддержания питания нагрузки за счет реактивной энергии, запасенной в резонансном контуре, ключи инвертора переводятся в режим коммутации резонансного тока контура. Но в виду отсутствия обратной связи резонансного контура с предполагаемой схемой управления не обеспечивается устойчивая синхронная работа ключей инвертора на частоте резонанса.The essence of this patent, judging by its description, is that in case of voltage dips to maintain power to the load due to reactive energy stored in the resonant circuit, the inverter keys are switched to the resonant current switching mode of the circuit. But in view of the lack of feedback of the resonant circuit with the proposed control circuit, stable synchronous operation of the inverter keys at the resonance frequency is not provided.

Известен также мостовой преобразователь напряжения с последовательным резонансным контуром в диагонали переменного тока моста. Такие преобразователи объединены общим признаком: реализация в них переходных процессов, близким к синусоидальным (Журнал "Электронные компоненты" № 6, 2002 г., статья, Сбродов А. "Выбор силовых транзисторов для преобразователей напряжения с резонансным контуром"). Но процесс управления ключами инвертора другой. Частота коммутации ключей не совпадает с резонансной частотой LC-контура и всегда ниже, а управление средним значением выходного напряжения преобразователя производится изменением длительности паузы между сериями коммутации ключей инвертора. Как следствие этой паузы, для обеспечения непрерывности тока в нагрузке необходим индуктивно-емкостный фильтр после выходного выпрямителя. Резонансные колебания в периоды серий коммутаций в этом преобразователе используются для уменьшения динамических потерь на ключах инвертора.Also known is a bridge voltage converter with a series resonant circuit in the diagonal of an alternating current bridge. Such converters are united by a common feature: the implementation in them of transient processes that are close to sinusoidal (Journal of Electronic Components No. 6, 2002, article, Sbrodov A. "The choice of power transistors for voltage converters with a resonant circuit"). But the inverter key management process is different. The switching frequency of the keys does not coincide with the resonant frequency of the LC circuit and is always lower, and the average value of the output voltage of the converter is controlled by changing the pause duration between the switching series of the inverter keys. As a consequence of this pause, in order to ensure the continuity of the current in the load, an inductive-capacitive filter is required after the output rectifier. Resonant oscillations during periods of switching series in this converter are used to reduce dynamic losses on the inverter keys.

Наиболее близким по технической сущности является источник электропитания импульсного действия (Березин O.K., Костиков В.Г., Шахнов В.А. “Источники электропитания радиоэлектронной аппаратуры”. Москва, 2000 г.). Его структурная и электрическая схемы представлены на рисунке 3.1, 3.11.The closest in technical essence is a pulse power source (Berezin O.K., Kostikov VG, Shakhnov VA “Sources of power supply of electronic equipment”. Moscow, 2000). Its structural and electrical circuits are presented in Figure 3.1, 3.11.

Преобразователь энергии постоянного тока на входе в энергию постоянного тока на выходе с гальванической развязкой от первичного источника, состоящий из транзисторного мостового инвертора, в диагональ переменного напряжения которого включены последовательно соединенные разделительная емкость и первичная обмотка силового трансформатора, к вторичной обмотке которого подключен двухполупериодный выходной выпрямитель, к выходу которого подключены сглаживающий дроссель и конденсатор выходного фильтра, при этом устройство управления по входу ШИМ-регулирования подключено к выходу преобразователя, а своим выходом подключено к входу предварительного усилителя мощности, выход которого подключен к управляющим входам транзисторного моста инвертора; вспомогательными напряжениями устройство управления и предварительный усилитель мощности обеспечивает дополнительный источник электропитания.A direct current energy converter at the input of direct current energy at the output with galvanic isolation from the primary source, consisting of a transistor bridge inverter, the diagonal of the alternating voltage of which includes a series-connected separation capacitor and a primary winding of a power transformer, to the secondary winding of which a half-wave output rectifier is connected, to the output of which a smoothing inductor and a capacitor of the output filter are connected, while the control device Valid PWM control inverter connected to the output and its output connected to the input pre-amplifier, the output of which is connected to the control inputs of the transistor bridge inverter; auxiliary voltage control device and pre-power amplifier provides an additional power source.

Однако в данном решении отсутствует режим резонансного возбуждения синусоидального электрического тока, частота которого совпадает с тактовой рабочей частотой преобразователя.However, in this solution there is no mode of resonant excitation of a sinusoidal electric current, the frequency of which coincides with the clock operating frequency of the converter.

Известен способ управления преобразователем постоянного напряжения одного уровня в постоянное напряжение другого уровня, осуществляемый следующим образом: прерывают с фиксированной частотой напряжение первичного источника посредством электронного коммутатора, выпрямляют полученное переменное импульсное напряжение двухполупериодным выпрямителем и усредняют с помощью индуктивно-емкостного фильтра, при этом изменение уровня полученного постоянного напряжения осуществляют путем широтно-импульсной модуляции длительности открытого состояния ключей коммутатора. Способ описан в статье Березин O.K., Костиков В.Г., Шахнов В.А. “Источники электропитания радиоэлектронной аппаратуры”. Москва, 2000 г., гл.3.2.A known method of controlling a DC voltage converter of one level to DC voltage of another level, as follows: interrupt the voltage of the primary source with a fixed frequency by means of an electronic switch, rectify the obtained alternating impulse voltage with a half-wave rectifier and average it with an inductive-capacitive filter, while changing the level of the received DC voltage is carried out by pulse width modulation duration openly about the state of the switch keys. The method is described in the article Berezin O.K., Kostikov V.G., Shakhnov V.A. “Power sources of electronic equipment”. Moscow, 2000, Ch. 3.2.

Ключевым элементом новизны способа управления преобразователем является организация в силовой цепи, содержащей последовательный LC-контур, путем автоматической подстройки устойчивого режима резонансного возбуждения синусоидального электрического тока, частота которого совпадает с тактовой рабочей частотой преобразователя.A key element of the novelty of the converter control method is the organization in the power circuit containing a sequential LC circuit by automatically adjusting the stable mode of the resonant excitation of a sinusoidal electric current, the frequency of which coincides with the clock operating frequency of the converter.

Задачей изобретения является создание преобразователя энергии постоянного тока, обеспечивающего снижение потерь на ключевых элементах инвертора и диодах выпрямителя.The objective of the invention is to provide a DC-DC energy converter, which reduces losses on the key elements of the inverter and rectifier diodes.

Поставленная задача решается благодаря тому, что в схему управления инвертором дополнительно включен узел автоматической подстройки рабочей частоты преобразователя, синхронной с собственными колебаниями последовательного LC-резонансного контура, образованного разделительной емкостью и индуктивностью рассеяния силового трансформатора. Последовательно с разделительной емкостью и первичной обмоткой трансформатора дополнительно включен дроссель, снижающий коммутационные потери от перезаряда паразитной емкости этой обмотки, а также создающий индуктивность, дополняющую индуктивность рассеяния трансформатора.The problem is solved due to the fact that the inverter control circuit additionally includes an automatic adjustment unit for the operating frequency of the converter, synchronous with the natural oscillations of the series LC resonance circuit formed by the separation capacitance and dissipation inductance of the power transformer. In series with the separation capacity and the primary winding of the transformer, an inductor is additionally included, which reduces switching losses from overcharging the stray capacitance of this winding, and also creates an inductance that complements the dissipation inductance of the transformer.

Преобразователь построен по схеме, представленной на фиг.1.The Converter is built according to the circuit shown in Fig.1.

U1 - входное напряжение преобразователя, U2 - выходное напряжение преобразователя. Инвертор 1, транзисторный мост 2 которого как и у прототипа снабжен шунтирующими диодами, управляется устройством управления 10 через предварительный усилитель мощности (ПУМ) 11. ПУМ обеспечивает гальваническую развязку с управляющими входами транзисторов инвертора, как это выполнено в прототипе [1] рисунок 3.26, рисунок 3.27. В диагональ переменного тока моста включен силовой резонансный контур, состоящий из последовательно соединенных конденсатора 3, дросселя индуктивности 4 и первичной обмотки трансформатора 5.U1 is the input voltage of the converter, U2 is the output voltage of the converter. The inverter 1, the transistor bridge 2 of which, like the prototype, is equipped with shunt diodes, is controlled by the control device 10 through a preliminary power amplifier (PUM) 11. The PUM provides galvanic isolation with the control inputs of the inverter transistors, as is done in the prototype [1] figure 3.26, figure 3.27. A power resonant circuit consisting of a series-connected capacitor 3, an inductor 4 and a primary winding of the transformer 5 is included in the diagonal of the alternating current of the bridge.

В контур в качестве датчика тока последовательно включена также первичная обмотка трансформатора тока 6. Выходной выпрямитель 7 подключен к выходному фильтру 12. Для работы преобразователя принципиально важно, чтобы выпрямитель был двухполупериодным (мостовым или со средней точкой на вторичной обмотке трансформатора), выходной емкостной фильтр должен быть подключен непосредственно к выходу выпрямителя без дросселя.The primary winding of the current transformer 6 is also sequentially included in the circuit as a current sensor. The output rectifier 7 is connected to the output filter 12. For the operation of the converter, it is crucial that the rectifier is half-wave (bridge or with a midpoint on the secondary winding of the transformer), the output capacitive filter must be connected directly to the output of the rectifier without a choke.

Устройство управления построено на основе микропроцессора или с применением специализированных микросхем (см. [2]), например 1156ЕУ2, TL494CN.The control device is built on the basis of a microprocessor or using specialized microcircuits (see [2]), for example, 1156ЕУ2, TL494CN.

Устройство управления выдает сигналы управления на вход предварительного усилителя мощности и имеет контур обратной связи "а" с выходом преобразователя по ШИМ-регулированию выходного напряжения.The control device generates control signals to the input of the preliminary power amplifier and has a feedback loop "a" with the converter output by PWM regulation of the output voltage.

В схему управления преобразователем введены два дополнительных контура обратной связи. Первый из них "b" замыкается с устройством управления через узел автоподстройки частоты и фазы 9.Two additional feedback loops have been introduced into the converter control circuit. The first of them "b" closes with the control device through the node of the automatic frequency and phase 9.

Узел фазовой автоподстройки частоты (ФАПЧ) построен по одной из схем, применяемых в телевизионной технике и аппаратуре радиосвязи. В данном случае производится сравнение фазы коммутации ключей инвертора по сигналу с выхода устройства управления с фазой тока в силовой цепи, контролируемой с помощью датчика тока 6. Как вариант, возможно интегрирование напряжения на вторичной обмотке трансформатора в интервале закрытого состояния ключей инвертора. Воздействие на тактовую частоту коммутации ключей инвертора производится путем подключения выхода узла ФАПЧ к частотозадающему входу устройства управления. Таким образом производится захват тактовой частоты преобразователя частотой резонансных колебаний тока в LC-контуре.The phase locked loop (PLL) is built according to one of the schemes used in television and radio communication equipment. In this case, the phase of switching the inverter keys according to the signal from the control device output is compared with the current phase in the power circuit controlled by current sensor 6. Alternatively, the voltage on the secondary winding of the transformer can be integrated in the closed state interval of the inverter keys. The impact on the switching frequency of the inverter keys is made by connecting the output of the PLL to the frequency input of the control device. Thus, the converter clock frequency is captured by the frequency of the resonant current oscillations in the LC circuit.

Для улучшения динамических характеристик преобразователя, определяющих скорость реакции на возмущающие воздействия по входному напряжению и по нагрузке, образована вторая дополнительная цепь обратной связи "с" через узел переключения режима рекуперации 8 с датчиком тока 6 и с выходом устройства управления. Выход устройства переключения режима подключен к входу предварительного усилителя мощности в точке управления парой, например, нижних транзисторов в разных плечах моста инвертора.To improve the dynamic characteristics of the converter, which determine the reaction rate to disturbing influences in terms of input voltage and load, a second additional feedback circuit "c" is formed through the switching unit of the recovery mode 8 with a current sensor 6 and with the output of the control device. The output of the mode switching device is connected to the input of the preliminary power amplifier at the point of control of a pair of, for example, lower transistors at different shoulders of the inverter bridge.

Один из двух режимов - режим с частичной рекуперацией энергии резонансного тока в силовом контуре. В моменты, когда в процессе работы инвертора все ключи закрыты, ток контура протекает через шунтирующие диоды в первичный источник навстречу его напряжению.One of the two modes is the mode with partial recovery of the resonant current energy in the power circuit. At the moments when all the keys are closed during the operation of the inverter, the loop current flows through the shunt diodes to the primary source to meet its voltage.

Второй режим характерен отсутствием фазы рекуперации, при котором ключи инвертора подключают силовой контур синфазно колебаниям его тока либо к напряжению первичного источника (фаза отбора энергии от источника), либо замыкают ток контура через себя, сохраняя его энергию.The second mode is characterized by the absence of a recovery phase, in which the inverter keys connect the power circuit in-phase to the oscillations of its current either to the voltage of the primary source (phase of energy extraction from the source), or close the loop current through itself, preserving its energy.

При резком сбросе нагрузки или скачкообразном изменении задающего параметра возможен переходный процесс с перерегулированием, обусловленный энергетической инерционностью колебательного контура, характеризуемой декрементом затухания.With a sharp load shedding or an abrupt change in the setting parameter, a transient process with overshoot is possible due to the energy inertia of the oscillating circuit, characterized by a damping decrement.

Узел переключения режима рекуперации 8 формирует сигнал перехода из первого режима во второй и обратно. При пуске и при пониженной мощности, отдаваемой в нагрузку, преобразователь работает в режиме с рекуперацией.The switching unit of the recovery mode 8 generates a transition signal from the first mode to the second and vice versa. At start-up and with reduced power supplied to the load, the converter operates in a recovery mode.

При увеличении нагрузки, что выражается в увеличении тока в силовом контуре, и, соответственно, сигнала датчика тока 6, узел переключения режима рекуперации 8 вырабатывает сигнал устройству управления 10 о переходе в режим работы без рекуперации, при котором верхняя пара ключей инвертора управляется по прежнему широтно-модулированными сигналами, а нижняя пара коммутируется по сигналам датчика тока 6 синфазно с направлением тока в силовом контуре на тактовой частоте преобразователя аналогично тому, как это выполнено в прототипе (см. диаграмму Вых 3 и Вых 4 на рисунке 3.11 [1]). Для этой пары ключей в режиме без рекуперации коэффициент заполнения широтно-модулированных импульсов (коэффициент модуляции К), определяющих открытое состояние ключей инвертора, равен единице (К=1).With an increase in the load, which is reflected in an increase in the current in the power circuit, and, accordingly, the signal of the current sensor 6, the switching unit of the recovery mode 8 generates a signal to the control device 10 to switch to the operation mode without recovery, in which the upper pair of inverter keys is still controlled latitudinally -modulated signals, and the lower pair is switched according to the signals of the current sensor 6 in phase with the direction of the current in the power circuit at the clock frequency of the converter in the same way as in the prototype (see diagram moo O 3 and O 4 in Figure 3.11 [1]). For this key pair in the non-regenerative mode, the duty cycle of the pulse-width modulated pulses (modulation coefficient K), which determine the open state of the inverter keys, is unity (K = 1).

При резком сбросе нагрузки на преобразователе ШИМ-регулятор реагирует на это уменьшением ширины импульса до минимума. Это служит сигналом для перехода в первый режим работы с рекуперацией, при котором происходит возврат энергии в первичный источник. Возвращение во второй режим работы преобразователя происходит автоматически по алгоритму, описанному выше.With a sharp load drop on the converter, the PWM controller responds to this by reducing the pulse width to a minimum. This serves as a signal for the transition to the first mode of operation with recovery, in which there is a return of energy to the primary source. Return to the second mode of operation of the converter occurs automatically according to the algorithm described above.

Управление режимом, собственно, заключается в переключении коэффициента заполнения широтно-модулированных сигналов, управляющих в данном случае нижней парой ключей, с текущего значения, идентичного с модуляцией сигналов верхней пары, на К=1 и обратно.The control of the mode, in fact, consists in switching the duty cycle of the width-modulated signals that control in this case the lower key pair, from the current value, which is identical with the modulation of the signals of the upper pair, to K = 1 and vice versa.

Питание узлов ФАПЧ и переключения режима рекуперации, так же, как устройства управления и предварительного усилителя мощности, обеспечивает дополнительный источник электропитания (ДИЭП), гальванически не связанный с первичным источником питания U1 и с силовым контуром в целом. Для упрощения чертежа ДИЭП на фиг.1 не показан.The power of the PLLs and switching the recovery mode, as well as the control device and the preliminary power amplifier, is provided by an additional power supply (DIEP), which is not galvanically connected with the primary power source U1 and with the power circuit as a whole. To simplify the drawing, the DIEP is not shown in FIG. 1.

Эквивалентная электрическая схема силовой цепи преобразователя и диаграммы напряжения на участках цепи в этом режиме представлены на фиг.2 и 3, где:The equivalent electrical circuit of the power circuit of the Converter and voltage diagrams on the sections of the circuit in this mode are presented in figure 2 and 3, where:

Г1 - генератор двухполярных импульсов напряжения с внутренним сопротивлением, равным внутреннему сопротивлению первичного источника с учетом падения напряжения на открытых ключах инвертора и его обратных диодах в состоянии проводимости;G1 - a generator of bipolar voltage pulses with an internal resistance equal to the internal resistance of the primary source, taking into account the voltage drop on the public keys of the inverter and its inverse diodes in the conduction state;

С1 - резонансная емкость;C1 is the resonant capacitance;

L1 - индуктивность рассеяния трансформатора, суммарная с дополняющей индуктивностью;L1 - transformer dissipation inductance, total with complementary inductance;

VD1 - двухполупериодный выпрямитель;VD1 - a half-wave rectifier;

1, 2, 3 - характерные точки эквивалентной схемы.1, 2, 3 - characteristic points of the equivalent circuit.

Между точками 2 и 3 представлен эквивалент вторичной стороны трансформатора, приведенной через коэффициент трансформации к первичной стороне, где:Between points 2 and 3, the equivalent of the secondary side of the transformer is shown, reduced through the transformation coefficient to the primary side, where:

С2 - эквивалент емкости выходного фильтра,C2 is the equivalent of the capacity of the output filter,

Rн - эквивалент нагрузки;Rн is the equivalent load;

Ia - синусоидальный ток в силовой цепи;Ia is the sinusoidal current in the power circuit;

Ua - двухполярное напряжение в диагонали переменного тока моста инвертора;Ua is the bipolar voltage in the diagonal of the alternating current of the inverter bridge;

Ua1 - напряжение, соответствующее открытому состоянию одной и другой пары силовых ключей, широтно-модулированное по длительности;Ua1 is the voltage corresponding to the open state of one and the other pair of power switches, width-modulated in duration;

Ua2 - напряжение, соответствующее состоянию прямой проводимости обратных диодов моста инвертора (фаза рекуперации тока силовой цепи);Ua2 is the voltage corresponding to the state of direct conduction of the reverse diodes of the inverter bridge (phase of recovery of the current of the power circuit);

Uв - напряжение между точками 2-3 в этой схеме.Uв - voltage between points 2-3 in this circuit.

Через последовательный LC-контур протекает синусоидальный ток резонансной частоты и токи нечетных гармоник, во много раз ослабленные индуктивностью контура. Тактовая частота инвертора определяется основной гармоникой контура. Напряжение этой частоты из частотного спектра эквивалентного генератора на самом контуре между точками 1-2 в меру его добротности мало и падает на выпрямителе и нагрузке.A sinusoidal current of a resonant frequency and odd harmonics currents many times attenuated by the loop inductance flow through a series LC circuit. The inverter clock frequency is determined by the fundamental harmonic of the circuit. The voltage of this frequency from the frequency spectrum of the equivalent generator on the circuit itself between points 1-2 in the measure of its quality factor is small and drops on the rectifier and the load.

Напряжение Uв представляет собой симметричный двухполярный меандр, что является характерным результатом работы преобразователя в режиме резонансного возбуждения силового контура на тактовой частоте инвертора.The voltage Uv is a symmetrical bipolar meander, which is a characteristic result of the operation of the converter in the mode of resonant excitation of the power circuit at the inverter clock frequency.

На диаграммах фиг.3 видна роль узла ФАПЧ, контролирующего и синхронизирующего переходы через ноль тока Iа и напряжения Ua в точках фазирования tф.The diagrams of figure 3 shows the role of the PLL, controlling and synchronizing the transitions through zero current Ia and voltage Ua at the phasing points t f .

Величина напряжения меандра на трансформаторе зависит от амплитуды синусоидального тока в силовом контуре, которая модулируется в соответствии с широтно-импульсной модуляцией открытого состояния ключей инвертора. Широтно-импульсная модуляция осуществляется изменением длительности паузы напряжения инвертора в двухтактной схеме преобразователя при постоянстве частоты преобразования.The meander voltage across the transformer depends on the amplitude of the sinusoidal current in the power circuit, which is modulated in accordance with pulse-width modulation of the open state of the inverter keys. Pulse-width modulation is carried out by changing the duration of the pause of the inverter voltage in the push-pull converter circuit with a constant conversion frequency.

Регулировочная характеристика преобразователя - зависимость выходного напряжения U2 от коэффициента модуляции К при разомкнутой цепи обратной связи - представлена на фиг.4, где U1 - входное напряжение преобразователя.The adjusting characteristic of the converter — the dependence of the output voltage U2 on the modulation coefficient K with an open feedback circuit — is presented in Fig. 4, where U1 is the input voltage of the converter.

Точка "к" на характеристике Y соответствует равенству энергии, поступающей в силовой контур на каждом периоде (полупериоде) колебаний его тока из первичного источника, и энергии, возвращаемой в источник в фазе рекуперации. Линия Х на фиг.4 изображает регулировочную характеристику преобразователя при работе без рекуперации тока резонансного контура. Как видно из графика, управление выходным напряжением преобразователя в режиме с рекуперацией более динамично за счет большей крутизны характеристики Y по сравнению с X.Point “k” on characteristic Y corresponds to the equality of the energy supplied to the power circuit at each period (half period) of fluctuations in its current from the primary source, and the energy returned to the source in the recovery phase. Line X in figure 4 depicts the adjustment characteristic of the converter when operating without recovery of the resonant circuit current. As can be seen from the graph, the control of the output voltage of the converter in the recovery mode is more dynamic due to the greater slope of the characteristic Y compared to X.

Настоящий способ управления энергетическими преобразованиями с использованием резонанса в силовой цепи и ФАПЧ для синхронизации процессов в ключевом преобразователе применим как при полномостовой, так и при полумостовой схеме инвертора.The present method of controlling energy transformations using resonance in a power circuit and a PLL for synchronizing processes in a key converter is applicable for both full-bridge and half-bridge inverter circuits.

Изготовлены несколько экспериментальных образцов с уровнями преобразуемых напряжений от 28 В до 400 В мощностью до 1,5 кВт. Для управления инвертором применены контроллеры на основе специализированных микросхем, в частности 1156ЕУ2.Several experimental samples were manufactured with converted voltage levels from 28 V to 400 V with a power of up to 1.5 kW. To control the inverter, controllers based on specialized microcircuits, in particular 1156ЕУ2, are used.

Техническим результатом настоящего изобретения является снижение потерь на ключевых элементах инвертора благодаря синусоидальному характеру коммутируемого тока. При отсутствии дросселя в выходном фильтре и синусоидальном токе в силовом контуре коммутация диодов выпрямителя происходит при нулевых значениях тока (см. диаграммы фиг.3). Испытания экспериментальных образцов показали более чем двухкратное уменьшение потерь энергий в преобразователе по сравнению с прототипом при использовании одинаковой элементной базы.The technical result of the present invention is to reduce losses on the key elements of the inverter due to the sinusoidal nature of the switched current. In the absence of a choke in the output filter and a sinusoidal current in the power circuit, switching of the rectifier diodes occurs at zero current values (see diagrams of figure 3). Tests of experimental samples showed a more than twofold decrease in energy losses in the converter compared to the prototype when using the same elemental base.

ЛитератураLiterature

1. Березин O.K., Костиков В.Г., Шахнов В.А. “Источники электропитания радиоэлектронной аппаратуры”. Изд. Москва, 2000 г., УДК 621.314, стр.121-128.1. Berezin O.K., Kostikov V.G., Shakhnov V.A. “Power sources of electronic equipment”. Ed. Moscow, 2000, UDC 621.314, pp. 121-128.

2. “Микросхемы для импульсных источников питания и их применение”, издательство Додека, 2000 г., УДК 621.375 (03), стр.239-246.2. “Microcircuits for switching power supplies and their application”, Dodeka Publishing House, 2000, UDC 621.375 (03), pp. 239-246.

3. Журнал “Практическая силовая электроника”, № 7, 2002 г.3. The journal "Practical Power Electronics", No. 7, 2002

4. ИВНЦ Роспатента. Изобретения стран мира. Вып.107, № 9/98, стр.36, “Способ питания трансформатора с предотвращением искажения формы питающего напряжения”.4. IVSC Rospatent. Inventions of the countries of the world. Vol. 107, No. 9/98, p. 36, “A method for powering a transformer to prevent distortion in the shape of the supply voltage”.

5. ИВНЦ Роспатента. Изобретения стран мира. Вып.107, № 18/98, стр.36, “Преобразователь с последовательным резонансом”.5. IVSC Rospatent. Inventions of the countries of the world. Issue 107, No. 18/98, p. 36, “Converter with series resonance”.

6. Семенов Б.Ю., “Силовая-электроника”, издательство СОЛОН-Р, - 2001 г., Москва.6. Semenov B.Yu., “Power Electronics”, SOLON-R Publishing House, - 2001, Moscow.

7. Сбродов А., “Выбор силовых транзисторов для преобразователей напряжения с резонансным контуром”, журнал “Электронные компоненты” № 6, 2002 г., стр.68.7. Sbrodov A., “The choice of power transistors for voltage converters with a resonant circuit”, journal “Electronic components” No. 6, 2002, p. 68.

Claims (4)

1. Преобразователь напряжения источника постоянного тока на входе в постоянное напряжение на выходе с гальванической развязкой от первичного источника, состоящий из транзисторного мостового инвертора, в диагональ переменного напряжения которого включены последовательно соединенные разделительная емкость и первичная обмотка силового трансформатора, к вторичной обмотке которого подключен двухполупериодный выходной выпрямитель, к выходу которого подключены сглаживающий дроссель и конденсатор выходного фильтра, при этом устройство управления по входу ШИМ-регулирования подключено к выходу преобразователя, а своим выходом подключено к входу предварительного усилителя мощности, выход которого подключен к управляющим входам транзисторного моста инвертора; вспомогательными напряжениями устройство управления и предварительный усилитель мощности обеспечивает дополнительный источник электропитания, отличающийся тем, что в схему управления инвертором дополнительно включен узел автоматической подстройки рабочей частоты преобразователя, синхронной с собственными колебаниями последовательного LC-резонансного контура, образованного разделительной емкостью и индуктивностью рассеяния силового трансформатора, при этом вторичная обмотка включенного в цепь диагонали переменного тока мостового инвертора трансформатора тока подключена к первому входу узла автоподстройки, второй вход узла автоподстройки подключен к выходу устройства управления, к частотозадающему входу которого подключен выход узла автоподстройки.1. A voltage converter of a direct current source at the input to a constant voltage at the output with galvanic isolation from the primary source, consisting of a transistor bridge inverter, the diagonal of the alternating voltage of which includes a series-connected separation capacitor and a primary winding of a power transformer, to the secondary winding of which a half-wave output is connected a rectifier, to the output of which a smoothing choke and an output filter capacitor are connected, while the device entry board for the PWM control inverter connected to the output, and its output is connected to the pre-amplifier input, the output of which is connected to the control inputs of the transistor bridge inverter; with auxiliary voltages, the control device and the preliminary power amplifier provide an additional power source, characterized in that the inverter control circuit additionally includes an assembly for automatically adjusting the operating frequency of the converter synchronous with the natural oscillations of the series LC resonant circuit formed by the separation capacitance and dissipation inductance of the power transformer, this is the secondary winding of the bridges connected to the diagonal of the alternating current circuit of the current inverter of the current transformer is connected to the first input of the auto-tuning unit, the second input of the auto-tuning unit is connected to the output of the control device, the output of the auto-tuning unit is connected to its frequency setting input. 2. Преобразователь по п.1, отличающийся тем, что в диагональ переменного тока моста инвертора последовательно с первичной обмоткой силового трансформатора и разделительной емкостью дополнительно включен трансформатор тока, а в схему управления преобразователем дополнительно внесено устройство переключения режима рекуперации тока силовой цепи, первый вход которого подключен к вторичной обмотке трансформатора тока, второй вход подключен к выходу устройства управления, а выход устройства переключения режима рекуперации подключен к входу предварительного усилителя мощности.2. The converter according to claim 1, characterized in that the current transformer is additionally included in the diagonal of the alternating current of the inverter bridge in series with the primary winding of the power transformer and the separation capacitance, and the power circuit current recovery mode switching device is added to the converter control circuit, the first input of which connected to the secondary winding of the current transformer, the second input is connected to the output of the control device, and the output of the recovery mode switching device is connected to the input do pre power amplifier. 3. Преобразователь по п.1, отличающийся тем, что последовательно с разделительной емкостью и первичной обмоткой трансформатора дополнительно включен дроссель, снижающий коммутационные потери от перезаряда паразитной емкости этой обмотки, а также создающий индуктивность, дополняющую индуктивность рассеяния трансформатора.3. The Converter according to claim 1, characterized in that in series with the separation capacitance and the primary winding of the transformer, an inductor is additionally included, which reduces switching losses from overcharging of the parasitic capacitance of this winding, as well as creating an inductance that complements the dissipation inductance of the transformer. 4. Способ управления преобразователем энергии постоянного тока на входе в энергию постоянного тока на выходе, осуществляемый следующим образом: прерывают с заданной частотой напряжение первичного источника посредством электронных ключей, преобразуют при помощи трансформатора полученное переменное импульсное напряжение в переменное импульсное напряжение заданного уровня, которое выпрямляют двухполупериодным выпрямителем и усредняют с помощью индуктивно-емкостного фильтра, при этом регулирование напряжения на выходе преобразователя осуществляют путем широтно-импульсной модуляции длительности открытого состояния ключей при постоянстве тактовой частоты преобразования, отличающийся тем, что осуществляют промежуточное преобразование энергии импульсного переменного напряжения в энергию резонансных колебаний в последовательном LC-контуре, для чего управление электронными ключами путем автоматической подстройки производят синхронно и синфазно с частотой собственных колебаний этого контура, при этом осуществляют трансформацию резонансного тока, в результате чего получают напряжение симметричного двухполярного меандра тактовой частоты, а с помощью широтно-импульсной модуляции длительности открытого состояния ключей модулируют амплитуду синусоидального тока в LC-контуре и, соответственно, уровень напряжения меандра, после двухполупериодного выпрямления которого получают регулируемое постоянное напряжение на выходе преобразователя.4. A method for controlling a DC-DC energy converter at the input of DC-energy at the output, as follows: they interrupt the voltage of the primary source with a given frequency using electronic keys, convert the obtained alternating pulse voltage to an alternating pulse voltage of a given level with a transformer, which is rectified by a half-wave rectifier and averaged using an inductive-capacitive filter, while regulating the voltage at the output of the converter They are carried out by pulse-width modulation of the duration of the open state of the keys at a constant conversion clock frequency, characterized in that they carry out an intermediate conversion of the energy of a pulsed alternating voltage into the energy of resonant oscillations in a serial LC circuit, for which electronic keys are controlled by automatic adjustment synchronously and in phase with the frequency of natural oscillations of this circuit, in this case, the resonant current is transformed, resulting in They obtain the voltage of the symmetric bipolar meander of the clock frequency, and using pulse-width modulation of the duration of the open state of the keys, they modulate the amplitude of the sinusoidal current in the LC circuit and, accordingly, the voltage level of the meander, after a biannual rectification of which they obtain an adjustable constant voltage at the output of the converter.
RU2003100565/09A 2003-01-08 2003-01-08 Voltage converter and its control process RU2251786C2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
RU2003100565/09A RU2251786C2 (en) 2003-01-08 2003-01-08 Voltage converter and its control process

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
RU2003100565/09A RU2251786C2 (en) 2003-01-08 2003-01-08 Voltage converter and its control process

Publications (2)

Publication Number Publication Date
RU2003100565A RU2003100565A (en) 2004-08-27
RU2251786C2 true RU2251786C2 (en) 2005-05-10

Family

ID=35747192

Family Applications (1)

Application Number Title Priority Date Filing Date
RU2003100565/09A RU2251786C2 (en) 2003-01-08 2003-01-08 Voltage converter and its control process

Country Status (1)

Country Link
RU (1) RU2251786C2 (en)

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
RU2455746C2 (en) * 2010-05-12 2012-07-10 Закрытое акционерное общество "Связь инжиниринг" Two-stroke bridge converter
RU2476980C1 (en) * 2011-09-13 2013-02-27 Владимир Яковлевич Грошев Dc voltage converter
RU2479101C1 (en) * 2011-11-14 2013-04-10 Владимир Яковлевич Грошев Dc converter
RU2490777C1 (en) * 2012-04-03 2013-08-20 Владимир Яковлевич Грошев Direct current converter
RU2510871C1 (en) * 2012-09-27 2014-04-10 Федеральное государственное бюджетное образовательное учреждение высшего профессионального образования "Московский авиационный институт (национальный исследовательский университет)" (МАИ) Method for dc voltage pulse conversion and device for its implementation
RU2524679C1 (en) * 2013-02-13 2014-08-10 Владимир Яковлевич Грошев Bipolar key cascade
RU2534749C1 (en) * 2013-08-08 2014-12-10 Общество с ограниченной ответственностью "Научно-производственный центр "Судовые электротехнические системы" (ООО "НПЦ "СЭС") Reversible frequency converter
RU2586567C1 (en) * 2015-02-19 2016-06-10 Акционерное Общество "Научно-исследовательский институт "Бриз" Key voltage converter
RU2635364C2 (en) * 2016-02-25 2017-11-13 Закрытое акционерное общество "Связь инжиниринг" Push-pull dc/dc converter
RU2661495C1 (en) * 2017-08-08 2018-07-17 Общество с ограниченной ответственностью "Научно-производственное предприятие "Силовая высоковольтная электроника" Resonant converter with switching frequency automatic phase tuning width-pulse adjustment method
CN109907211A (en) * 2019-03-28 2019-06-21 安徽康佳同创电器有限公司 High-voltage electrostatic field generating device and refrigerator with fresh-keeping function
RU205720U1 (en) * 2021-04-26 2021-07-30 Ильяс Юсыфович Абдулхаков Resonant voltage converter

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
БЕРЕЗИН O.K. и др. Источники электропитания радиоэлектронной аппаратуры, Москва, Энергия, 2000, с.10-15. *

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
RU2455746C2 (en) * 2010-05-12 2012-07-10 Закрытое акционерное общество "Связь инжиниринг" Two-stroke bridge converter
RU2476980C1 (en) * 2011-09-13 2013-02-27 Владимир Яковлевич Грошев Dc voltage converter
RU2479101C1 (en) * 2011-11-14 2013-04-10 Владимир Яковлевич Грошев Dc converter
RU2490777C1 (en) * 2012-04-03 2013-08-20 Владимир Яковлевич Грошев Direct current converter
RU2510871C1 (en) * 2012-09-27 2014-04-10 Федеральное государственное бюджетное образовательное учреждение высшего профессионального образования "Московский авиационный институт (национальный исследовательский университет)" (МАИ) Method for dc voltage pulse conversion and device for its implementation
RU2524679C1 (en) * 2013-02-13 2014-08-10 Владимир Яковлевич Грошев Bipolar key cascade
RU2534749C1 (en) * 2013-08-08 2014-12-10 Общество с ограниченной ответственностью "Научно-производственный центр "Судовые электротехнические системы" (ООО "НПЦ "СЭС") Reversible frequency converter
RU2586567C1 (en) * 2015-02-19 2016-06-10 Акционерное Общество "Научно-исследовательский институт "Бриз" Key voltage converter
RU2635364C2 (en) * 2016-02-25 2017-11-13 Закрытое акционерное общество "Связь инжиниринг" Push-pull dc/dc converter
RU2661495C1 (en) * 2017-08-08 2018-07-17 Общество с ограниченной ответственностью "Научно-производственное предприятие "Силовая высоковольтная электроника" Resonant converter with switching frequency automatic phase tuning width-pulse adjustment method
CN109907211A (en) * 2019-03-28 2019-06-21 安徽康佳同创电器有限公司 High-voltage electrostatic field generating device and refrigerator with fresh-keeping function
RU205720U1 (en) * 2021-04-26 2021-07-30 Ильяс Юсыфович Абдулхаков Resonant voltage converter

Similar Documents

Publication Publication Date Title
US10923953B2 (en) Received wireless power regulation
US8184456B1 (en) Adaptive power converter and related circuitry
RU2427954C2 (en) Feed circuit and device containing feed circuit
US5642267A (en) Single-stage, unity power factor switching converter with voltage bidirectional switch and fast output regulation
US8723428B2 (en) LED power source with over-voltage protection
JP2021035328A (en) Insulated dc/dc converter for wide output voltage range and control method thereof
US5077652A (en) Dual feedback loop DC-to-AC converter
RU2251786C2 (en) Voltage converter and its control process
WO2017167640A1 (en) An ac/dc pfc converter using a half bridge resonant converter, and corresponding conversion method
WO1996007960A1 (en) A synchronous switching cascade connected offline pfc-pwm combination power converter controller
KR20030011337A (en) Switch-mode power supply with autonomous primary inverter
US20220376613A1 (en) Power converter
US6477062B1 (en) Power supply unit including an inverter
Cochran et al. Frequency synchronization and control for a 6.78 MHz WPT active rectifier
US20230074022A1 (en) Power converter topologies with power factor correction circuits controlled using adjustable deadtime
RU2003100565A (en) VOLTAGE CONVERTER AND METHOD OF MANAGING THEM
EP3406023B1 (en) Resonant dc-dc converter
US6590786B2 (en) System for controlling the delivery of power to DC computer components utilizing phase shift regulation
Li et al. A hard switched high frequency link converter with constant power output for photovoltaic applications
US20230071003A1 (en) Power factor correction circuits controlled using adjustable deadtime
US20230076369A1 (en) Unidirectional power converters with power factor correction circuits controlled using adjustable deadtime
CN111431424B (en) Resonant circuit
Jiang et al. Bidirectional high-frequency inductive power transfer systems based on differential load-independent class e converters
KR100359709B1 (en) Switching mode power supply
RU2187872C1 (en) Hybrid passive power corrector and its control process

Legal Events

Date Code Title Description
MM4A The patent is invalid due to non-payment of fees

Effective date: 20080109