KR940009820A - 슈퍼 스칼라 컴퓨터 아키텍춰 및 그 운영 방법 - Google Patents

슈퍼 스칼라 컴퓨터 아키텍춰 및 그 운영 방법 Download PDF

Info

Publication number
KR940009820A
KR940009820A KR1019930020072A KR930020072A KR940009820A KR 940009820 A KR940009820 A KR 940009820A KR 1019930020072 A KR1019930020072 A KR 1019930020072A KR 930020072 A KR930020072 A KR 930020072A KR 940009820 A KR940009820 A KR 940009820A
Authority
KR
South Korea
Prior art keywords
register
control word
data
address
execution
Prior art date
Application number
KR1019930020072A
Other languages
English (en)
Other versions
KR970004509B1 (ko
Inventor
카림 파래이돈
Original Assignee
윌리엄 티. 엘리스
인터내셔널 비지네스 머신즈 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 윌리엄 티. 엘리스, 인터내셔널 비지네스 머신즈 코포레이션 filed Critical 윌리엄 티. 엘리스
Publication of KR940009820A publication Critical patent/KR940009820A/ko
Application granted granted Critical
Publication of KR970004509B1 publication Critical patent/KR970004509B1/ko

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline or look ahead
    • G06F9/3836Instruction issuing, e.g. dynamic instruction scheduling or out of order instruction execution
    • G06F9/3838Dependency mechanisms, e.g. register scoreboarding
    • G06F9/384Register renaming
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F15/00Digital computers in general; Data processing equipment in general
    • G06F15/76Architectures of general purpose stored program computers
    • G06F15/78Architectures of general purpose stored program computers comprising a single central processing unit
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/30145Instruction analysis, e.g. decoding, instruction word fields
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline or look ahead
    • G06F9/3836Instruction issuing, e.g. dynamic instruction scheduling or out of order instruction execution
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline or look ahead
    • G06F9/3836Instruction issuing, e.g. dynamic instruction scheduling or out of order instruction execution
    • G06F9/3838Dependency mechanisms, e.g. register scoreboarding
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline or look ahead
    • G06F9/3854Instruction completion, e.g. retiring, committing or graduating
    • G06F9/3856Reordering of instructions, e.g. using queues or age tags
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline or look ahead
    • G06F9/3854Instruction completion, e.g. retiring, committing or graduating
    • G06F9/3858Result writeback, i.e. updating the architectural state or memory
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline or look ahead
    • G06F9/3861Recovery, e.g. branch miss-prediction, exception handling
    • G06F9/3863Recovery, e.g. branch miss-prediction, exception handling using multiple copies of the architectural state, e.g. shadow registers

Landscapes

  • Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Software Systems (AREA)
  • Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Advance Control (AREA)
  • Earth Drilling (AREA)
  • Holo Graphy (AREA)
  • Multi Processors (AREA)
  • Executing Machine-Instructions (AREA)
  • Debugging And Monitoring (AREA)
  • Lubricants (AREA)
  • Steroid Compounds (AREA)

Abstract

본 발명은 정확한 인터럽트, 재시작 및 분기 삭제에 의한 데이타 종속, 데이타 반종속 및 순차성의 보전을 관리하면서 비순서적인 명령어를 실행하기 위한 슈퍼 스칼라 컴퓨터 아키텍춰 및 그 운영 방법에 관한 것이다. 범용레지스터에 참조되는 소오스 및 종착지 어드레스를 리네임하고 재순환하는데 복수개의 레지스터와 표가 사용된다. 범용 레지스터에 있는 종착지 데이타에 대한 엑세스는 데이타와 연관된 명령어가 모두 실행될 때까지 록된다. 소오스 및 종착지 레지스터 모두를 리네이밍시키는 것에 의하여, 입력 명령의 순서와 동일하에 명령어 결과를 소거함으로써 순차성의 보전을 유지하면서 반종속성 문제를 피할 수 있다. 상기 시스템 및 방법은 복수개의 입력 명령과 복수개의 실행 유니트로 동작한다. 소오스 및 종착지 레지스터의 리네이밍에 의해 생성된 상기 제어워드는 초기의 명령과 크게 다르지 않으며, 상태와 시퀀스 정보를 프로세서 제어워드에 부가하는 것을 피할 수 있다.

Description

슈퍼 스칼라 컴퓨터 아키텍춰 및 그 운영 방법
본 내용은 요부공개 건이므로 전문내용을 수록하지 않았음
제2도는 본 발명의 아키텍춰에 있어서 레지스터와 실행 유니트의 바람직한 실시예에 대한 개략적인 블록도,
제3도는 제어워드의 형성을 묘사하는 기능도,
제4도는 본 발명에 따라 재순환하는 레지스터와 연관된 동작을 묘사하는 기능도.

Claims (13)

  1. 비순서적인 명령어를 실행하기 위한 슈퍼 스칼라 컴퓨터 아키텍춰에 있어서, 제어워드를 실행하기 위한 복수개의 실행 유니트 수단, 어드레스로 제어워드 데이타를 기억시키기 위한(for storing control word data by address)범용 레지스터, 범용 레지스터에 참조되는 리네임되어 재순환된 레지스터 어드레스(renamed and recycled register address referenced to the general purpose register)를 사용하여 이용가능한 실행 유니트 수단으로 전송하기 위한 제어워드를 형성하는 수단 및 실행 유니트 수단 내의 순서화된 제어워드의 실행에 응답하여 범용 레지스터의 어드레스를 재순환시키기 위한 수단을 구비하는 것을 특징으로 하는 슈퍼 스칼라 컴퓨터의 아기텍춰.
  2. 제1항에 있어서, 상기 범용 레지스터의 어드레스를 재순환시키는 수단은 순서에 있어서, 선행하는 제어워드가 실행되면(upon execution of control words which precede in order)레지스터 어드레스의 리네임을 갱신하는 것을 특징으로 하는 슈퍼 스칼라 컴퓨터 아키텍춰.
  3. 제1항에 있어서, 상기 범용 레지스터의 어드레스를 재순환시키는 수단을 훼치된 명령어(fetched instrctions)의 수와 일치하는 복수개의 보조표(multiple sub-tables)를 재순환시키는 것을 특징으로 하는 슈퍼 스칼라 컴퓨터 아키텍춰.
  4. 제2항에 있어서, 상기 제어워드의 형성 수단은 오피코드(opcode), 직접 리네임된 소오스 어드레스 및 충돌 벡터표로 리네임된 어드레스(collosion vector table renamed addresses)로 구성된 제어워드를 생성하는 것을 특징으로 하는 슈퍼 스칼라 컴퓨터 아키텍춰.
  5. 제3항에 있어서, 상기 제어워드의 형성 수단을 오피코드, 직접 리네임된 소오스 어드레스 및 충돌 벡터표로 리네임된 종착지 어드레스(collision vector table renamed destination addresses)로 구성된 제어워드를 생성하는 것을 특징으로 하는 슈퍼 스칼라 컴퓨터 아키텍춰.
  6. 입력 명령의 비순서적 실행을 허용하는 슈퍼 스칼라 아키텍춰 컴퓨터의 운영방법에 있어서, 하나의 리네임표(a first rename table)를 사용하여 복수개의 입력 명령의 소오스 레지스터 어드레스(source register address of multiple input instructions)를 리네임시키는 단계, 충돌 벡터표를 사용하여 복수개인 입력 명령의 종착지 레지스터 어드레스를 리네임시키는 단계, 이용가능한 실행 유니트를 사용하여 리네임된 소오스 레지스터 어드레스, 리네임된 종착지 레지스터 어드레스 및 충돌 벡터표 어드레스로 구성된 제어워드를 처리하는 단계 및 순서에 있어서, 선행하는 명령의 실행이 끝나면 어드레스를 재순환시키는 단계를 구비하는 것을 특징으로 하는 슈퍼 스칼라 아키텍춰 컴퓨터의 운영 방법.
  7. 제6항에 있어서, 상기 어드레스의 재순환 단계는 입력 명령의 순서에 대응하는 순서(in an order corresponding to the order of the input instructions)로 이루어지는 것을 특징으로 하는 슈퍼 스칼라 아키텍춰 컴퓨터의 운영 방법.
  8. 제7항에 있어서, 대응하는 실행 유니트에 의해 레지스터와 관련된 데이타가 생성될 때까지 종착지 레지스터에 있는 데이타에 대한 엑세스를 록킹(locking access to data in destination register)하는 단계를 더 구비하는 것을 특징으로 하는 슈퍼 스칼라 아키텍춰 컴퓨터의 운영방법.
  9. 제8항에 있어서, 레지스터와 관련된 데이타의 엔트리에 따라, 대응하는 종착지 레지스터에 대한 엑세스를 언록(unlocking access to the corresponding destination register)하고 충돌 벡터표의 대응하는 엔트리에 있는 종료 플래그를 세트(setting a finish flag)시키는 단계를 더 구비하는 것을 특징으로 하는 슈퍼 스칼라 아키텍춰 컴퓨터의 운영방법.
  10. 제9항에 있어서, 입력 순서로 명령이 완료되는 순서로 충돌 벡터표와 2번째 리네임 표(a second renamed table)사이의 어드레스를 재순환시키는 단계를 더 구비하는 것을 특징으로 하는 슈퍼 스칼라 아키텍춰 컴퓨터의 운영방법.
  11. 적어도 일부의 명령어가 아키텍춰된 레지스터에서 데이타에 대해 연산을 수행하는 순서환된 명령어 리스트(an ordered list of instructions)를 실행하기 위한 데이타 처리 장치에 있어서, 데이타를 기억하는 복수개의 범용 레지스터, 아키텍춰된 레지스터에 대응하며, 범용 레지스터의 어드레스를 포함하는 복수개의 리네임 레지스터, 각각의 명령어 대신에 제어워드를 제공하되, 각각의 제어워드는 자체 대응하는 리네임 레지스터 내에 포함된 범용 레지스터의 어드레스로서 특정하게 아키텍춰된 임의의 레지스터를 교체시키는 제어워드를 제공하기 위한 제어워드 수단, 상기 범용 레지스터에서 나오는 데이타상에 제어워드의 실행하기 위한 복수개의 실행 수단 및 제어워드의 실행 상태를 기억하기 위한 수단을 포함하며, 비순서적인 제어워드의 실행을 제공하면서 상기 범용 레지스터의 순서화된 명령어 데이타의 보전을 유지(maintaining ordered instruction data integrity)하는 각 실행 유니트의 제어워드 실행을 조정하기 위한 조정수단(regulation means for regulating execution of control words in each execution)을 구비하는 것을 특징으로 하는 데이타 처리 장치.
  12. 적어도 일부의 명령어가 아키텍춰된 레지스터에서 데이타에 대해 연산을 수행하는 순서화된 명령어 리스트(an ordered list of instruction)를 실행하기 위한 데이타처리장치에 있어서, 각각의 레지스터가 데이타를 수신할 수 있다는 것을 표시하는 수단을 포함하는 데이타를 기억하는 복수개의 범용 레지스터, 아키텍춰된 레지스터에 대응하며, 범용 레지스터의 어드레스를 포함하는 복수개의 리네임 레지스터, 각각의 명령어 대신에 각각의 제어워드를 제공하되, 각각의 제어워드가 자체 대응하는 리네임 레지스터 내에 포함된 범용 레지스터의 어드레스로서 특정하게 아키텍춰된 임의의 레지스터를 교체시키는 제어워드를 제공하기 위한 제어워드 수단, 상기 범용 레지스터에서 나오는 데이타상에 제어워드를 실행하기 위한 복수개의 실행수단 및 비순서적인 제어워드의 실행을 제공하면서, 상기 범용 레지스터의 순서화된 명령어 데이타의 보전을 유지하는 각 실행 유니트에서의 제어워드 실행을 조정하는 조정수단을 구비하는 것을 특징으로 하는 데이타 처리 장치.
  13. 제12항에 있어서, 상기 레지스터 표시수단은 레지스터가 데이타를 수신할 때까지 레지스터에 대한 엑세스를 록하는 수단을 포함하는 것을 특징으로 하는 데이타 처리 장치.
    ※ 참고사항 : 최초출원 내용에 의하여 공개하는 것임.
KR1019930020072A 1992-10-30 1993-09-28 슈퍼 스칼라 컴퓨터 아키텍춰 및 그 운영 방법 KR970004509B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US96969592A 1992-10-30 1992-10-30
US07/969,695 1992-10-30

Publications (2)

Publication Number Publication Date
KR940009820A true KR940009820A (ko) 1994-05-24
KR970004509B1 KR970004509B1 (ko) 1997-03-28

Family

ID=25515870

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019930020072A KR970004509B1 (ko) 1992-10-30 1993-09-28 슈퍼 스칼라 컴퓨터 아키텍춰 및 그 운영 방법

Country Status (9)

Country Link
US (1) US5481683A (ko)
EP (1) EP0600611B1 (ko)
JP (1) JP2698033B2 (ko)
KR (1) KR970004509B1 (ko)
CN (1) CN1053508C (ko)
AT (1) ATE189071T1 (ko)
CA (1) CA2098414C (ko)
DE (1) DE69327637T2 (ko)
TW (1) TW306987B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100343601B1 (ko) * 1998-02-17 2002-07-11 포만 제프리 엘 무순서 레지스터 동작용 장치를 구비하는 데이터 처리 시스템 및그 방법

Families Citing this family (62)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06242948A (ja) * 1993-02-16 1994-09-02 Fujitsu Ltd パイプライン処理計算機
US5724536A (en) * 1994-01-04 1998-03-03 Intel Corporation Method and apparatus for blocking execution of and storing load operations during their execution
US6378062B1 (en) * 1994-01-04 2002-04-23 Intel Corporation Method and apparatus for performing a store operation
US5537559A (en) * 1994-02-08 1996-07-16 Meridian Semiconductor, Inc. Exception handling circuit and method
US6205538B1 (en) * 1994-08-24 2001-03-20 Sun Microsystems, Inc. Instruction result labeling in a counterflow pipeline processor
US5625789A (en) * 1994-10-24 1997-04-29 International Business Machines Corporation Apparatus for source operand dependendency analyses register renaming and rapid pipeline recovery in a microprocessor that issues and executes multiple instructions out-of-order in a single cycle
US5675759A (en) * 1995-03-03 1997-10-07 Shebanow; Michael C. Method and apparatus for register management using issue sequence prior physical register and register association validity information
US5974240A (en) * 1995-06-07 1999-10-26 International Business Machines Corporation Method and system for buffering condition code data in a data processing system having out-of-order and speculative instruction execution
GB2308470B (en) * 1995-12-22 2000-02-16 Nokia Mobile Phones Ltd Program memory scheme for processors
US5761524A (en) * 1996-03-15 1998-06-02 Renditon, Inc. Method and apparatus for performing and operation multiple times in response to a single instruction
US5765016A (en) * 1996-09-12 1998-06-09 Advanced Micro Devices, Inc. Reorder buffer configured to store both speculative and committed register states
US5802338A (en) * 1996-10-01 1998-09-01 International Business Machines Corporation Method of self-parallelizing and self-parallelizing multiprocessor using the method
US5872949A (en) * 1996-11-13 1999-02-16 International Business Machines Corp. Apparatus and method for managing data flow dependencies arising from out-of-order execution, by an execution unit, of an instruction series input from an instruction source
US5889974A (en) * 1996-12-30 1999-03-30 Intel Corporation Method and apparatus for the detection of reordering hazards
US5870582A (en) * 1997-03-31 1999-02-09 International Business Machines Corporation Method and apparatus for completion of non-interruptible instructions before the instruction is dispatched
US5913048A (en) * 1997-03-31 1999-06-15 International Business Machines Corporation Dispatching instructions in a processor supporting out-of-order execution
US6098167A (en) * 1997-03-31 2000-08-01 International Business Machines Corporation Apparatus and method for fast unified interrupt recovery and branch recovery in processors supporting out-of-order execution
US5805849A (en) * 1997-03-31 1998-09-08 International Business Machines Corporation Data processing system and method for using an unique identifier to maintain an age relationship between executing instructions
US5887161A (en) * 1997-03-31 1999-03-23 International Business Machines Corporation Issuing instructions in a processor supporting out-of-order execution
US5987598A (en) * 1997-07-07 1999-11-16 International Business Machines Corporation Method and system for tracking instruction progress within a data processing system
US6128728A (en) 1997-08-01 2000-10-03 Micron Technology, Inc. Virtual shadow registers and virtual register windows
US6016526A (en) * 1997-09-19 2000-01-18 International Business Machines Corporation Method and apparatus for transferring data between buses having differing ordering policies via the use of autonomous units
US5938753A (en) * 1997-09-19 1999-08-17 International Business Machines Corporation Method and apparatus for controlling autonomous units transferring data between buses having different ordering policies
US5901299A (en) * 1997-09-19 1999-05-04 International Business Machines Corporation Method and apparatus for transferring data between buses having differing ordering policies
US5961636A (en) * 1997-09-22 1999-10-05 International Business Machines Corporation Checkpoint table for selective instruction flushing in a speculative execution unit
US6065110A (en) * 1998-02-09 2000-05-16 International Business Machines Corporation Method and apparatus for loading an instruction buffer of a processor capable of out-of-order instruction issue
US6067644A (en) * 1998-04-15 2000-05-23 International Business Machines Corporation System and method monitoring instruction progress within a processor
US6212619B1 (en) 1998-05-11 2001-04-03 International Business Machines Corporation System and method for high-speed register renaming by counting
US6134645A (en) * 1998-06-01 2000-10-17 International Business Machines Corporation Instruction completion logic distributed among execution units for improving completion efficiency
US6324640B1 (en) * 1998-06-30 2001-11-27 International Business Machines Corporation System and method for dispatching groups of instructions using pipelined register renaming
US6243786B1 (en) * 1998-12-23 2001-06-05 Industrial Technology Research Institute Apparatus and method for generating an interrupt prohibited zone in pipelined data processors
EP1236097A4 (en) * 1999-09-01 2006-08-02 Intel Corp BRANCH COMMAND TO THE PROCESSOR
US7191309B1 (en) 1999-09-01 2007-03-13 Intel Corporation Double shift instruction for micro engine used in multithreaded parallel processor architecture
WO2001016702A1 (en) 1999-09-01 2001-03-08 Intel Corporation Register set used in multithreaded parallel processor architecture
US7681018B2 (en) * 2000-08-31 2010-03-16 Intel Corporation Method and apparatus for providing large register address space while maximizing cycletime performance for a multi-threaded register file set
US20020053017A1 (en) * 2000-09-01 2002-05-02 Adiletta Matthew J. Register instructions for a multithreaded processor
JP3817436B2 (ja) 2000-09-28 2006-09-06 株式会社東芝 プロセッサおよびリネーミング装置
US6671794B1 (en) * 2000-10-02 2003-12-30 International Business Machines Corporation Address generation interlock detection
US7020871B2 (en) * 2000-12-21 2006-03-28 Intel Corporation Breakpoint method for parallel hardware threads in multithreaded processor
US7191315B2 (en) 2001-06-04 2007-03-13 Sun Microsystems, Inc. Method and system for tracking and recycling physical register assignment
US7225281B2 (en) * 2001-08-27 2007-05-29 Intel Corporation Multiprocessor infrastructure for providing flexible bandwidth allocation via multiple instantiations of separate data buses, control buses and support mechanisms
US6868476B2 (en) 2001-08-27 2005-03-15 Intel Corporation Software controlled content addressable memory in a general purpose execution datapath
US7216204B2 (en) 2001-08-27 2007-05-08 Intel Corporation Mechanism for providing early coherency detection to enable high performance memory updates in a latency sensitive multithreaded environment
US7487505B2 (en) 2001-08-27 2009-02-03 Intel Corporation Multithreaded microprocessor with register allocation based on number of active threads
US7610451B2 (en) * 2002-01-25 2009-10-27 Intel Corporation Data transfer mechanism using unidirectional pull bus and push bus
US7437724B2 (en) * 2002-04-03 2008-10-14 Intel Corporation Registers for data transfers
US7337275B2 (en) * 2002-08-13 2008-02-26 Intel Corporation Free list and ring data structure management
US6941438B2 (en) 2003-01-10 2005-09-06 Intel Corporation Memory interleaving
US7272701B2 (en) * 2003-10-22 2007-09-18 Intel Corporation Method and apparatus for limiting ports in a register alias table having high-bandwidth and low-bandwidth structures
US7478276B2 (en) * 2005-02-10 2009-01-13 International Business Machines Corporation Method for checkpointing instruction groups with out-of-order floating point instructions in a multi-threaded processor
US7409589B2 (en) * 2005-05-27 2008-08-05 International Business Machines Corporation Method and apparatus for reducing number of cycles required to checkpoint instructions in a multi-threaded processor
US7873625B2 (en) * 2006-09-18 2011-01-18 International Business Machines Corporation File indexing framework and symbolic name maintenance framework
US20080242560A1 (en) * 2006-11-21 2008-10-02 Gunderson Kevin L Methods for generating amplified nucleic acid arrays
US8677050B2 (en) * 2010-11-12 2014-03-18 International Business Machines Corporation System, method and computer program product for extending a cache using processor registers
US9072325B2 (en) 2012-08-30 2015-07-07 Shelby Group International, Inc. Glove finger attachment system
US9588770B2 (en) 2013-03-15 2017-03-07 Samsung Electronics Co., Ltd. Dynamic rename based register reconfiguration of a vector register file
US9510628B2 (en) 2013-03-15 2016-12-06 Shelby Group International, Inc. Glove thermal protection system
CN104598201A (zh) * 2013-10-31 2015-05-06 国际商业机器公司 指令性能分析的方法和设备
US10216523B2 (en) 2015-07-17 2019-02-26 General Electric Company Systems and methods for implementing control logic
CN107329936A (zh) * 2016-04-29 2017-11-07 北京中科寒武纪科技有限公司 一种用于执行神经网络运算以及矩阵/向量运算的装置和方法
US10694795B2 (en) 2017-01-10 2020-06-30 Shelby Group International, Inc. Glove construction
US11966328B2 (en) * 2020-12-18 2024-04-23 Advanced Micro Devices, Inc. Near-memory determination of registers

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3346851A (en) * 1964-07-08 1967-10-10 Control Data Corp Simultaneous multiprocessing computer system
US4574349A (en) * 1981-03-30 1986-03-04 International Business Machines Corp. Apparatus for addressing a larger number of instruction addressable central processor registers than can be identified by a program instruction
US4807115A (en) * 1983-10-07 1989-02-21 Cornell Research Foundation, Inc. Instruction issuing mechanism for processors with multiple functional units
US4847755A (en) * 1985-10-31 1989-07-11 Mcc Development, Ltd. Parallel processing method and apparatus for increasing processing throughout by parallel processing low level instructions having natural concurrencies
US4903196A (en) * 1986-05-02 1990-02-20 International Business Machines Corporation Method and apparatus for guaranteeing the logical integrity of data in the general purpose registers of a complex multi-execution unit uniprocessor
US4992938A (en) * 1987-07-01 1991-02-12 International Business Machines Corporation Instruction control mechanism for a computing system with register renaming, map table and queues indicating available registers
US5134561A (en) * 1987-07-20 1992-07-28 International Business Machines Corporation Computer system with logic for writing instruction identifying data into array control lists for precise post-branch recoveries
US4901233A (en) * 1987-07-20 1990-02-13 International Business Machines Corporation Computer system with logic for writing instruction identifying data into array control lists for precise post-branch recoveries
US4972470A (en) * 1987-08-06 1990-11-20 Steven Farago Programmable connector
EP0312764A3 (en) * 1987-10-19 1991-04-10 International Business Machines Corporation A data processor having multiple execution units for processing plural classes of instructions in parallel
US5155817A (en) * 1988-04-01 1992-10-13 Kabushiki Kaisha Toshiba Microprocessor
US5487156A (en) * 1989-12-15 1996-01-23 Popescu; Valeri Processor architecture having independently fetching issuing and updating operations of instructions which are sequentially assigned and stored in order fetched
US5280615A (en) * 1990-03-23 1994-01-18 Unisys Corporation Out of order job processing method and apparatus
US5197132A (en) * 1990-06-29 1993-03-23 Digital Equipment Corporation Register mapping system having a log containing sequential listing of registers that were changed in preceding cycles for precise post-branch recovery
JPH0480824A (ja) * 1990-07-23 1992-03-13 Nec Corp データ処理装置
US5261071A (en) * 1991-03-21 1993-11-09 Control Data System, Inc. Dual pipe cache memory with out-of-order issue capability
US5355457A (en) * 1991-05-21 1994-10-11 Motorola, Inc. Data processor for performing simultaneous instruction retirement and backtracking
US5386562A (en) * 1992-05-13 1995-01-31 Mips Computer Systems, Inc. Circular scheduling method and apparatus for executing computer programs by moving independent instructions out of a loop

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100343601B1 (ko) * 1998-02-17 2002-07-11 포만 제프리 엘 무순서 레지스터 동작용 장치를 구비하는 데이터 처리 시스템 및그 방법

Also Published As

Publication number Publication date
CA2098414C (en) 1997-05-13
EP0600611A2 (en) 1994-06-08
US5481683A (en) 1996-01-02
EP0600611A3 (en) 1995-01-11
ATE189071T1 (de) 2000-02-15
TW306987B (ko) 1997-06-01
CN1053508C (zh) 2000-06-14
DE69327637T2 (de) 2000-07-06
DE69327637D1 (de) 2000-02-24
CA2098414A1 (en) 1994-05-01
CN1105138A (zh) 1995-07-12
JPH06214784A (ja) 1994-08-05
JP2698033B2 (ja) 1998-01-19
EP0600611B1 (en) 2000-01-19
KR970004509B1 (ko) 1997-03-28

Similar Documents

Publication Publication Date Title
KR940009820A (ko) 슈퍼 스칼라 컴퓨터 아키텍춰 및 그 운영 방법
JP3004013B2 (ja) サブル―チン呼び出しおよび復帰動作を実行するための装置および方法
US4466061A (en) Concurrent processing elements for using dependency free code
US6360309B1 (en) System and method for assigning tags to control instruction processing in a superscalar processor
EP0365188B1 (en) Central processor condition code method and apparatus
US5150470A (en) Data processing system with instruction queue having tags indicating outstanding data status
US5692167A (en) Method for verifying the correct processing of pipelined instructions including branch instructions and self-modifying code in a microprocessor
EP0677188B1 (en) System and method for assigning tags to instructions to control instruction execution
KR100284788B1 (ko) 데이터 처리 시스템에서 에뮬레이션 동안의 브랜치 처리 방법및 시스템
EP0096576A2 (en) Mechanism for creating dependency free code for multiple processing elements
EP0762270A2 (en) Microprocessor with load/store operation to/from multiple registers
JPH11212788A (ja) プロセッサのデータ供給装置
EP0727735A2 (en) Method and apparatus for coordinating the use of physical registers in a microprocessor
KR19990029287A (ko) 데이터 처리 시스템 에뮬레이션 모드에서의 간접 무조건 브랜치
WO1998000769A2 (en) A method and apparatus for implementing check instructions that allow for the reuse of memory conflict information if no memory conflict occurs
US20020069346A1 (en) Method for mapping instructions using a set of valid and invalid logical to physical register assignments indicated by bits of a valid vector together with a logical register list
US3737864A (en) Method and apparatus for bypassing display register update during procedure entry
EP3260978A1 (en) System and method of merging partial write result during retire phase
JPH09152973A (ja) カウント/リンク・レジスタ変更命令の投機実行をサポートする方法及び装置
KR960029964A (ko) 분산 완전 제어 시스템 및 디스패치 장치
US5841999A (en) Information handling system having a register remap structure using a content addressable table
US6122728A (en) Technique for ordering internal processor register accesses
US4456958A (en) System and method of renaming data items for dependency free code
KR950012089B1 (ko) 마이크로프로세서
US6185674B1 (en) Method and apparatus for reconstructing the address of the next instruction to be completed in a pipelined processor

Legal Events

Date Code Title Description
A201 Request for examination
G160 Decision to publish patent application
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20020509

Year of fee payment: 6

LAPS Lapse due to unpaid annual fee