KR20240073729A - Integrated circuit including standard cells and method of designing the same - Google Patents

Integrated circuit including standard cells and method of designing the same Download PDF

Info

Publication number
KR20240073729A
KR20240073729A KR1020230033463A KR20230033463A KR20240073729A KR 20240073729 A KR20240073729 A KR 20240073729A KR 1020230033463 A KR1020230033463 A KR 1020230033463A KR 20230033463 A KR20230033463 A KR 20230033463A KR 20240073729 A KR20240073729 A KR 20240073729A
Authority
KR
South Korea
Prior art keywords
horizontal direction
contacts
extending
source
cell
Prior art date
Application number
KR1020230033463A
Other languages
Korean (ko)
Inventor
유현규
김우태
김주연
도정호
유지수
이승영
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to US18/510,203 priority Critical patent/US20240169137A1/en
Priority to CN202311527229.6A priority patent/CN118057611A/en
Priority to EP23210454.7A priority patent/EP4372798A2/en
Publication of KR20240073729A publication Critical patent/KR20240073729A/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/392Floor-planning or layout, e.g. partitioning or placement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5286Arrangements of power or ground buses

Abstract

집적 회로는, 제1 수평 방향으로 연장되고 상호 인접한 제1 행 및 제2 행에 각각 배치된 제1 셀 및 제2 셀, 파워 라인 층에서 제1 행 및 제2 행 사이 제1 경계를 따라 제1 수평 방향으로 연장되고, 제1 공급 전압이 인가되도록 구성된 제1 파워 라인, 제1 수평 방향과 교차하는 제2 수평 방향으로 제1 셀로부터 제2 셀로 연장되는 제1 컨택, 및 수직 방향으로 제1 컨택의 하면으로부터 제1 파워 라인의 상면으로 연장되는 제1 하향(downward) 비아를 포함할 수 있다.The integrated circuit includes first cells and second cells extending in a first horizontal direction and disposed in mutually adjacent first rows and second rows, respectively, and a first cell along a first boundary between the first row and the second row in the power line layer. 1 a first power line extending in the horizontal direction and configured to apply a first supply voltage, a first contact extending from the first cell to the second cell in a second horizontal direction intersecting the first horizontal direction, and a first contact in the vertical direction 1 It may include a first downward via extending from the lower surface of the contact to the upper surface of the first power line.

Figure P1020230033463
Figure P1020230033463

Description

표준 셀을 포함하는 집적 회로 및 이를 설계하는 방법{INTEGRATED CIRCUIT INCLUDING STANDARD CELLS AND METHOD OF DESIGNING THE SAME}Integrated circuit including standard cells and method of designing the same {INTEGRATED CIRCUIT INCLUDING STANDARD CELLS AND METHOD OF DESIGNING THE SAME}

본 개시의 기술적 사상은 집적 회로에 관한 것으로서, 자세하게는 표준 셀을 포함하는 집적 회로 및 이를 설계하는 방법에 관한 것이다.The technical idea of the present disclosure relates to integrated circuits, and more specifically, to an integrated circuit including a standard cell and a method of designing the same.

디지털 신호를 처리하는 집적 회로는 표준 셀들을 포함할 수 있고, 표준 셀들 각각은 고유한 기능 및 구조를 가질 수 있다. 반도체 공정의 발전에 기인하여 표준 셀의 크기가 감소할 수 있고, 이에 따라 표준 셀들에 전력을 공급하기 위한 라우팅이 용이하지 아니할 수 있다.An integrated circuit that processes digital signals may include standard cells, and each of the standard cells may have unique functions and structures. Due to advancements in semiconductor processes, the size of standard cells may decrease, and accordingly, routing to supply power to standard cells may not be easy.

본 개시의 기술적 사상은, 감소된 면적 및 향상된 전력 전달 네트워크를 제공하는 집적 회로 및 이를 설계하는 방법을 제공한다.The technical idea of the present disclosure provides an integrated circuit that provides a reduced area and an improved power delivery network and a method of designing the same.

본 개시의 기술적 사상의 일측면에 따른 집적 회로는, 제1 수평 방향으로 연장되고 상호 인접한 제1 행 및 제2 행에 각각 배치된 제1 셀 및 제2 셀, 파워 라인 층에서 제1 행 및 제2 행 사이 제1 경계를 따라 제1 수평 방향으로 연장되고, 제1 공급 전압이 인가되도록 구성된 제1 파워 라인, 제1 수평 방향과 교차하는 제2 수평 방향으로 제1 셀로부터 제2 셀로 연장되는 제1 컨택, 및 수직 방향으로 제1 컨택의 하면으로부터 제1 파워 라인의 상면으로 연장되는 제1 하향(downward) 비아를 포함할 수 있다.An integrated circuit according to one aspect of the technical idea of the present disclosure includes first cells and second cells extending in a first horizontal direction and arranged respectively in first and second rows adjacent to each other, a first row and a second cell in a power line layer. A first power line extending in a first horizontal direction along a first border between the second rows and configured to be applied with a first supply voltage, extending from the first cell to the second cell in a second horizontal direction intersecting the first horizontal direction. It may include a first contact, and a first downward via extending from the lower surface of the first contact to the upper surface of the first power line in a vertical direction.

본 개시의 기술적 사상의 일측면에 따른 집적 회로는, 파워 라인 층에서 제1 수평 방향으로 연장되고, 제1 공급 전압이 인가되도록 구성된 제1 파워 라인, 각각이, 제1 수평 방향과 교차하는 제2 수평 방향으로 연장되고 제2 수평 방향으로 인접한 소스/드레인 영역들에 연결된, 복수의 제1 컨택들, 및 수직 방향으로 복수의 제1 컨택들의 하면들로부터 제1 파워 라인의 상면으로 각각 연장되는 복수의 제1 하향(downward) 비아들을 포함할 수 있고, 복수의 제1 컨택들은, 제1 수평 방향으로 동일한 간격으로 배치될 수 있다.An integrated circuit according to one aspect of the technical idea of the present disclosure includes a first power line extending in a first horizontal direction from a power line layer and configured to apply a first supply voltage, each of which intersects the first horizontal direction. 2 a plurality of first contacts extending in a horizontal direction and connected to adjacent source/drain regions in a second horizontal direction, and each extending in a vertical direction from the lower surfaces of the plurality of first contacts to the upper surface of the first power line It may include a plurality of first downward vias, and the plurality of first contacts may be arranged at equal intervals in the first horizontal direction.

본 개시의 기술적 사상의 일측면에 따른 집적 회로의 제조를 위한 방법은, 복수의 표준 셀들을 포함하는 집적 회로를 정의하는 제1 입력 데이터를 획득하는 단계, 파워 라인 층에서 제1 수평 방향으로 연장되는 복수의 파워 라인들을 배치하는 단계, 각각이, 제1 수평 방향과 교차하는 제2 수평 방향으로 연장되고 복수의 파워 라인들 중 하나와 중첩되는, 복수의 가상 컨택들을 배치하는 단계, 복수의 가상 컨택들에 기초하여, 복수의 표준 셀들을 배치하는 단계, 및 배치된 복수의 표준 셀들을 포함하는 레이아웃을 정의하는 출력 데이터를 생성하는 단계를 포함할 수 있고, 복수의 표준 셀들을 배치하는 단계는, 트랜지스터의 소스 영역이 가상 컨택과 중첩되도록 표준 셀을 배치하는 단계를 포함할 수 있다.A method for manufacturing an integrated circuit according to an aspect of the technical idea of the present disclosure includes obtaining first input data defining an integrated circuit including a plurality of standard cells, extending in a first horizontal direction in a power line layer. arranging a plurality of power lines, each of which extends in a second horizontal direction intersecting the first horizontal direction and overlaps one of the plurality of power lines, arranging a plurality of virtual contacts, each of which extends in a second horizontal direction intersecting the first horizontal direction and overlaps one of the plurality of power lines, Based on the contacts, the step may include placing a plurality of standard cells, and generating output data defining a layout including the placed plurality of standard cells, wherein the step of placing the plurality of standard cells includes: , may include arranging the standard cell so that the source region of the transistor overlaps the virtual contact.

본 개시의 예시적 실시예에 따른 집적 회로 및 방법에 의하면, 후면 파워 전달 네트워크를 포함하는 구조의 면적이 감소할 수 있고, 이에 따라 집적 회로는 감소된 면적 및/또는 높은 집적도를 가질 수 있다.According to integrated circuits and methods according to example embodiments of the present disclosure, the area of the structure including the backside power delivery network may be reduced, and thus the integrated circuit may have a reduced area and/or a higher degree of integration.

또한, 본 개시의 예시적 실시예에 다른 집적 회로 및 방법에 의하면, 소자들에 대한 전력 공급이 강화될 수 있고, 이에 따라 집적 회로의 성능 및 신뢰도가 증대될 수 있다.Additionally, according to the integrated circuit and method according to the exemplary embodiment of the present disclosure, power supply to devices can be strengthened, and thus the performance and reliability of the integrated circuit can be increased.

본 개시의 예시적 실시예들에서 얻을 수 있는 효과는 이상에서 언급한 효과들로 제한되지 아니하며, 언급되지 아니한 다른 효과들은 이하의 기재로부터 본 개시의 예시적 실시예들이 속하는 기술분야에서 통상의 지식을 가진 자에게 명확하게 도출되고 이해될 수 있다. 즉, 본 개시의 예시적 실시예들을 실시함에 따른 의도하지 아니한 효과들 역시 본 개시의 예시적 실시예들로부터 당해 기술분야의 통상의 지식을 가진 자에 의해 도출될 수 있다.The effects that can be obtained from the exemplary embodiments of the present disclosure are not limited to the effects mentioned above, and other effects not mentioned are common knowledge in the technical field to which the exemplary embodiments of the present disclosure belong from the following description. It can be clearly derived and understood by those who have it. That is, unintended effects resulting from implementing the exemplary embodiments of the present disclosure may also be derived by those skilled in the art from the exemplary embodiments of the present disclosure.

도 1a 및 도 1b는 본 개시의 예시적 실시예들에 따른 집적 회로의 레이아웃들을 나타내는 도면들이다.
도 2a 내지 도 2d는 본 개시의 예시적 실시예들에 따른 소자의 예시들을 나타내는 도면들이다.
도 3은 본 개시의 예시적 실시예에 따른 집적 회로의 레이아웃을 나타내는 평면도이다.
도 4a 및 도 4b는 본 개시의 예시적 실시예들에 따른 집적 회로의 단면의 예시들을 나타내는 단면도들이다.
도 5a 및 도 5b는 본 개시의 예시적 실시예들에 따른 집적 회로의 레이아웃들을 나타내는 도면들이다.
도 6a 및 도 6b는 본 개시의 예시적 실시예들에 따른 집적 회로의 레이아웃들을 나타내는 도면들이다.
도 7a 및 도 7b는 본 개시의 예시적 실시예들에 따른 집적 회로의 단면의 예시들을 나타내는 단면도들이다.
도 8은 본 개시의 예시적 실시예에 따라 집적 회로를 제조하기 위한 방법을 나타내는 순서도이다.
도 9는 본 개시의 예시적 실시예에 따라 집적 회로를 제조하기 위한 방법을 나타내는 순서도이다.
도 10은 본 개시의 예시적 실시예에 따라 집적 회로를 제조하기 위한 방법을 나타내는 순서도이다.
도 11은 본 개시의 예시적 실시예에 따라 집적 회로를 제조하기 위한 방법을 나타내는 순서도이다.
도 12는 본 개시의 예시적 실시예에 따른 집적 회로의 레이아웃을 나타내는 도면이다.
도 13은 본 개시의 예시적 실시예에 따른 집적 회로의 레이아웃을 나타내는 도면이다.
도 14는 본 개시의 예시적 실시예에 따른 집적 회로의 레이아웃을 나타내는 도면이다.
도 15는 본 개시의 예시적 실시예에 따라 집적 회로를 제조하기 위한 방법을 나타내는 순서도 및 레이아웃의 예시들을 나타내는 도면이다.
도 16은 본 개시의 예시적 실시예에 따른 시스템-온-칩을 나타내는 블록도이다.
도 17은 본 개시의 예시적 실시예에 따른 프로그램을 저장하는 메모리를 포함하는 컴퓨팅 시스템을 나타내는 블록도이다.
1A and 1B are diagrams showing layouts of integrated circuits according to example embodiments of the present disclosure.
2A to 2D are diagrams showing examples of devices according to example embodiments of the present disclosure.
Figure 3 is a plan view showing the layout of an integrated circuit according to an exemplary embodiment of the present disclosure.
4A and 4B are cross-sectional views showing examples of cross-sections of integrated circuits according to example embodiments of the present disclosure.
5A and 5B are diagrams showing layouts of integrated circuits according to example embodiments of the present disclosure.
6A and 6B are diagrams showing layouts of integrated circuits according to example embodiments of the present disclosure.
7A and 7B are cross-sectional views showing examples of cross-sections of integrated circuits according to example embodiments of the present disclosure.
8 is a flow chart illustrating a method for manufacturing an integrated circuit according to an example embodiment of the present disclosure.
9 is a flow chart illustrating a method for manufacturing an integrated circuit according to an example embodiment of the present disclosure.
Figure 10 is a flowchart illustrating a method for manufacturing an integrated circuit according to an example embodiment of the present disclosure.
11 is a flow chart illustrating a method for manufacturing an integrated circuit according to an exemplary embodiment of the present disclosure.
Figure 12 is a diagram showing the layout of an integrated circuit according to an exemplary embodiment of the present disclosure.
Figure 13 is a diagram showing the layout of an integrated circuit according to an exemplary embodiment of the present disclosure.
Figure 14 is a diagram showing the layout of an integrated circuit according to an exemplary embodiment of the present disclosure.
15 is a diagram illustrating examples of a flowchart and layout illustrating a method for manufacturing an integrated circuit according to an exemplary embodiment of the present disclosure.
Figure 16 is a block diagram showing a system-on-chip according to an exemplary embodiment of the present disclosure.
Figure 17 is a block diagram showing a computing system including a memory for storing a program according to an exemplary embodiment of the present disclosure.

도 1a 및 도 1b는 본 개시의 예시적 실시예들에 따른 집적 회로의 레이아웃들을 나타내는 도면들이다. 도 1a 및 도 1b 각각은 집적 회로의 평면도 및 Y1-Y1' 선을 따라 집적 회로를 자른 단면도를 함께 나타낸다. 이하에서 도 1a 및 도 1b에 대한 설명 중 상호 중복되는 내용은 생략될 것이다.1A and 1B are diagrams showing layouts of integrated circuits according to example embodiments of the present disclosure. 1A and 1B each show a plan view of the integrated circuit and a cross-sectional view of the integrated circuit taken along the line Y1-Y1'. Hereinafter, overlapping content in the description of FIGS. 1A and 1B will be omitted.

본 명세서에서, X축 방향 및 Y축 방향은 제1 방향(또는 제1 수평 방향) 및 제2 방향(또는 제2 수평 방향)으로 각각 지칭될 수 있고, Z축 방향은 수직 방향 제3 방향으로 지칭될 수 있다. X축 및 Y축으로 이루어진 평면은 수평면으로 지칭될 수 있고, 다른 구성요소보다 상대적으로 +Z방향으로 배치된 구성요소는 다른 구성요소 위에 있는 것으로 지칭될 수 있으며, 다른 구성요소보다 상대적으로 -Z방향으로 배치된 구성요소는 다른 구성요소 아래에 있는 것으로 지칭될 수 있다. 또한, 구성요소의 면적은 수평면과 평행한 면에서 구성요소가 차지하는 크기를 지칭할 수 있고, 구성요소의 폭은 구성요소가 연장되는 방향과 직교하는 방향의 길이를 지칭할 수 있다. +Z방향으로 노출된 표면은 상면(top surface)으로 지칭될 수 있고, -Z방향으로 노출된 표면은 하면(bottom surface)으로 지칭될 수 있으며, ±X방향 또는 ±Y방향으로 노출된 표면은 측면으로 지칭될 수 있다. 배선층의 패턴과 같이 전도성 물질로 구성되는 패턴은 전도성 패턴으로 지칭될 수 있고, 단순하게 패턴으로 지칭될 수도 있다. 또한, 일방향으로 연장되는 패턴은 라인으로 지칭될 수 있다.In this specification, the can be referred to. A plane consisting of the Orientally placed components may be referred to as being below other components. Additionally, the area of a component may refer to the size occupied by the component in a plane parallel to the horizontal plane, and the width of the component may refer to the length in a direction perpendicular to the direction in which the component extends. The surface exposed in the +Z direction may be referred to as the top surface, the surface exposed in the -Z direction may be referred to as the bottom surface, and the surface exposed in the ±X or ±Y direction may be referred to as the top surface. It can be referred to as a side. A pattern made of a conductive material, such as a pattern of a wiring layer, may be referred to as a conductive pattern, or may simply be referred to as a pattern. Additionally, a pattern extending in one direction may be referred to as a line.

본 명세서의 도면들에서, 도해의 편의상 일부 층들만이 도시될 수 있으며, 상위 패턴 및 하위 패턴을 연결하는 비아(Via)는 상위 패턴의 아래에 위치함에도 불구하고 이해를 위해 표시될 수 있다. 또한, 도해의 편의상 게이트 전극들은 Y축 방향으로 연속적으로 연장되는 것으로 도시되나, 게이트 전극들 각각이, 예컨대 게이트 컷(cut)에 의해서 2이상의 게이트 전극들로 분할될 수 있는 점이 유의된다.In the drawings of this specification, only some layers may be shown for convenience of illustration, and a via connecting an upper pattern and a lower pattern may be displayed for understanding even though it is located below the upper pattern. Additionally, for convenience of illustration, the gate electrodes are shown as continuously extending in the Y-axis direction, but it is important to note that each of the gate electrodes may be divided into two or more gate electrodes, for example, by a gate cut.

집적 회로는 소자, 예컨대 트랜지스터에 양의 공급 전압 또는 음의 공급 전압을 제공하는 파워 라인을 포함할 수 있다. 예를 들면, 도 1a에 도시된 바와 같이, 제1 파워 라인(PL11)은 제1 PFET(p-channel field effect transistor) 영역(P1) 및 제2 PFET 영역(P2)에 형성된 PFET들에 양의 공급 전압을 제공할 수 있고, X축 방향으로 연장될 수 있다. 또한, 제2 파워 라인(PL12)은 제1 NFET(n-channel field effect transistor) 영역(N1) 및 제2 NFET 영역(N2)에 형성된 NFET들에 음의 공급 전압을 제공할 수 있고, X축 방향으로 연장될 수 있다. 본 명세서에서, 파워 라인이 형성된 층은 파워 라인 층으로 지칭될 수 있다. 파워 라인은 임의의 전도성 물질로 구성될 수 있고, 도면들을 참조하여 후술되는 바와 같이 표준 셀(standard cell)에 전력을 공급하기 위하여 사용되는 경우 후면 파워 레일(backside power rail; BSPR)로 지칭될 수 있다. 도 1a에 도시된 바와 같이, 제1 파워 라인(PL1) 및 제2 파워 라인(PL2) 사이에 후면 층간 절연체(backside interlayer dielectric; BILD)가 배치될 수 있다.The integrated circuit may include a power line that provides a positive or negative supply voltage to the device, such as a transistor. For example, as shown in FIG. 1A, the first power line PL11 has a positive effect on the PFETs formed in the first p-channel field effect transistor (PFET) region P1 and the second PFET region P2. It can provide a supply voltage and can extend in the X-axis direction. Additionally, the second power line PL12 may provide a negative supply voltage to NFETs formed in the first n-channel field effect transistor (NFET) region N1 and the second NFET region N2, and the X-axis can be extended in any direction. In this specification, the layer on which the power line is formed may be referred to as a power line layer. The power line may be composed of any conductive material and may be referred to as a backside power rail (BSPR) when used to supply power to a standard cell, as described below with reference to the drawings. there is. As shown in FIG. 1A, a backside interlayer dielectric (BILD) may be disposed between the first power line PL1 and the second power line PL2.

도 1a를 참조하면, 집적 회로(10a)는 X축 방향으로 연장되는 제1 PFET 영역(P1), 제2 PFET 영역(P2), 제1 NFET 영역(N1) 및 제2 NFET 영역(N2)을 포함할 수 있고, Y축 방향으로 연장되는 게이트 전극들을 포함할 수 있다. 게이트 전극의 양측에 소스/드레인들이 형성될 수 있고, 소스/드레인 상에 컨택이 형성될 수 있다. 게이트 전극의 아래에서 소스/드레인들 사이에 채널이 형성될 수 있고, 채널의 예시들이 도 2a 내지 도 2d를 참조하여 후술될 것이다.Referring to FIG. 1A, the integrated circuit 10a includes a first PFET region (P1), a second PFET region (P2), a first NFET region (N1), and a second NFET region (N2) extending in the X-axis direction. It may include gate electrodes extending in the Y-axis direction. Sources/drains may be formed on both sides of the gate electrode, and contacts may be formed on the source/drain. A channel may be formed between the source/drain under the gate electrode, and examples of the channel will be described later with reference to FIGS. 2A to 2D.

일부 실시예들에서, 집적 회로는 트랜지스터의 아래에서 연장되는 파워 라인을 포함할 수 있고, 트랜지스터는 파워 라인 층의 위에 형성될 수 있다. 예를 들면, 집적 회로는, 도 1a 및 도 1b에 도시된 바와 같이 후면 파워 레일(backside power rail; BSPR)을 포함할 수도 있다. 제1 파워 라인(PL11)은 제1 PFET 영역(P1) 및 제2 PFET 영역(P2) 아래에서 X축 방향으로 연장될 수 있고, 제2 파워 라인(PL12)은 제1 NFET 영역(N1) 및 제2 NFET 영역(N2) 아래에서 X축 방향으로 연장될 수 있다. 일부 실시예들에서, 양의 공급 전압이 제1 파워 라인(PL11)에 인가될 수 있고, 음의 공급 전압이 제2 파워 라인(PL12)에 인가될 수 있다.In some embodiments, the integrated circuit may include a power line extending underneath a transistor, and the transistor may be formed on top of the power line layer. For example, the integrated circuit may include a backside power rail (BSPR) as shown in FIGS. 1A and 1B. The first power line PL11 may extend in the X-axis direction under the first PFET area P1 and the second PFET area P2, and the second power line PL12 may extend in the It may extend in the X-axis direction under the second NFET area (N2). In some embodiments, a positive supply voltage may be applied to the first power line PL11 and a negative supply voltage may be applied to the second power line PL12.

집적 회로(10a)는 파워 라인 및 컨택에 연결된 비아를 포함할 수 있다. 예를 들면, 도 1a에 도시된 바와 같이, 제1 비아(VD1)는 제1 컨택(CA1)의 하면으로부터 제1 파워 라인(PL11)의 상면으로 연장될 수 있고, 제2 소스/드레인 영역(SD2)보다 제1 소스/드레인 영역(SD1)에 더 가까울 수 있다. 이에 따라, 양의 공급 전압은 제1 파워 라인(PL11)으로부터 제1 비아(VD1) 및 제1 컨택(CA1)을 통해서 제1 소스/드레인 영역(SD1)으로 제공될 수 있다. 제1 소스/드레인 영역(SD1)은 제1 PFET 영역(P1)에 형성된 PFET의 소스일 수 있고, 제2 소스/드레인 영역(SD2)은 제2 PFET 영역(P2)에 형성된 PFET의 드레인일 수 있다. 제2 비아(VD2)는 제4 컨택(CA4)의 하면으로부터 제2 파워 라인(PL12)의 상면으로 연장될 수 있고, 제3 소스/드레인 영역(SD3)보다 제4 소스/드레인 영역(SD4)에 더 가까울 수 있다. 이에 따라, 음의 공급 전압은 제2 파워 라인(PL12)으로부터 제2 비아(VD2) 및 제4 컨택(CA4)을 통해서 제4 소스/드레인 영역(SD4)으로 제공될 수 있다. 제3 소스/드레인 영역(SD3)은 제1 NFET 영역(N1)에 형성된 NFET의 드레인일 수 있고, 제4 소스/드레인 영역(SD4)은 제2 NFET 영역(N2)에 형성된 NFET의 소스일 수 있다. 본 명세서에서, 제1 비아(VD1) 및 제2 비아(VD2)와 같이, 파워 라인에 연결되기 위하여 컨택으로부터 아래로 연장되는 비아는 하향(downward) 비아로 지칭될 수 있다. 다른 한편으로, 배선층의 패턴에 연결되기 위하여 컨택으로부터 위로 연장되는 비아는 상향(upward) 비아로 지칭될 수 있다.Integrated circuit 10a may include vias connected to power lines and contacts. For example, as shown in FIG. 1A, the first via VD1 may extend from the lower surface of the first contact CA1 to the upper surface of the first power line PL11, and the second source/drain region ( It may be closer to the first source/drain area (SD1) than SD2). Accordingly, the positive supply voltage may be provided from the first power line PL11 to the first source/drain region SD1 through the first via VD1 and the first contact CA1. The first source/drain region SD1 may be the source of the PFET formed in the first PFET region P1, and the second source/drain region SD2 may be the drain of the PFET formed in the second PFET region P2. there is. The second via VD2 may extend from the lower surface of the fourth contact CA4 to the upper surface of the second power line PL12, and is located closer to the fourth source/drain region SD4 than the third source/drain region SD3. It may be closer to . Accordingly, the negative supply voltage may be provided from the second power line PL12 to the fourth source/drain region SD4 through the second via VD2 and the fourth contact CA4. The third source/drain region SD3 may be the drain of the NFET formed in the first NFET region N1, and the fourth source/drain region SD4 may be the source of the NFET formed in the second NFET region N2. there is. In this specification, vias that extend downward from the contact to connect to the power line, such as the first via VD1 and the second via VD2, may be referred to as downward vias. On the other hand, a via that extends upward from a contact to connect to the pattern of the wiring layer may be referred to as an upward via.

트랜지스터 영역들(또는 소스/드레인 영역들)은 하향 비아 및 컨택의 연결을 위하여 일정 거리 이상 상호 이격될 수 있다. 예를 들면, 도 1a에 도시된 바와 같이, 제1 PFET 영역(P1) 및 제2 PFET 영역(P2)은 제1 거리(D1a)만큼 Y축 방향으로 상호 이격될 수 있고, 제1 NFET 영역(N1) 및 제2 NFET 영역(N2)은 제2 거리(D2a)만큼 Y축 방향으로 상호 이격될 수 있다. 제1 컨택(CA1)은 제1 비아(VD1)와의 연결을 위하여 Y축 방향으로 연장될 수 있고, 제1 거리(D1a)는 제1 컨택(CA1) 및 제2 컨택(CA2) 사이 절연에 요구되는 길이에 대응할 수 있다. 또한, 제4 컨택(CA4)은 제2 비아(VD2)와의 연결을 위하여 Y축 방향으로 연장될 수 있고, 제2 거리(D2a)는 제3 컨택(CA3) 및 제4 컨택(CA4) 사이 절연에 요구되는 길이에 대응할 수 있다. 일부 실시예들에서, 제1 거리(D1a) 및 제2 거리(D2a)는 동일할 수 있다.Transistor regions (or source/drain regions) may be spaced apart from each other by a certain distance or more for connection of downward vias and contacts. For example, as shown in FIG. 1A, the first PFET region (P1) and the second PFET region (P2) may be spaced apart from each other in the Y-axis direction by a first distance (D1a), and the first NFET region ( N1) and the second NFET area N2 may be spaced apart from each other in the Y-axis direction by a second distance D2a. The first contact CA1 may extend in the Y-axis direction for connection to the first via VD1, and the first distance D1a is required for insulation between the first contact CA1 and the second contact CA2. It can correspond to any length. Additionally, the fourth contact CA4 may extend in the Y-axis direction for connection to the second via VD2, and the second distance D2a may be insulated between the third contact CA3 and the fourth contact CA4. It can correspond to the required length. In some embodiments, the first distance D1a and the second distance D2a may be the same.

도 1b를 참조하면, 집적 회로(10b)는 X축 방향으로 연장되는 제3 PFET 영역(P3), 제4 PFET 영역(P4), 제3 NFET 영역(N3) 및 제4 NFET 영역(N4)을 포함할 수 있고, Y축 방향으로 연장되는 게이트 전극들을 포함할 수 있다. 제3 파워 라인(PL13)은 제3 PFET 영역(P3) 및 제4 PFET 영역(P4) 아래에서 X축 방향으로 연장될 수 있고, 제4 파워 라인(PL14)은 제3 NFET 영역(N3) 및 제4 NFET 영역(N4) 아래에서 X축 방향으로 연장될 수 있다. 일부 실시예들에서, 양의 공급 전압이 제3 파워 라인(PL13)에 인가될 수 있고, 음의 공급 전압이 제4 파워 라인(PL14)에 인가될 수 있다.Referring to FIG. 1B, the integrated circuit 10b includes a third PFET region (P3), a fourth PFET region (P4), a third NFET region (N3), and a fourth NFET region (N4) extending in the X-axis direction. It may include gate electrodes extending in the Y-axis direction. The third power line PL13 may extend in the It may extend in the X-axis direction under the fourth NFET area N4. In some embodiments, a positive supply voltage may be applied to the third power line PL13 and a negative supply voltage may be applied to the fourth power line PL14.

일부 실시예들에서, 트랜지스터들은 소스들이 Y축 방향으로 상호 인접하도록 배치될 수 있고, 소스들을 연결하는 컨택이 Y축 방향으로 연장될 수 있다. 예를 들면, 제5 소스/드레인 영역(SD5)은 제3 PFET 영역(P3)에 형성된 PFET의 소스일 수 있고, 제6 소스/드레인 영역(SD6)은 제4 PFET 영역(P4)에 형성된 PFET의 소스일 수 있다. 제5 컨택(CA5)은 Y축 방향으로 연장될 수 있고, 제5 소스/드레인 영역(SD5) 및 제6 소스/드레인 영역(SD6)에 연결될 수 있다. 제3 비아(VD3)는 제5 컨택(CA5)의 하면으로부터 제3 파워 라인(PL13)의 상면으로 연장될 수 있다. 이에 따라, 양의 공급 전압은 제3 파워 라인(PL13)으로부터 제3 비아(VD3) 및 제5 컨택(CA5)을 통해서 제5 소스/드레인 영역(SD5) 및 제6 소스/드레인 영역(SD6)에 제공될 수 있다. In some embodiments, the transistors may be arranged so that their sources are adjacent to each other in the Y-axis direction, and a contact connecting the sources may extend in the Y-axis direction. For example, the fifth source/drain region SD5 may be a source of a PFET formed in the third PFET region P3, and the sixth source/drain region SD6 may be a PFET formed in the fourth PFET region P4. It may be a source of . The fifth contact CA5 may extend in the Y-axis direction and be connected to the fifth source/drain area SD5 and the sixth source/drain area SD6. The third via VD3 may extend from the bottom of the fifth contact CA5 to the top of the third power line PL13. Accordingly, the positive supply voltage is supplied from the third power line PL13 through the third via VD3 and the fifth contact CA5 to the fifth source/drain region SD5 and the sixth source/drain region SD6. can be provided.

도 1a의 집적 회로(10a)에서 제1 소스/드레인 영역(SD1) 및 제2 소스/드레인 영역(SD2)은 제1 비아(VD1)에 연결된 제1 컨택(CA1) 및 제2 컨택(CA2) 사이 절연을 위하여 상대적으로 멀리 상호 이격될 수 있는 한편, 도 1b의 집적 회로(10b)에서 제5 소스/드레인 영역(SD5) 및 제6 소스/드레인 영역(SD6)은 상대적으로 가깝게 상호 이격될 수 있다. 이에 따라, 도 1b의 제1 거리(D1b)는 도 1의 제1 거리(D1a)보다 작을 수 있고(D1b < D1a), 도 1b의 제2 거리(D2b) 역시 도 1의 제2 거리(D2a)보다 작을 수 있다(D2b < D2a). 결과적으로, 도 1b의 집적 회로(10b)는 도 1a의 집적 회로(10a)보다 작은 면적을 가질 수 있다. 일부 실시예들에서, 제3 비아(VD3)는 제5 소스/드레인 영역(SD5)(또는 제3 PFET 영역(P3)) 및 제6 소스/드레인 영역(SD6)(또는 제54 PFET 영역(P4)) 사이 정중앙에 배치될 수 있다. 예를 들면, 제3 비아(VD3) 및 제5 소스/드레인 영역(SD5) 사이 거리는 제3 비아(VD3) 및 제6 소스/드레인 영역(SD6) 사이 거리와 동일할 수 있다.In the integrated circuit 10a of FIG. 1A, the first source/drain region SD1 and the second source/drain region SD2 have a first contact CA1 and a second contact CA2 connected to the first via VD1. While they may be relatively far apart from each other for insulation, the fifth source/drain region SD5 and sixth source/drain region SD6 in the integrated circuit 10b of FIG. 1B may be relatively close to each other. there is. Accordingly, the first distance D1b in FIG. 1B may be smaller than the first distance D1a in FIG. 1 (D1b < D1a), and the second distance D2b in FIG. 1B may also be smaller than the first distance D1a in FIG. 1. ) can be smaller than (D2b < D2a). As a result, the integrated circuit 10b of FIG. 1B may have a smaller area than the integrated circuit 10a of FIG. 1A. In some embodiments, the third via VD3 is connected to the fifth source/drain region SD5 (or third PFET region P3) and the sixth source/drain region SD6 (or 54th PFET region P4). )) can be placed in the exact center between. For example, the distance between the third via VD3 and the fifth source/drain region SD5 may be the same as the distance between the third via VD3 and the sixth source/drain region SD6.

도 2a 내지 도 2d는 본 개시의 예시적 실시예들에 따른 소자의 예시들을 나타내는 도면들이다. 예를 들면, 도 2a는 FinFET(20a)을 나타내고, 도 2b는 GAAFET(gate-all-around field effect transistor)(20b)을 나타내고, 도 2c는 MBCFET(multi-bridge channel field effect transistor)(20c)을 나타내며, 도 2d는 VFET(vertical field effect transistor)(20d)을 나타낸다. 도해의 편의를 위하여, 도 2a 내지 도 2c는 2개의 소스/드레인 영역들 중 하나가 제거된 모습을 도시하고, 도 2d는 Y축 및 Z축으로 이루어진 평면과 평행하고 VFET(20d)의 채널(CH)을 통과하는 평면으로 VFET(20d)를 자른 단면을 나타낸다.2A to 2D are diagrams showing examples of devices according to example embodiments of the present disclosure. For example, Figure 2a shows a FinFET (20a), Figure 2b shows a gate-all-around field effect transistor (GAAFET) 20b, and Figure 2c shows a multi-bridge channel field effect transistor (MBCFET) 20c. 2d shows a vertical field effect transistor (VFET) 20d. For ease of illustration, FIGS. 2A to 2C show one of the two source/drain regions removed, and FIG. 2D shows a view parallel to the plane consisting of the Y and Z axes and the channel of the VFET 20d ( Shows a cross section of the VFET (20d) cut through a plane passing through CH).

도 2a를 참조하면, FinFET(20a)은, STI(shallow trench isolation)들 사이에서 X축 방향으로 연장되는 핀(fin) 형상의 활성 패턴 및 Y축 방향으로 연장되는 게이트 전극(G)에 의해서 형성될 수 있다. 게이트 전극(G)의 양측에 소스/드레인 영역(S/D)이 형성될 수 있고, 이에 따라 소스 및 드레인은 X축 방향으로 상호 이격될 수 있다. 채널(CH) 및 게이트 전극(G) 사이에 절연막이 형성될 수 있다. 일부 실시예들에서, FinFET(20a)은 Y축 방향으로 상호 이격된 복수의 활성 패턴들 및 게이트 전극(G)에 의해서 형성될 수 있다.Referring to FIG. 2A, the FinFET 20a is formed by a fin-shaped active pattern extending in the X-axis direction between shallow trench isolations (STIs) and a gate electrode (G) extending in the Y-axis direction. It can be. Source/drain regions (S/D) may be formed on both sides of the gate electrode (G), and accordingly, the source and drain may be spaced apart from each other in the X-axis direction. An insulating film may be formed between the channel (CH) and the gate electrode (G). In some embodiments, FinFET 20a may be formed by a plurality of active patterns and a gate electrode G that are spaced apart from each other in the Y-axis direction.

도 2b를 참조하면, GAAFET(20b)은, Z축 방향으로 상호 이격되어 X축 방향으로 연장되는 활성 패턴들, 즉 나노와이어들(nanowires) 및 Y축 방향으로 연장되는 게이트 전극(G)에 의해서 형성될 수 있다. 게이트 전극(G)의 양측에 소스/드레인 영역(S/D)이 형성될 수 있고, 이에 따라 소스 및 드레인은 X축 방향으로 상호 이격될 수 있다. 채널(CH) 및 게이트 전극(G) 사이에 절연막이 형성될 수 있다. GAAFET(20b)에 포함되는 나노와이어들의 수는 도 2b에 도시된 바에 제한되지 아니하는 점이 유의된다.Referring to FIG. 2b, the GAAFET (20b) is formed by active patterns, that is, nanowires, extending in the X-axis direction and spaced apart from each other in the Z-axis direction, and a gate electrode (G) extending in the Y-axis direction. can be formed. Source/drain regions (S/D) may be formed on both sides of the gate electrode (G), and accordingly, the source and drain may be spaced apart from each other in the X-axis direction. An insulating film may be formed between the channel (CH) and the gate electrode (G). Note that the number of nanowires included in GAAFET 20b is not limited to that shown in FIG. 2b.

도 2c를 참조하면, MBCFET(20c)은, Z축 방향으로 상호 이격되어 X축 방향으로 연장되는 활성 패턴들, 즉 나노시트들(nanosheets) 및 Y축 방향으로 연장되는 게이트 전극(G)에 의해서 형성될 수 있다. 게이트 전극(G)의 양측에 소스/드레인 영역(S/D)이 형성될 수 있고, 이에 따라 소스 및 드레인은 Y축 방향으로 상호 이격될 수 있다. 채널(CH) 및 게이트 전극(G) 사이에 절연막이 형성될 수 있다. MBCFET(20c)에 포함되는 나노시트들의 수는 도 2c에 도시된 바에 제한되지 아니하는 점이 유의된다.Referring to FIG. 2C, the MBCFET 20c is formed by active patterns, that is, nanosheets, extending in the X-axis direction and spaced apart from each other in the Z-axis direction, and a gate electrode (G) extending in the Y-axis direction. can be formed. Source/drain regions (S/D) may be formed on both sides of the gate electrode (G), and accordingly, the source and drain may be spaced apart from each other in the Y-axis direction. An insulating film may be formed between the channel (CH) and the gate electrode (G). Note that the number of nanosheets included in the MBCFET 20c is not limited to that shown in FIG. 2c.

도 2d를 참조하면, VFET(20d)는, 채널(CH)을 사이에 두고 Z축 방향으로 상호 이격된 상위(top) 소스/드레인(T_S/D) 및 하위(bottom) 소스/드레인(B_S/D)을 포함할 수 있다. VFET(20d)는 상위 소스/드레인(T_S/D) 및 하위 소스/드레인(B_S/D) 사이에서 채널(CH)의 둘레를 둘러싸는 게이트 전극(G)를 포함할 수 있다. 채널(CH) 및 게이트 전극(G) 사이에 절연막이 형성될 수 있다.Referring to FIG. 2D, the VFET 20d has a top source/drain (T_S/D) and a bottom source/drain (B_S/) spaced apart from each other in the Z-axis direction with a channel (CH) in between. D) may be included. The VFET (20d) may include a gate electrode (G) surrounding the circumference of the channel (CH) between the upper source/drain (T_S/D) and the lower source/drain (B_S/D). An insulating film may be formed between the channel (CH) and the gate electrode (G).

이하에서, FinFET(20a) 또는 MBCFET(20c)을 포함하는 집적 회로가 주로 설명될 것이나, 집적 회로에 포함되는 소자들이 도 2a 내지 도 2d의 예시들에 제한되지 아니하는 점이 유의된다. 예를 들면, 집적 회로는, P형 트랜지스터를 위한 나노시트들 및 N형 트랜지스터를 위한 나노시트들이 유전체 벽(dielectric wall)으로 분리됨으로써 N형 트랜지스터 및 P형 트랜지스터가 보다 근접한 구조를 가지는 ForkFET을 포함할 수 있다. 또한, 집적 회로는 CFET(complementary FET), NCFET(negative CFET), CNT(carbon nanotube) FET 등과 같은 FET뿐만 아니라 양극성 접합(bipolar junction) 트랜지스터를 포함할 수도 있다.Hereinafter, the integrated circuit including the FinFET 20a or the MBCFET 20c will be mainly described, but it is noted that the elements included in the integrated circuit are not limited to the examples of FIGS. 2A to 2D. For example, the integrated circuit includes a ForkFET in which the nanosheets for the P-type transistor and the nanosheets for the N-type transistor have a structure in which the N-type transistor and the P-type transistor are closer together by being separated by a dielectric wall. can do. Additionally, the integrated circuit may include bipolar junction transistors as well as FETs such as complementary FETs (CFETs), negative CFETs (NCFETs), and carbon nanotube (CNTs) FETs.

도 3은 본 개시의 예시적 실시예에 따른 집적 회로의 레이아웃을 나타내는 평면도이다. 도 3에 도시된 바와 같이, 집적 회로(30)는, 제1 셀(C31), 제2 셀(C32), 제1 내지 제3 파워 라인(PL31 내지 PL33)을 포함할 수 있다.Figure 3 is a plan view showing the layout of an integrated circuit according to an exemplary embodiment of the present disclosure. As shown in FIG. 3, the integrated circuit 30 may include a first cell C31, a second cell C32, and first to third power lines PL31 to PL33.

집적 회로는 복수의 표준 셀들을 포함할 수 있다. 표준 셀(standard cell)은 집적 회로에 포함되는 레이아웃의 단위로서, 단순하게 셀로 지칭될 수 있다. 셀은 트랜지스터를 포함할 수 있고, 미리 정의된 기능을 수행하도록 설계될 수 있다. 예를 들면, 도 3에 도시된 바와 같이, 제1 셀(C31)은 X축 방향으로 연장되는 제1 행(R1)에 배치될 수 있고, 제2 셀(C32)은 X축 방향으로 연장되고 제1 행(R1)에 인접한 제2 행(R2)에 배치될 수 있다. 제1 셀(C31)의 높이(즉, Y축 방향의 길이)는 제1 행(R1)의 제1 높이(H1)와 동일할 수 있고, 제2 셀(C32)의 높이는 제2 행(R2)의 제2 높이(H2)와 동일할 수 있다. 제1 높이(H1) 및 제2 높이(H2)는 동일할 수도 있고, 상이할 수도 있다. 제1 셀(C31) 및 제2 셀(C32)과 같이, 하나의 행에 배치되는 표준 셀은 단일 높이 셀(single height cell)로서 지칭될 수 있고, 후술되는 도 12의 제2 셀(C122)과 같이, 2이상의 행들에 연속적으로 배치되는 셀은 다중 높이 셀(multi-height cell)로서 지칭될 수 있다.An integrated circuit may include a plurality of standard cells. A standard cell is a unit of layout included in an integrated circuit and may simply be referred to as a cell. Cells may contain transistors and may be designed to perform predefined functions. For example, as shown in FIG. 3, the first cell C31 may be placed in the first row R1 extending in the X-axis direction, and the second cell C32 may extend in the X-axis direction. It may be placed in the second row (R2) adjacent to the first row (R1). The height (i.e., the length in the Y-axis direction) of the first cell C31 may be the same as the first height H1 of the first row R1, and the height of the second cell C32 may be the same as the first height H1 of the first row R1. ) may be the same as the second height (H2). The first height H1 and the second height H2 may be the same or different. Standard cells arranged in one row, such as the first cell C31 and the second cell C32, may be referred to as a single height cell, and the second cell C122 of FIG. 12, which will be described later, As such, cells arranged consecutively in two or more rows may be referred to as multi-height cells.

게이트 전극들은 일정한 피치로 Y축 방향으로 상호 평행하게 연장될 수 있다. 예를 들면, 도 3에 도시된 바와 같이, 게이트 전극들의 피치는 CPP(contacted poly pitch)로 지칭될 수 있다. 이에 따라, 게이트 전극들 사이에 배치되는 컨택들 역시 CPP로 Y축 방향으로 연장될 수 있다.The gate electrodes may extend parallel to each other in the Y-axis direction at a constant pitch. For example, as shown in FIG. 3, the pitch of the gate electrodes may be referred to as contact poly pitch (CPP). Accordingly, contacts disposed between the gate electrodes may also extend in the Y-axis direction through CPP.

파워 라인들은 파워 라인 층에서 행들의 경계들을 따라서 (또는 행들의 경계들과 중첩되어) X축 방향으로 연장될 수 있다. 예를 들면, 도 3에 도시된 바와 같이, 양의 공급 전압(VDD)이 제1 파워 라인(PL31)에 인가될 수 있고, 제1 파워 라인(PL31)은 제1 행(R1)의 경계를 따라 X축 방향으로 연장될 수 있다. 음의 공급 전압(VSS)이 제2 파워 라인(PL32)에 인가될 수 있고, 제2 파워 라인(PL32)은 제1 행(R1) 및 제2 행(R2) 사이 경계를 따라 X축 방향으로 연장될 수 있다. 양의 공급 전압(VDD)이 제3 파워 라인(PL33)에 인가될 수 있고, 제3 파워 라인(PL33)은 제2 행(R2)의 경계를 따라 X축 방향으로 연장될 수 있다.Power lines may extend in the X-axis direction along boundaries of rows (or overlapping boundaries of rows) in the power line layer. For example, as shown in FIG. 3, a positive supply voltage (VDD) may be applied to the first power line (PL31), and the first power line (PL31) borders the first row (R1). It may extend in the X-axis direction. A negative supply voltage (VSS) may be applied to the second power line (PL32), and the second power line (PL32) extends in the X-axis direction along the boundary between the first row (R1) and the second row (R2). It may be extended. The positive supply voltage VDD may be applied to the third power line PL33, and the third power line PL33 may extend in the X-axis direction along the boundary of the second row R2.

도 1a 및 도 1b를 참조하여 전술된 바와 같이, 트랜지스터들의 소스들이 Y축 방향으로 상호 인접할 수 있고, 소스들은 Y축 방향으로 연장되는 컨택에 공통으로 연결될 수 있다. 예를 들면, 도 3에 도시된 바와 같이, 제1 컨택(CA31)은 Y축 방향으로 제1 셀(C1)로부터 제2 셀(C32)로 연장될 수 있고, 제1 셀(C31)에 포함된 NFET의 소스(예컨대, 도 4a의 SD31) 및 제2 셀(C32)에 포함된 NFET의 소스(예컨대, 도 4a의 SD32)에 연결될 수 있다. 제1 컨택(CA31) 아래에 하향 비아(예컨대, 도 4a의 VD31)가 배치될 수 있고, 하향 비아는 제2 파워 라인(PL32)에 연결될 수 있다. 일부 실시예들에서, 하향 비아는 제1 행(R1) 및 제2 행(R2) 사이 경계에 정렬될 수 있다. 예를 들면, 하향 비아의 중심은 제1 행(R1) 및 제2 행(R2) 사이 경계와 Z축 방향으로 중첩될 수 있다. 일부 실시예들에서, 제1 컨택(CA31) 상에 상향 비아가 배치될 수 있고, 상향 비아는 배선층에서 X축 방향으로 연장되는 패턴(예컨대, 도 4a의 M31)에 연결될 수 있다. Y2-Y2' 선을 따라 집적 회로(30)를 자른 단면의 예시가 도 4a를 참조하여 후술될 것이다.As described above with reference to FIGS. 1A and 1B , sources of transistors may be adjacent to each other in the Y-axis direction, and the sources may be commonly connected to a contact extending in the Y-axis direction. For example, as shown in FIG. 3, the first contact CA31 may extend from the first cell C1 to the second cell C32 in the Y-axis direction and is included in the first cell C31. It may be connected to the source of the NFET (eg, SD31 in FIG. 4A) and the source of the NFET included in the second cell C32 (eg, SD32 in Figure 4A). A downward via (eg, VD31 in FIG. 4A) may be disposed under the first contact CA31, and the downward via may be connected to the second power line PL32. In some embodiments, the downward via may be aligned at the boundary between the first row R1 and the second row R2. For example, the center of the downward via may overlap the boundary between the first row R1 and the second row R2 in the Z-axis direction. In some embodiments, an upward via may be disposed on the first contact CA31, and the upward via may be connected to a pattern extending in the X-axis direction in the wiring layer (eg, M31 in FIG. 4A). An example of a cross-section of the integrated circuit 30 along the line Y2-Y2' will be described later with reference to FIG. 4A.

상이한 노드들에 대응하는 소스/드레인 영역들에 각각 연결된 컨택들은 Y축 방향으로 상호 이격될 수 있다. 예를 들면, 제2 컨택(CA32)은 제1 셀(C31)에 포함된 NFET의 드레인(예컨대, 도 4b의 SD33)에 연결될 수 있고, 제3 컨택(CA33)은 제2 셀(C32)에 포함된 NFET의 소스(예컨대, 도 4b의 SD34)에 연결될 수 있다. 도 3에 도시된 바와 같이, 제2 컨택(CA32) 및 제3 컨택(CA33)은 Y축 방향으로 상호 이격될 수 있다. 제3 컨택(CA33) 상에 상향 비아가 배치될 수 있고, 상향 비아는 배선층에서 X축 방향으로 연장되는 패턴(예컨대, 도 4b의 M31)에 연결될 수 있다. Y3-Y3' 선을 따라 집적 회로(30)를 자른 단면이 도 4b를 참조하여 후술될 것이다.Contacts respectively connected to source/drain regions corresponding to different nodes may be spaced apart from each other in the Y-axis direction. For example, the second contact CA32 may be connected to the drain of the NFET included in the first cell C31 (e.g., SD33 in FIG. 4B), and the third contact CA33 may be connected to the second cell C32. It may be connected to the source of the included NFET (e.g., SD34 in FIG. 4B). As shown in FIG. 3, the second contact CA32 and the third contact CA33 may be spaced apart from each other in the Y-axis direction. An upward via may be disposed on the third contact CA33, and the upward via may be connected to a pattern extending in the X-axis direction in the wiring layer (eg, M31 in FIG. 4B). A cross section of the integrated circuit 30 along the line Y3-Y3' will be described later with reference to FIG. 4B.

도 3에 도시된 바와 같이, 소스들이 Y축 방향으로 상호 인접하도록 제1 셀(C31) 및 제2 셀(C32)이 배치되는 경우, 제1 셀(C31)의 제1 높이(H1) 및 제2 셀(C2)의 제2 높이(H2)가 감소할 수 있고, 집적 회로(30)는 감소된 면적 및/또는 높은 집적도를 가질 수 있다.As shown in FIG. 3, when the first cell C31 and the second cell C32 are arranged so that the sources are adjacent to each other in the Y-axis direction, the first height H1 and the first cell C31 2 The second height H2 of the cell C2 may be reduced, and the integrated circuit 30 may have a reduced area and/or a higher degree of integration.

도 4a 및 도 4b는 본 개시의 예시적 실시예들에 따른 집적 회로의 단면의 예시들을 나타내는 단면도들이다. 예를 들면, 도 4a의 단면도는 도 3의 Y2-Y2' 선을 따라 집적 회로(30)를 자른 단면의 예시를 나타내고, 도 4b의 단면도는 도 3의 Y3-Y3' 선을 따라 집적 회로(30)를 자른 단면의 예시를 나타낸다. 이하에서, 도 4a 및 도 4b는 도 3을 참조하여 설명될 것이다.4A and 4B are cross-sectional views showing examples of cross-sections of integrated circuits according to example embodiments of the present disclosure. For example, the cross-sectional view of FIG. 4A shows an example of a cross-section of the integrated circuit 30 along the line Y2-Y2' of FIG. 3, and the cross-sectional view of FIG. 4B shows an example of the integrated circuit (30) along the line Y3-Y3' of FIG. 3. 30) shows an example of a cross section. Hereinafter, FIGS. 4A and 4B will be explained with reference to FIG. 3 .

도 4a를 참조하면, 음의 공급 전압(VSS)이 제2 파워 라인(PL32)에 인가될 수 있고, 제2 파워 라인(PL32)이 파워 라인 층에서 X축 방향으로 연장될 수 있다. 제1 컨택(CA31)은 Y축 방향으로 연장될 수 있고, 제1 셀(C31)의 제1 소스/드레인 영역(SD31) 및 제2 셀(C32)의 제2 소스/드레인 영역(SD32)에 연결될 수 있다. 도 3을 참조하여 전술된 바와 같이, 제1 소스/드레인 영역(SD31)은 제1 셀(C31)에 포함된 NFET의 소스에 대응할 수 있고, 제2 소스/드레인 영역(SD32)은 제2 셀(C32)에 포함된 NFET의 소스에 대응할 수 있다. 제1 하향 비아(VD31)는 제1 컨택(CA31)의 하면으로부터 제2 파워 라인(PL32)의 상면으로 수직 방향으로 연장될 수 있다. 이에 따라, 음의 공급 전압(VSS)은 제2 파워 라인(PL32)으로부터 제1 하향 비아(VD31) 및 제1 컨택(CA31)을 통해서 제1 소스/드레인 영역(SD31) 및 제2 소스/드레인 영역(SD32)으로 제공될 수 있다. 일부 실시예들에서, 제1 하향 비아(VD31)는 제1 소스/드레인 영역(SD31) 및 제2 소스/드레인 영역(SD32) 사이 정중앙에 배치될 수 있다. 예를 들면, 제1 하향 비아(VD31) 및 제1 소스/드레인 영역(SD31) 사이 거리는 제1 하향 비아(VD31) 및 제2 소스/드레인 영역(SD32) 사이 거리와 동일할 수 있다.Referring to FIG. 4A , a negative supply voltage VSS may be applied to the second power line PL32, and the second power line PL32 may extend in the X-axis direction from the power line layer. The first contact CA31 may extend in the Y-axis direction and is connected to the first source/drain area SD31 of the first cell C31 and the second source/drain area SD32 of the second cell C32. can be connected As described above with reference to FIG. 3, the first source/drain region SD31 may correspond to the source of the NFET included in the first cell C31, and the second source/drain region SD32 may correspond to the source of the NFET included in the first cell C31. It can correspond to the source of the NFET included in (C32). The first downward via VD31 may extend vertically from the bottom of the first contact CA31 to the top of the second power line PL32. Accordingly, the negative supply voltage (VSS) is transmitted from the second power line (PL32) to the first source/drain region (SD31) and the second source/drain through the first downward via (VD31) and the first contact (CA31). It can be provided as an area (SD32). In some embodiments, the first downward via VD31 may be disposed at the exact center between the first source/drain region SD31 and the second source/drain region SD32. For example, the distance between the first downward via VD31 and the first source/drain region SD31 may be equal to the distance between the first downward via VD31 and the second source/drain region SD32.

제1 배선층(M1)에서 패턴(M31)이 X축 방향으로 연장될 수 있다. 일부 실시예들에서, 제1 배선층(M1)은 소자로부터 +Z축 방향으로 가장 가까운 배선층(예컨대, 금속층)을 지칭할 수 있다. 제1 상향 비아(VU31)는 제1 컨택(CA31)의 상면으로부터 제1 배선층(M1)의 패턴(M31)의 하면으로 연장될 수 있다. 이에 따라, 제1 배선층(M1)의 패턴(M31)에 음의 공급 전압(VSS)이 제공될 수 있다. 일부 실시예들에서, 제1 상향 비아(VU31)는 제1 하향 비아(VD31)와 Z축 방향으로 정렬될 수 있다.The pattern M31 may extend in the X-axis direction in the first wiring layer M1. In some embodiments, the first wiring layer M1 may refer to the wiring layer (eg, metal layer) closest to the device in the +Z-axis direction. The first upward via (VU31) may extend from the top surface of the first contact (CA31) to the bottom surface of the pattern (M31) of the first wiring layer (M1). Accordingly, a negative supply voltage (VSS) may be provided to the pattern (M31) of the first wiring layer (M1). In some embodiments, the first upward via (VU31) may be aligned with the first downward via (VD31) in the Z-axis direction.

도 4b를 참조하면, 음의 공급 전압(VSS)이 제2 파워 라인(PL32)에 인가될 수 있고, 제2 파워 라인(PL32)이 파워 라인 층에서 X축 방향으로 연장될 수 있다. 제2 컨택(CA32)은 제1 셀(C31)에서 제3 소스/드레인 영역(SD33)에 연결될 수 있고, 제3 컨택(CA33)은 제2 셀(C32)에서 제4 소스/드레인 영역(SD34)에 연결될 수 있다. 도 3을 참조하여 전술된 바와 같이, 제3 소스/드레인 영역(SD33)은 제1 셀(C31)에 포함된 NFET의 드레인에 대응할 수 있고, 제4 소스/드레인 영역(SD34)은 제2 셀(C32)에 포함된 NFET의 소스에 대응할 수 있다. 제4 소스/드레인 영역(SD23)에 음의 공급 전압을 공급하기 위하여, 제2 상향 비아(VU32)는 제3 컨택(CA33)의 상면으로부터 제1 배선층(M1)의 패턴(M31)의 하면으로 연장될 수 있다. 도 4a를 참조하여 전술된 바와 같이, 제1 배선층(M1)의 패턴(M31)에 음의 공급 전압(VSS)이 인가될 수 있고, 이에 따라 음의 공급 전압(VSS)은 제1 배선층(M1)의 패턴(M31)으로부터 제2 상향 비아(VU32) 및 제3 컨택(CA33)을 통해서 제4 소스/드레인 영역(SD34)에 제공될 수 있다. 일부 실시예들에서, 제3 컨택(CA33)은 제2 상향 비아(VU32)와의 연결을 위하여 Y축 방향으로 연장될 수 있고, 제2 컨택(CA32)보다 긴 Y축 방향의 길이를 가질 수 있다.Referring to FIG. 4B, a negative supply voltage VSS may be applied to the second power line PL32, and the second power line PL32 may extend in the X-axis direction from the power line layer. The second contact CA32 may be connected to the third source/drain area SD33 in the first cell C31, and the third contact CA33 may be connected to the fourth source/drain area SD34 in the second cell C32. ) can be connected to. As described above with reference to FIG. 3, the third source/drain region SD33 may correspond to the drain of the NFET included in the first cell C31, and the fourth source/drain region SD34 may correspond to the drain of the NFET included in the first cell C31. It can correspond to the source of the NFET included in (C32). In order to supply a negative supply voltage to the fourth source/drain region SD23, the second upward via VU32 extends from the top surface of the third contact CA33 to the bottom surface of the pattern M31 of the first wiring layer M1. It may be extended. As described above with reference to FIG. 4A, a negative supply voltage (VSS) may be applied to the pattern (M31) of the first wiring layer (M1), and accordingly, the negative supply voltage (VSS) may be applied to the pattern (M31) of the first wiring layer (M1). ) may be provided from the pattern M31 to the fourth source/drain region SD34 through the second upward via (VU32) and the third contact (CA33). In some embodiments, the third contact CA33 may extend in the Y-axis direction for connection to the second upward via VU32 and may have a length in the Y-axis direction that is longer than the second contact CA32. .

도 5a 및 도 5b는 본 개시의 예시적 실시예들에 따른 집적 회로의 레이아웃들을 나타내는 도면들이다. 예를 들면, 도 5a 및 도 5b는 제1 내지 제3 행(R1 내지 R3)의 경계들을 따라 X축 방향으로 연장되는 제1 내지 제4 파워 라인(PL51 내지 PL54) 및 제1 내지 제4 파워 라인(PL51 내지 PL54)과 하향 비아들을 통해서 연결되는 컨택들을 나타낸다. 일부 실시예들에서, 파워 라인들에 연결되는 하향 비아들은 규칙적으로 배치될 수 있고, 하향 비아들에 연결된 컨택들 역시 규칙적으로 배치될 수 있다. 규칙적으로 배치된 하향 비아들 및 컨택들에 기인하여, 낮은 IR-드롭(drop), 즉 개선된 전력 전달 네트워크(power delivery network)가 달성될 수 있고, 이에 따라 급 전압이 표준 셀들에 포함된 소자들에 안정적으로 제공될 수 있다.5A and 5B are diagrams showing layouts of integrated circuits according to example embodiments of the present disclosure. For example, FIGS. 5A and 5B show first to fourth power lines (PL51 to PL54) and first to fourth power lines extending in the X-axis direction along the boundaries of the first to third rows (R1 to R3). It shows contacts connected through lines (PL51 to PL54) and downward vias. In some embodiments, downward vias connected to the power lines may be arranged regularly, and contacts connected to the downward vias may also be placed regularly. Due to the regularly placed downward vias and contacts, a low IR-drop, i.e. an improved power delivery network, can be achieved, whereby the surge voltage of the device contained in standard cells can be provided stably to the public.

도 5a를 참조하면, 양의 공급 전압(VDD)이 인가되는 제1 파워 라인(PL51) 및 제3 파워 라인(PL53)에 연결되는 하향 비아들은 X축 방향으로 제1 피치(PT51)를 가지도록 배치될 수 있고, 이에 따라 컨택들 역시 제1 피치(PT51)로 Y축 방향으로 연장될 수 있다. 또한, 음의 공급 전압(VSS)이 인가되는 제2 파워 라인(PL52) 및 제4 파워 라인(PL54)에 연결되는 하향 비아들은 제2 피치(PT52)를 가지도록 배치될 수 있고, 이에 따라 컨택들 역시 제2 피치(PT52)로 Y축 방향으로 연장될 수 있다. 일부 실시예들에서, 제1 피치(PT51) 및 제2 피치(PT52)는 동일할 수 있다. Referring to FIG. 5A, downward vias connected to the first power line (PL51) and the third power line (PL53) to which the positive supply voltage (VDD) is applied have a first pitch (PT51) in the X-axis direction. may be arranged, and accordingly, the contacts may also extend in the Y-axis direction at the first pitch PT51. Additionally, downward vias connected to the second power line PL52 and the fourth power line PL54 to which the negative supply voltage VSS is applied may be arranged to have a second pitch PT52, and thus the contact They may also extend in the Y-axis direction with a second pitch (PT52). In some embodiments, the first pitch PT51 and the second pitch PT52 may be the same.

도 5a에 도시된 바와 같이, 양의 공급 전압(VDD)이 인가되는 제1 파워 라인(PL51)과 하향 비아들을 통해서 연결되는 컨택들은, 양의 공급 전압(VDD)이 인가되는 제3 파워 라인(PL53)과 하향 비아들을 통해서 연결되는 컨택들은 Y축 방향으로 정렬될 수 있는 한편, 음의 공급 전압(VSS)이 인가되는 제2 파워 라인(PL52) 또는 제4 파워 라인(PL54)과 하향 비아들을 통해서 연결되는 컨택들과 Y축 방향으로 정렬되지 아니할 수 있다. 또한, 제2 파워 라인(PL52)과 하향 비아들을 통해서 연결되는 컨택들은, 제4 파워 라인(PL54)과 하향 비아들을 통해서 연결되는 컨택들과 Y축 방향으로 정렬될 수 있다. As shown in FIG. 5A, the contacts connected to the first power line PL51 to which the positive supply voltage (VDD) is applied and the downward vias are connected to the third power line (PL51) to which the positive supply voltage (VDD) is applied. The contacts connected through PL53) and the downward vias may be aligned in the Y-axis direction, while the second power line (PL52) or fourth power line (PL54) to which the negative supply voltage (VSS) is applied and the downward vias Contacts connected through it may not be aligned in the Y-axis direction. Additionally, contacts connected to the second power line PL52 through downward vias may be aligned in the Y-axis direction with contacts connected to the fourth power line PL54 through downward vias.

도 5b를 참조하면, 제1 내지 제4 파워 라인(PL51 내지 PL54)에 연결되는 하향 비아들은 X축 방향으로 제3 피치(PT53)를 가지도록 배치될 수 있고, 이에 따라 컨택들 역시 제3 피치(PT53)로 Y축 방향으로 연장될 수 있다. 하향 비아들 및 컨택들은 Y축 방향으로 정렬될 수 있다. 이하에서, 도 5a를 참조하여 전술된 바와 같이, 양의 공급 전압(VDD)이 인가되는 컨택들 및 음의 공급 전압(VSS)이 인가되는 컨택들이 Y축 방향으로 정렬되지 아니한 구조가 주로 참조될 것이나, 본 개시의 예시적 실시예들이 이에 제한되지 아니하는 점이 유의된다.Referring to FIG. 5B, downward vias connected to the first to fourth power lines PL51 to PL54 may be arranged to have a third pitch PT53 in the X-axis direction, and accordingly, the contacts may also have a third pitch. (PT53) and can be extended in the Y-axis direction. Downward vias and contacts may be aligned in the Y-axis direction. Hereinafter, as described above with reference to FIG. 5A, the structure in which the contacts to which the positive supply voltage (VDD) is applied and the contacts to which the negative supply voltage (VSS) is applied are not aligned in the Y-axis direction will be mainly referred to. However, it is noted that the exemplary embodiments of the present disclosure are not limited thereto.

도 6a 및 도 6b는 본 개시의 예시적 실시예들에 따른 집적 회로의 레이아웃들을 나타내는 도면들이다. 예를 들면, 도 6a 및 도 6b는 제1 내지 제3 행(R1 내지 R3)의 경계들을 따라 X축 방향으로 연장되는 제1 내지 제4 파워 라인(PL61 내지 PL64) 및 제1 내지 제4 파워 라인(PL61 내지 PL64)과 하향 비아들을 통해서 연결되는 컨택들을 나타낸다. 도 5a 및 도 5b를 참조하여 전술된 바와 같이, 파워 라인들에 연결되는 하향 비아들은 규칙적으로 배치될 수 있고, 하향 비아들에 연결된 컨택들 역시 규칙적으로 배치될 수 있다. 이하에서, 도 6a 및 도 6b에 대한 설명 중 상호 중복되는 내용은 생략될 것이다.6A and 6B are diagrams showing layouts of integrated circuits according to example embodiments of the present disclosure. For example, FIGS. 6A and 6B show first to fourth power lines (PL61 to PL64) and first to fourth power lines extending in the X-axis direction along the boundaries of the first to third rows (R1 to R3). It represents contacts connected through lines (PL61 to PL64) and downward vias. As described above with reference to FIGS. 5A and 5B, downward vias connected to power lines may be arranged regularly, and contacts connected to the downward vias may also be arranged regularly. Hereinafter, overlapping content in the description of FIGS. 6A and 6B will be omitted.

도 6a를 참조하면, 도 5a의 예시와 유사하게, 양의 공급 전압(VDD)이 인가되는 제1 파워 라인(PL51)과 하향 비아들을 통해서 연결되는 컨택들은, 양의 공급 전압(VDD)이 인가되는 제3 파워 라인(PL63)과 하향 비아들을 통해서 연결되는 컨택들과 Y축 방향으로 정렬될 수 있는 한편, 음의 공급 전압(VSS)이 인가되는 제2 파워 라인(PL62) 또는 제4 파워 라인(PL64)과 하향 비아들을 통해서 연결되는 컨택들과 Y축 방향으로 정렬되지 아니할 수 있다. 또한, 제2 파워 라인(PL562)과 하향 비아들을 통해서 연결되는 컨택들은, 제4 파워 라인(PL64)과 하향 비아들을 통해서 연결되는 컨택들과 Y축 방향으로 정렬될 수 있다. Referring to FIG. 6A, similar to the example of FIG. 5A, the contacts connected to the first power line PL51 to which the positive supply voltage (VDD) is applied and the downward vias are to which the positive supply voltage (VDD) is applied. It can be aligned in the Y-axis direction with the third power line (PL63) and contacts connected through downward vias, while the second or fourth power line (PL62) to which a negative supply voltage (VSS) is applied. The contacts connected through (PL64) and downward vias may not be aligned in the Y-axis direction. Additionally, contacts connected to the second power line PL562 through downward vias may be aligned in the Y-axis direction with contacts connected to the fourth power line PL64 through downward vias.

도 6a에 도시된 바와 같이, 제1 파워 라인(PL61) 또는 제3 파워 라인(PL63)과 하향 비아들을 통해서 연결되는 컨택들은, 상호 인접한 2개의 컨택들로 각각 구성된 컨택 쌍들을 포함할 수 있고, 컨택 쌍들은 X축 방향으로 제1 피치(PT61)로 상호 이격될 수 있다. 또한, 제2 파워 라인(PL62) 또는 제4 파워 라인(PL64)과 하향 비아들을 통해서 연결되는 컨택들은, 상호 인접한 2개의 컨택들로 각각 구성된 컨택 쌍들을 포함할 수 있고, 컨택 쌍들은 X축 방향으로 제2 피치(PT62)로 상호 이격될 수 있다. 일부 실시예들에서, 제1 피치(PT61) 및 제2 피치(PT62)는 동일할 수 있다. 도 6a의 집적 회로는 도 5a의 집적 회로보다 감소된 IR-드롭을 제공할 수 있다.As shown in FIG. 6A, contacts connected to the first power line PL61 or the third power line PL63 through downward vias may include contact pairs each composed of two mutually adjacent contacts, Contact pairs may be spaced apart from each other at a first pitch (PT61) in the X-axis direction. Additionally, contacts connected to the second power line PL62 or the fourth power line PL64 through downward vias may include contact pairs each composed of two mutually adjacent contacts, and the contact pairs may be oriented in the X-axis direction. They can be spaced apart from each other by the second pitch (PT62). In some embodiments, the first pitch PT61 and the second pitch PT62 may be the same. The integrated circuit of FIG. 6A may provide reduced IR-drop than the integrated circuit of FIG. 5A.

도 6b를 참조하면, 규칙적으로 배치된 컨택들에서 컨택들이 국부적으로(locally) 추가될 수 있다. 예를 들면, 도 5a와 동일한 레이아웃에서 많은 전류가 소비되는 영역(R60)이 식별될 수 있고, 영역(R60)에서 제1 컨택(CA61) 및 제2 컨택(CA62)이 추가될 수 있다. 이에 따라, 도 6a에서 컨택 쌍들은 전역적으로(globally) 배치될 수 있는 한편, 도 6b에서 컨택 쌍들은 국부적으로 배치될 수 있다.Referring to FIG. 6B, contacts may be added locally to regularly placed contacts. For example, in the same layout as in FIG. 5A, a region R60 in which a large amount of current is consumed may be identified, and a first contact CA61 and a second contact CA62 may be added to the region R60. Accordingly, the contact pairs in FIG. 6A may be placed globally, while the contact pairs in FIG. 6B may be placed locally.

도 7a 및 도 7b는 본 개시의 예시적 실시예들에 따른 집적 회로의 단면의 예시들을 나타내는 단면도들이다. 예를 들면, 도 7a 및 도 7b의 단면도들은 도 6a 및 도 6b를 참조하여 전술된 바와 같이, 상호 인접한 컨택들로 구성된 컨택 쌍을 포함하는 구조의 단면의 예시들을 나타낸다. 도 6a 및 도 6b를 참조하여 전술된 바와 같이, 컨택 쌍에 의해서 더욱 낮은 IR-드롭이 제공될 수 있다.7A and 7B are cross-sectional views showing examples of cross-sections of integrated circuits according to example embodiments of the present disclosure. For example, the cross-sectional views of FIGS. 7A and 7B represent examples of cross-sections of a structure including a contact pair comprised of mutually adjacent contacts, as described above with reference to FIGS. 6A and 6B. As discussed above with reference to FIGS. 6A and 6B, lower IR-drop can be provided by contact pairs.

도 7a를 참조하면, 제1 컨택(CA71) 및 제2 컨택(CA72)은 X축 방향으로 상호 인접할 수 있고, 하나의 컨택 쌍을 구성할 수 있다. 제1 하향 비아(VD71)는, 제1 컨택(CA71)뿐만 아니라 제2 컨택(CA72)에 연결된 상면을 가질 수 있고, 제1 파워 라인(PL71)에 연결된 하면을 가질 수 있다. 제1 하향 비아(VD71)와 같이, 수평 방향(예컨대, X축 방향)으로 연장된 형상을 가지는 비아는 바-유형(bar-type) 비아로 지칭될 수 있다. 바-유형 비아로서 제1 하향 비아(VD71)는 보다 낮은 IR-드롭을 제공할 수 있다. 제1 컨택(CA71) 및 제2 컨택(CA72) 위에서 제1 배선층(M1)의 제1 패턴(M71)이 X축 방향으로 연장될 수 있다. 제1 상향 비아(VU71)는 제1 컨택(CA71) 및 제1 패턴(M71)에 연결될 수 있고, 제2 상향 비아(VU72)는 제2 컨택(CA72) 및 제1 패턴(M71)에 연결될 수 있다.Referring to FIG. 7A, the first contact CA71 and the second contact CA72 may be adjacent to each other in the X-axis direction and may form one contact pair. The first downward via VD71 may have an upper surface connected to the first contact CA71 as well as the second contact CA72 and a lower surface connected to the first power line PL71. Like the first downward via VD71, a via having a shape extending in the horizontal direction (eg, X-axis direction) may be referred to as a bar-type via. As a bar-type via, the first downward via (VD71) can provide lower IR-drop. The first pattern M71 of the first wiring layer M1 may extend in the X-axis direction on the first contact CA71 and the second contact CA72. The first upward via (VU71) may be connected to the first contact (CA71) and the first pattern (M71), and the second upward via (VU72) may be connected to the second contact (CA72) and the first pattern (M71). there is.

도 7b를 참조하면, 제3 컨택(CA73) 및 제4 컨택(CA74)은 X축 방향으로 상호 인접할 수 있고, 하나의 컨택 쌍을 구성할 수 있다. 제2 하향 비아(VD72)는, 제3 컨택(CA73)뿐만 아니라 제4 컨택(CA74)에 연결된 상면을 가질 수 있고, 제2 파워 라인(PL72)에 연결된 하면을 가질 수 있다. 바-유형 비아로서 제2 하향 비아(VD72)는 보다 낮은 IR-드롭을 제공할 수 있다. 제3 컨택(CA73) 및 제4 컨택(CA74) 위에서 제1 배선층(M1)의 제2 패턴(M72)이 X축 방향으로 연장될 수 있다. 제3 상향 비아(VU73)는 제3 컨택(CA73)뿐만 아니라 제4 컨택(CA74)에 연결된 하면을 가질 수 있고, 제2 패턴(M72)에 연결된 상면을 가질 수 있다. 바-유형 비아로서 제3 상향 비아(VU73)는 보다 낮은 IR-드롭을 제공할 수 있다.Referring to FIG. 7B, the third contact CA73 and the fourth contact CA74 may be adjacent to each other in the X-axis direction and may form one contact pair. The second downward via VD72 may have a top surface connected to the fourth contact CA74 as well as the third contact CA73, and a bottom surface connected to the second power line PL72. The second downward via (VD72) as a bar-type via may provide lower IR-drop. The second pattern M72 of the first wiring layer M1 may extend in the X-axis direction on the third contact CA73 and the fourth contact CA74. The third upward via (VU73) may have a lower surface connected to the fourth contact (CA74) as well as the third contact (CA73), and may have an upper surface connected to the second pattern (M72). The third upward via (VU73) as a bar-type via can provide lower IR-drop.

도 8은 본 개시의 예시적 실시예에 따라 집적 회로(IC)를 제조하기 위한 방법을 나타내는 순서도이다. 예를 들면, 도 8의 순서도는 표준 셀들을 포함하는 집적 회로(IC)를 제조하기 위한 방법의 예시를 나타낸다. 도 8에 도시된 바와 같이, 집적 회로(IC)를 제조하기 위한 방법은 복수의 단계들(S10, S30, S50, S70, S90)을 포함할 수 있다.8 is a flow chart illustrating a method for manufacturing an integrated circuit (IC) according to an example embodiment of the present disclosure. For example, the flowchart of Figure 8 shows an example of a method for manufacturing an integrated circuit (IC) containing standard cells. As shown in FIG. 8, a method for manufacturing an integrated circuit (IC) may include a plurality of steps S10, S30, S50, S70, and S90.

셀 라이브러리(또는 표준 셀 라이브러리)(D12)는 표준 셀들에 관한 정보, 예컨대 기능, 특성, 레이아웃 등에 대한 정보를 포함할 수 있다. 일부 실시예들에서, 셀 라이브러리(D12)는, 상이한 레이아웃들에 각각 대응하고 동일한 기능에 대응하는 복수의 표준 셀들을 정의할 수 있다. 일부 실시예들에서, 셀 라이브러리(D12)는 다양한 높이의 표준 셀들을 정의할 수 있다.The cell library (or standard cell library) D12 may include information about standard cells, such as information about functions, characteristics, layout, etc. In some embodiments, the cell library D12 may define a plurality of standard cells, each corresponding to different layouts and corresponding to the same function. In some embodiments, cell library D12 may define standard cells of various heights.

설계 규칙(D14)은 집적 회로(IC)의 레이아웃이 준수해야 할 요건들을 포함할 수 있다. 예를 들면, 설계 규칙(D14)은 동일한 레이어에서 패턴들 사이 거리(space), 패턴의 최소 폭, 배선층의 라우팅 방향 등에 대한 요건들을 포함할 수 있다. 일부 실시예들에서, 설계 규칙(D14)은 배선층의 동일 트랙내 최소 이격 거리를 정의할 수 있다.Design rules (D14) may include requirements that the layout of an integrated circuit (IC) must comply with. For example, the design rule D14 may include requirements for the distance (space) between patterns in the same layer, the minimum width of the pattern, the routing direction of the wiring layer, etc. In some embodiments, design rule D14 may define a minimum separation distance within the same track of the wiring layer.

단계 S10에서, RTL 데이터(D11)로부터 네트리스트 데이터(D13)를 생성하는 논리 합성 동작이 수행될 수 있다. 예를 들면, 반도체 설계 툴(예컨대, 논리 합성 툴)은, VHDL(VHSIC Hardware Description Language)이나 Verilog와 같은 HDL(Hardware Description Language)로서 작성된 RTL 데이터(D11)로부터, 셀 라이브러리(D12)를 참조하여 논리 합성을 수행할 수 있고, 비트스트림(bitstream) 또는 네트리스트를 포함하는 네트리스트 데이터(D13)를 생성할 수 있다. 네트리스트 데이터(D13)는 후술되는 배치 및 라우팅(place and routing)의 입력에 대응할 수 있다.In step S10, a logical synthesis operation may be performed to generate netlist data (D13) from RTL data (D11). For example, a semiconductor design tool (e.g., a logic synthesis tool) refers to the cell library D12 from RTL data D11 created as a Hardware Description Language (HDL) such as VHDL (VHSIC Hardware Description Language) or Verilog. Logical synthesis can be performed and netlist data D13 including a bitstream or netlist can be generated. Netlist data D13 may correspond to input of place and routing, which will be described later.

단계 S30에서, 셀들이 배치될 수 있다. 예를 들면, 반도체 설계 툴(예컨대, P&R 툴)은 네트리스트 데이터(D13)에서 사용된 표준 셀들을 셀 라이브러리(D12)를 참조하여 배치할 수 있다. 일부 실시예들에서, 반도체 설계 툴은 X축 방향으로 연장되는 행에 표준 셀을 배치할 수 있고, 배치된 표준 셀은 트랜지스터 아래에서 X축 방향으로 연장되는 파워 라인에 전기적으로 연결될 수 있다. 단계 S30의 예시가 도 9를 참조하여 설명될 것이다.In step S30, cells may be deployed. For example, a semiconductor design tool (eg, P&R tool) may place standard cells used in the netlist data D13 with reference to the cell library D12. In some embodiments, the semiconductor design tool may place standard cells in a row extending in the X-axis direction, and the placed standard cells may be electrically connected to a power line extending in the X-axis direction under the transistor. An example of step S30 will be described with reference to FIG. 9 .

단계 S50에서, 셀들의 핀(pin)들이 라우팅될 수 있다. 예를 들면, 반도체 설계 툴은 배치된 표준 셀들의 출력 핀들 및 입력 핀들을 전기적으로 연결하는 상호연결(interconnection)들을 생성할 수 있고, 배치된 표준 셀들 및 생성된 상호연결들을 정의하는 레이아웃 데이터(D15)를 생성할 수 있다. 상호연결은 비아 층의 비아 및/또는 배선층의 패턴을 포함할 수 있다. 레이아웃 데이터(D15)는, 예컨대 GDSII와 같은 포맷을 가질 수 있고, 셀들 및 상호연결들의 기하학적 정보를 포함할 수 있다. 반도체 설계 툴은 셀들의 핀들을 라우팅하는 동안 설계 규칙(D14)을 참조할 수 있다. 레이아웃 데이터(D15)는 배치 및 라우팅의 출력에 대응할 수 있다. 단계 S50 단독으로, 또는 단계 S30 및 단계 S50이 총괄적으로, 집적 회로를 설계하는 방법으로서 지칭될 수 있다. 본 명세서에서, 레이아웃 데이터(D15)는 출력 데이터로 지칭될 수 있다.In step S50, pins of cells may be routed. For example, a semiconductor design tool can generate interconnections that electrically connect the output pins and input pins of placed standard cells, and layout data (D15) defining the placed standard cells and the created interconnections. ) can be created. The interconnections may include a pattern of vias and/or interconnection layers of vias. The layout data D15 may have a format such as GDSII, for example, and may include geometric information of cells and interconnections. The semiconductor design tool can refer to the design rule (D14) while routing the pins of the cells. Layout data D15 may correspond to the output of placement and routing. Step S50 alone, or steps S30 and S50 collectively, may be referred to as a method for designing an integrated circuit. In this specification, layout data D15 may be referred to as output data.

단계 S70에서, 마스크를 제작(fabricating)하는 동작이 수행될 수 있다. 예를 들면, 포토리소그래피(photolithography)에서 빛의 특성에 기인하는 굴절 등의 왜곡 현상을 보정하기 위한 OPC(optical proximity correction)가 레이아웃 데이터(D15)에 적용될 수 있다. OPC가 적용된 데이터에 기초하여 복수의 층들에 배치되는 패턴들을 형성하기 위하여 마스크상의 패턴들이 정의될 수 있고, 복수의 층들 각각의 패턴들을 형성하기 위한 적어도 하나의 마스크(또는, 포토마스크)가 제작될 수 있다. 일부 실시예들에서, 집적 회로(IC)의 레이아웃은 단계 S70에서 제한적으로 변형될 수 있고, 단계 S70에서 집적 회로(IC)의 제한적으로 변형하는 것은 집적 회로(IC)의 구조를 최적화하기 위한 후처리로서, 디자인 폴리싱(design polishing)으로 지칭될 수 있다.In step S70, the operation of fabricating a mask may be performed. For example, in photolithography, optical proximity correction (OPC) to correct distortions such as refraction caused by the characteristics of light may be applied to the layout data D15. Patterns on a mask may be defined to form patterns arranged in a plurality of layers based on OPC applied data, and at least one mask (or photomask) may be manufactured to form patterns in each of the plurality of layers. You can. In some embodiments, the layout of the integrated circuit (IC) may be limitedly modified in step S70, and the limited modification of the integrated circuit (IC) in step S70 may be performed after optimizing the structure of the integrated circuit (IC). As a treatment, it may be referred to as design polishing.

단계 S90에서, 집적 회로(IC)를 제조(manufacturing)하는 동작이 수행될 수 있다. 예를 들면, 단계 S70에서 제작된 적어도 하나의 마스크를 사용하여 복수의 층들이 패터닝됨으로써 집적 회로(IC)가 제조될 수 있다. FEOL(front-end-of-line) 은, 예컨대 웨이퍼를 평탄화(planarization)하고 세정(cleaning)하는 단계, 트랜치(trench)를 형성하는 단계, 웰(well)을 형성하는 단계, 게이트(gate) 전극을 형성하는 단계, 소스 및 드레인을 형성하는 단계를 포함할 수 있다. FEOL에 의해서, 개별 소자들, 예컨대 트랜지스터, 캐패시터, 저항 등이 기판에 형성될 수 있다. 또한, BEOL(back-end-of-line)은, 예컨대 게이트, 소스 및 드레인 영역을 실리사이드화(silicidation)하는 단계, 유전체를 부가하는 단계, 평탄화 단계, 홀을 형성하는 단계, 금속층을 부가하는 단계, 비아를 형성하는 단계, 패시베이션(passivation)층을 형성하는 단계 등을 포함할 수 있다. BEOL에 의해서, 개별 소자들, 예컨대 트랜지스터, 캐패시터, 저항 등이 상호연결될 수 있다. 일부 실시예들에서, FEOL 및 BEOL 사이에 MOL(middle-of-line)이 수행될 수 있고, 개별 소자들 상에 컨택들이 형성될 수 있다. 그 다음에, 집적 회로(IC)는 반도체 패키지에 패키징될 수 있고, 다양한 어플리케이션들의 부품으로서 사용될 수 있다.In step S90, an operation of manufacturing an integrated circuit (IC) may be performed. For example, an integrated circuit (IC) may be manufactured by patterning a plurality of layers using at least one mask fabricated in step S70. Front-end-of-line (FEOL) includes, for example, planarizing and cleaning the wafer, forming a trench, forming a well, and forming a gate electrode. It may include forming a source and a drain. By FEOL, individual elements such as transistors, capacitors, resistors, etc. can be formed on the substrate. Additionally, back-end-of-line (BEOL) may include, for example, siliciding the gate, source, and drain regions, adding a dielectric, planarizing, forming holes, and adding a metal layer. , forming a via, forming a passivation layer, etc. By BEOL, individual elements such as transistors, capacitors, resistors, etc. can be interconnected. In some embodiments, middle-of-line (MOL) may be performed between FEOL and BEOL and contacts may be formed on the individual devices. The integrated circuit (IC) can then be packaged in a semiconductor package and used as a component in a variety of applications.

도 9는 본 개시의 예시적 실시예에 따라 집적 회로를 제조하기 위한 방법을 나타내는 순서도이다. 예를 들면, 도 9의 순서도는 도 8의 단계 S30의 예시를 나타낸다. 도 8을 참조하여 전술된 바와 같이, 도 9의 단계 S30'에서 셀들이 배치될 수 있다. 도 9에 도시된 바와 같이, 단계 S30'은 복수의 단계들(S31 내지 S36)을 포함할 수 있다.9 is a flow chart illustrating a method for manufacturing an integrated circuit according to an example embodiment of the present disclosure. For example, the flow chart in FIG. 9 shows an example of step S30 in FIG. 8. As described above with reference to FIG. 8, cells may be deployed in step S30' of FIG. 9. As shown in FIG. 9, step S30' may include a plurality of steps (S31 to S36).

도 9를 참조하면, 단계 S31에서 네트리스트 데이터가 획득될 수 있다. 예를 들면, 도 8을 참조하여 전술된 바와 같이, 논리 합성의 결과로서 네트리스트 데이터가 생성될 수 있다. 네트리스트 데이터는 집적 회로에 포함되는 표준 셀들을 정의할 수 있고, 표준 셀들 사이 연결관계를 정의할 수 있다. 본 명세서에서, 네트리스트 데이터는 입력 데이터로 지칭될 수 있다.Referring to FIG. 9, netlist data can be obtained in step S31. For example, as described above with reference to FIG. 8, netlist data may be generated as a result of logical composition. Netlist data can define standard cells included in an integrated circuit and can define connection relationships between standard cells. In this specification, netlist data may be referred to as input data.

단계 S32에서, 파워 라인들이 배치될 수 있다. 도면들을 참조하여 전술된 바와 같이, 소자들, 즉 트랜지스터들 아래 파워 라인 층에서 파워 라인들이 X축 방향으로 연장될 수 있고, 파워 라인들 각각은 양의 공급 전압 또는 음의 공급 전압이 인가되도록 구성될 수 있다. 일부 실시예들에서, 파워 라인들은 표준 셀들이 정렬되는 행들의 경계들을 따라서 연장될 수 있다.In step S32, power lines may be placed. As described above with reference to the drawings, power lines may extend in the It can be. In some embodiments, power lines may extend along the boundaries of rows in which standard cells are aligned.

단계 S33에서, 가상 컨택들이 배치될 수 있다. 가상 컨택들 각각은, Y축 방향으로 연장될 수 있고, 단계 S32에서 배치된 파워 라인들 중 하나와 Z축 방향으로 중첩될 수 있다. 예를 들면, 도 5a의 컨택들과 동일하게 가상 컨택들이 미리 배치될 수 있다. 가상 컨택들은 하향 비아들이 배치될 지점들을 나타낼 수 있고, 후술되는 바와 같이, 표준 셀들을 배치하는데 사용될 수 있다. 단계 S33의 예시가 도 10을 참조하여 후술될 것이다.In step S33, virtual contacts may be placed. Each of the virtual contacts may extend in the Y-axis direction and overlap one of the power lines arranged in step S32 in the Z-axis direction. For example, virtual contacts may be arranged in advance in the same way as the contacts in FIG. 5A. Virtual contacts can indicate points where downward vias will be placed and can be used to place standard cells, as described below. An example of step S33 will be described below with reference to FIG. 10.

단계 S34에서, 표준 셀들이 배치될 수 있다. 예를 들면, 단계 S33에서 배치된 가상 컨택들에 기초하여 표준 셀들이 배치될 수 있다. 트랜지스터의 소스가 가상 컨택과 중첩되도록, 표준 셀들이 배치될 수 있다. 이에 따라 감소된 면적(또는 높이)의 표준 셀들이 배치될 수 있고, 집적 회로는 감소된 면적 및/또는 높은 집적도를 가질 수 있다. 단계 S34의 예시가 도 11을 참조하여 후술될 것이다.In step S34, standard cells may be placed. For example, standard cells may be placed based on the virtual contacts placed in step S33. Standard cells can be placed such that the transistor's source overlaps the virtual contact. Accordingly, standard cells of reduced area (or height) may be placed, and the integrated circuit may have reduced area and/or high integration. An example of step S34 will be described below with reference to FIG. 11.

단계 S35에서, 하향 비아들이 배치될 수 있다. 예를 들면, 단계 S33에서 배치된 가상 컨택들과 중첩되도록 하향 비아들이 배치될 수 있고, 하향 비아들 각각은 단계 S32에서 배치된 파워 라인들 중 하나에 연결될 수 있다. 일부 실시예들에서, 하향 비아들은 행들의 경계들에 정렬되도록 배치될 수 있다.In step S35, downward vias may be placed. For example, downward vias may be placed to overlap the virtual contacts placed in step S33, and each of the downward vias may be connected to one of the power lines placed in step S32. In some embodiments, downward vias may be placed to align with the boundaries of rows.

단계 S36에서, 가상 컨택들이 컨택들로 교체될 수 있다. 교체된 컨택에 의해서, 상이한 표준 셀들의 소스들이 전기적으로 연결될 수 있다. 예를 들면, 컨택은 제1 표준 셀로부터 제2 표준 셀로 연장될 수 있고, 제1 표준 셀에 포함된 트랜지스터의 소스 및 제2 표준 셀에 포함된 트랜지스터의 소스에 연결될 수 있다. 또한, 교체된 컨택은 단계 S35에서 배치된 하향 비아와 연결될 수 있고, 이에 따라 소스들이 파워 라인과 전기적으로 연결될 수 있다.In step S36, virtual contacts may be replaced with contacts. By means of replaced contacts, the sources of different standard cells can be electrically connected. For example, the contact may extend from the first standard cell to the second standard cell, and may be connected to the source of the transistor included in the first standard cell and the source of the transistor included in the second standard cell. Additionally, the replaced contact may be connected to the downward via placed in step S35, thereby electrically connecting the sources to the power line.

도 10은 본 개시의 예시적 실시예에 따라 집적 회로를 제조하기 위한 방법을 나타내는 순서도이다. 예를 들면, 도 10의 순서도는 도 9의 단계 S33의 예시를 나타낸다. 도 9를 참조하여 전술된 바와 같이, 도 10의 단계 S33'에서 가상 컨택들이 배치될 수 있다. 가상 컨택들은 표준 셀들이 배치된 후 컨택들로 교체될 수 있다. 도 10에 도시된 바와 같이, 단계 S33'은 복수의 단계들(S33_1 내지 S33_3)을 포함할 수 있다. 이하에서, 도 10은 도 5a 및 도 5b를 참조하여 설명될 것이고, 도 5a 및 도 5b의 컨택들은 가상 컨택들인 것으로 간주된다.Figure 10 is a flow chart illustrating a method for manufacturing an integrated circuit according to an example embodiment of the present disclosure. For example, the flow chart in Figure 10 shows an example of step S33 in Figure 9. As described above with reference to FIG. 9, virtual contacts may be placed in step S33' of FIG. 10. Virtual contacts can be replaced with contacts after standard cells are deployed. As shown in FIG. 10, step S33' may include a plurality of steps (S33_1 to S33_3). Below, Figure 10 will be described with reference to Figures 5A and 5B, and the contacts in Figures 5A and 5B are considered to be virtual contacts.

도 10을 참조하면, 단계 S33_1에서 제1 파워 라인(PL51)에 중첩되도록 제1 가상 컨택들이 배치될 수 있다. 예를 들면, 제1 가상 컨택들은, 양의 공급 전압(VDD)이 인가되도록 구성된 제1 파워 라인(PL51)에 중첩되도록 일정한 간격으로 배치될 수 있다. 제1 가상 컨택들의 간격(또는 피치)는 미리 정의될 수도 있고, 도 8의 설계 규칙(D14)에 의해서 정의될 수도 있으며, 집적 회로를 정의하는 추가적인 입력 데이터에 의해서 정의될 수도 있다.Referring to FIG. 10 , first virtual contacts may be arranged to overlap the first power line PL51 in step S33_1. For example, the first virtual contacts may be arranged at regular intervals to overlap the first power line PL51 configured to apply the positive supply voltage VDD. The spacing (or pitch) of the first virtual contacts may be predefined, may be defined by the design rule D14 of FIG. 8, or may be defined by additional input data defining the integrated circuit.

단계 S33_2에서, 제2 파워 라인(PL52)에 중첩되도록 제2 가상 컨택들이 배치될 수 있다. 예를 들면, 제2 가상 컨택들은, 음의 공급 전압(VSS)이 인가되도록 구성된 제2 파워 라인(PL52)에 중첩되도록 일정한 간격으로 배치될 수 있다. 일부 실시예들에서, 도 5a를 참조하여 전술된 바와 같이, 제2 가상 컨택들은 단계 S33_1에서 배치된 제1 가상 컨택들과 Y축 방향으로 정렬되지 아니할 수 있다. 일부 실시예들에서, 도 5b를 참조하여 전술된 바와 같이, 제2 가상 컨택들은 단계 S33_1에서 배치된 제1 가상 컨택들과 Y축 방향으로 정렬될 수 있다.In step S33_2, second virtual contacts may be arranged to overlap the second power line PL52. For example, the second virtual contacts may be arranged at regular intervals to overlap the second power line PL52 configured to apply the negative supply voltage VSS. In some embodiments, as described above with reference to FIG. 5A , the second virtual contacts may not be aligned in the Y-axis direction with the first virtual contacts placed in step S33_1. In some embodiments, as described above with reference to FIG. 5B, the second virtual contacts may be aligned in the Y-axis direction with the first virtual contacts placed in step S33_1.

단계 S33_3에서, 제3 파워 라인에 중첩되도록 제3 가상 컨택들이 배치될 수 있다. 예를 들면, 제3 가상 컨택들은, 양의 공급 전압(VDD)이 인가되도록 구성된 제3 파워 라인(PL53)에 중첩되도록 일정한 간격으로 배치될 수 있다. 일부 실시예들에서, 도 5a 및 도 5b를 참조하여 전술된 바와 같이, 제3 가상 컨택들은 단계 S33_1에서 배치된 제1 가상 컨택들과 Y축 방향으로 정렬될 수 있다.In step S33_3, third virtual contacts may be placed to overlap the third power line. For example, the third virtual contacts may be arranged at regular intervals to overlap the third power line PL53 configured to apply the positive supply voltage VDD. In some embodiments, as described above with reference to FIGS. 5A and 5B, the third virtual contacts may be aligned in the Y-axis direction with the first virtual contacts placed in step S33_1.

도 11은 본 개시의 예시적 실시예에 따라 집적 회로를 제조하기 위한 방법을 나타내는 순서도이다. 예를 들면, 도 11의 순서도는 도 9의 단계 S34의 예시를 나타낸다. 도 9를 참조하여 전술된 바와 같이, 도 11의 단계 S34'에서 표준 셀들이 배치될 수 있다. 도 11에 도시된 바와 같이, 단계 S34'는 단계 S34_1 및 단계 S34_2를 포함할 수 있다.11 is a flow chart illustrating a method for manufacturing an integrated circuit according to an exemplary embodiment of the present disclosure. For example, the flow chart in Figure 11 shows an example of step S34 in Figure 9. As described above with reference to FIG. 9, standard cells may be placed in step S34' of FIG. 11. As shown in FIG. 11, step S34' may include step S34_1 and step S34_2.

도 11을 참조하면, 단계 S34_1에서 제1 표준 셀과 동일한 기능을 제공하는 제2 표준 셀이 식별될 수 있다. 네트리스트 데이터에 정의된 표준 셀들이 순차적으로 배치되는 과정에서, 이미 배치된 표준 셀에 인접하게 다음 제1 표준 셀을 배치하고자 하는 경우, 제1 표준 셀에 포함된 트랜지스터의 소스가 가상 컨택과 중첩되지 아니할 수 있다. 일부 실시예들에서, 셀 라이브러리(D12)는, 동일한 기능을 제공하되 상이한 레이아웃들을 각각 가지는 복수의 표준 셀들을 정의할 수 있다. 예를 들면, 셀 라이브러리(D12)는, 동일한 기능을 제공하되 트랜지스터의 소스의 위치들이 상이한, 복수의 표준 셀들을 정의할 수 있다. 이에 따라, 제1 표준 셀과 동일한 기능을 제공할 수 있고, 이미 배치된 표준 셀에 인접하게 배치되는 경우 가상 컨택과 중첩되는 트랜지스터의 소스를 포함하는, 제2 표준 셀이 식별될 수 있다.Referring to FIG. 11, a second standard cell providing the same function as the first standard cell may be identified in step S34_1. In the process of sequentially placing standard cells defined in netlist data, when it is desired to place the next first standard cell adjacent to an already placed standard cell, the source of the transistor included in the first standard cell overlaps the virtual contact. It may not work. In some embodiments, the cell library D12 may define a plurality of standard cells that provide the same function but each have different layouts. For example, the cell library D12 may define a plurality of standard cells that provide the same function but have different locations of transistor sources. Accordingly, a second standard cell may be identified, which may provide the same function as the first standard cell and includes a source of a transistor that overlaps a virtual contact when placed adjacent to an already placed standard cell.

단계 S34_2에서, 제2 표준 셀이 배치될 수 있다. 예를 들면, 이미 배치된 표준 셀에 인접하게 제2 표준 셀이 배치될 수 있고, 제2 표준 셀에 포함된 트랜지스터의 소스는 가상 컨택과 중첩될 수 있다.In step S34_2, a second standard cell may be deployed. For example, a second standard cell may be placed adjacent to an already placed standard cell, and the source of a transistor included in the second standard cell may overlap a virtual contact.

도 12는 본 개시의 예시적 실시예에 따른 집적 회로(120)의 레이아웃을 나타내는 도면이다. 예를 들면, 도 8은 제1 내지 제3 행(R1 내지 R3)의 경계들을 따라 X축 방향으로 연장되는 제1 내지 제4 파워 라인(PL81 내지 PL84) 및 제1 내지 제4 파워 라인(PL81 내지 PL84)과 하향 비아들을 통해서 연결되는 컨택들을 나타낸다. 도 12에 도시된 바와 같이, 집적 회로(120)는, 제2 행(R2)에 배치된 제1 셀(C121) 및 제3 셀(C123)을 포함할 수 있고, 제3 행(R3)에 배치된 제4 셀(C124) 및 제5 셀(C125)을 포함할 수 있으며, 제2 행(R2) 및 제3 행(R3)에 연속적으로 배치된 제2 셀(C122)을 포함할 수 있다.FIG. 12 is a diagram showing the layout of an integrated circuit 120 according to an exemplary embodiment of the present disclosure. For example, Figure 8 shows first to fourth power lines (PL81 to PL84) and first to fourth power lines (PL81) extending in the X-axis direction along the boundaries of the first to third rows (R1 to R3). to PL84) and contacts connected through downward vias. As shown in FIG. 12, the integrated circuit 120 may include a first cell C121 and a third cell C123 arranged in the second row R2, and in the third row R3. It may include a fourth cell C124 and a fifth cell C125, and may include a second cell C122 sequentially placed in the second row R2 and the third row R3. .

도 9를 참조하여 전술된 바와 같이, 가상 컨택에 소스가 중첩되도록 표준 셀들이 배치되는 경우, 표준 셀들 사이 공간이 발생할 수 있다. 예를 들면, 제4 셀(C1245) 및 제2 셀(C122) 사이에서 표준 셀이 배치되지 아니한 제1 영역(R121)이 제3 행(R3)에서 발생할 수 있다. 또한, 제2 셀(C122) 및 제3 셀(C123)과 제5 셀(C125) 사이에서 표준 셀이 배치되지 아니한 제2 영역(R122)이 제2 행(R2) 및 제3 행(R3)에 연속적으로 발생할 수 있다. 도 13 및 도 14를 참조하여 후술되는 바와 같이, 전력 전달 네트워크를 강화하기 위한 필러(filler) 셀들이 제공될 수 있고, 도 8의 단계 S34는 소스/드레인 영역이 가상 컨택과 중첩되도록, 배치된 표준 셀들 사이에 필러 셀을 배치하는 단계를 포함할 수 있다. 이에 따라, 제1 영역(R121) 및 제2 영역(R122)에 필러 셀들이 배치될 수 있다.As described above with reference to FIG. 9 , when standard cells are arranged so that sources overlap a virtual contact, a space may occur between the standard cells. For example, a first area R121 in which standard cells are not placed may occur in the third row R3 between the fourth cell C1245 and the second cell C122. In addition, the second area (R122) in which the standard cells are not placed between the second cell (C122), the third cell (C123), and the fifth cell (C125) is located in the second row (R2) and the third row (R3). can occur continuously. As will be described later with reference to FIGS. 13 and 14, filler cells may be provided to strengthen the power delivery network, and step S34 of FIG. 8 is arranged such that the source/drain region overlaps the virtual contact. It may include placing filler cells between standard cells. Accordingly, pillar cells may be disposed in the first region R121 and the second region R122.

도 13은 본 개시의 예시적 실시예에 따른 집적 회로(130)의 레이아웃을 나타내는 도면이다. 도 13에 도시된 바와 같이, 집적 회로(130)는, 제1 내지 제3 셀(C131 내지 C133), 제1 내지 제3 파워 라인(PL131 내지 PL133)을 포함할 수 있다. 제1 셀(C131)은 제1 높이(H1)의 제1 행(R1)에 배치될 수 있고, 제2 셀(C132) 및 제3 셀(C133)은 제2 높이(H2)의 제2 행(R2)에 배치될 수 있다. 제1 내지 제3 파워 라인(PL91 내지 PL93)은 X축 방향으로 연장될 수 있고, 양의 공급 전압(VDD), 음의 공급 전압(VSS) 및 양의 공급 전압(VDD)을 각각 수신하도록 구성될 수 있다.FIG. 13 is a diagram showing the layout of an integrated circuit 130 according to an exemplary embodiment of the present disclosure. As shown in FIG. 13, the integrated circuit 130 may include first to third cells C131 to C133 and first to third power lines PL131 to PL133. The first cell C131 may be placed in the first row R1 of the first height H1, and the second cell C132 and the third cell C133 may be placed in the second row R1 of the second height H2. It can be placed in (R2). The first to third power lines (PL91 to PL93) may extend in the X-axis direction and are configured to receive a positive supply voltage (VDD), a negative supply voltage (VSS), and a positive supply voltage (VDD), respectively. It can be.

제1 셀(C131) 및 제2 셀(C132)은 기능 셀들로서 네트리스트 데이터에 의해서 정의될 수 있다. 제3 셀(C133)은 필러 셀로서 셀 라이브러리에 의해서 정의될 수 있고, 제1 셀(C131) 및 제2 셀(C132)에 인접하게 배치될 수 있다. 제3 셀(C133)은 단일 높이 셀로서 제2 높이(H2)를 가질 수 있고, 가상 컨택과 중첩될 수 있다. 예를 들면, 도 13에 도시된 바와 같이, 가상 컨택에 대응하는 제1 컨택(CA131)은 제1 셀(C131)로부터 제3 셀(C133)로 연장될 수 있다. 제1 컨택(C131)의 하면에 연결되고 제2 파워 라인(132)의 상면에 연결된 하향 비아가 배치될 수 있다. 또한, 제1 컨택(C131)의 상면에 연결되고 제1 배선층의 패턴의 하면에 연결된 상향 비아가 배치될 수 있다. 이에 따라, 제1 셀(C131)에 포함된 트랜지스터(즉, NFET)이 음의 공급 전압(VSS)을 수신하는 경로에서 IR-드롭이 감소할 수 있다.The first cell C131 and the second cell C132 are functional cells and may be defined by netlist data. The third cell C133 is a pillar cell and may be defined by a cell library and may be placed adjacent to the first cell C131 and the second cell C132. The third cell C133 is a single height cell and may have a second height H2 and may overlap with the virtual contact. For example, as shown in FIG. 13, the first contact CA131 corresponding to the virtual contact may extend from the first cell C131 to the third cell C133. A downward via connected to the lower surface of the first contact C131 and the upper surface of the second power line 132 may be disposed. Additionally, an upward via connected to the top surface of the first contact C131 and the bottom surface of the pattern of the first wiring layer may be disposed. Accordingly, IR-drop may be reduced in the path where the transistor (i.e., NFET) included in the first cell C131 receives the negative supply voltage (VSS).

도 14는 본 개시의 예시적 실시예에 따른 집적 회로(140)의 레이아웃을 나타내는 도면이다. 도 14에 도시된 바와 같이, 집적 회로(140)는, 제1 셀(C141), 제1 내지 제3 파워 라인(PL141 내지 PL143)을 포함할 수 있다. 제1 셀(C141)은 다중 높이 셀로서 제1 행(R1) 및 제2 행(R2)에 연속적으로 배치될 수 있고, 제1 행(R1)의 높이 및 제2 행(R2)의 높이의 합인 제3 높이(H3)를 가질 수 있다. 제1 내지 제3 파워 라인(PL91 내지 PL93)은 X축 방향으로 연장될 수 있고, 양의 공급 전압(VDD), 음의 공급 전압(VSS) 및 양의 공급 전압(VDD)을 각각 수신하도록 구성될 수 있다.FIG. 14 is a diagram showing the layout of an integrated circuit 140 according to an exemplary embodiment of the present disclosure. As shown in FIG. 14 , the integrated circuit 140 may include a first cell C141 and first to third power lines PL141 to PL143. The first cell C141 is a multi-height cell and can be continuously arranged in the first row R1 and the second row R2, and has the height of the first row R1 and the height of the second row R2. It may have a third height (H3) that is the sum. The first to third power lines (PL91 to PL93) may extend in the X-axis direction and are configured to receive a positive supply voltage (VDD), a negative supply voltage (VSS), and a positive supply voltage (VDD), respectively. It can be.

제1 셀(C141)은 필러 셀일 수 있고, 네트리스트에 의해서 정의된 표준 셀들 사이에 배치될 수 있다. 제1 셀(C141)은 가상 컨택과 중첩될 수 있다. 예를 들면, 도 14에 도시된 바와 같이, 가상 컨택에 대응하는 제1 컨택(CA141)은 제1 셀(C141)에서 연장될 수 있다. 제1 컨택(C141)의 하면에 연결되고 제2 파워 라인(142)의 상면에 연결된 하향 비아가 배치될 수 있다. 또한, 제1 컨택(C141)의 상면에 연결되고 제1 배선층의 패턴의 하면에 연결된 상향 비아가 배치될 수 있다. 이에 따라, 제2 파워 라인(PL142) 및 제1 배선층의 패턴 사이 연결이 더욱 강화될 수 있다.The first cell C141 may be a pillar cell and may be placed between standard cells defined by a netlist. The first cell C141 may overlap the virtual contact. For example, as shown in FIG. 14, the first contact CA141 corresponding to the virtual contact may extend from the first cell C141. A downward via connected to the lower surface of the first contact C141 and the upper surface of the second power line 142 may be disposed. Additionally, an upward via connected to the top surface of the first contact C141 and the bottom surface of the pattern of the first wiring layer may be disposed. Accordingly, the connection between the second power line PL142 and the pattern of the first wiring layer can be further strengthened.

일부 실시예들에서, 다중 높이 셀인 필러 셀은 2개의 단일 높이 셀들인 필러 셀들로 구성될 수 있다. 예를 들면, 도 14의 제1 셀(C141)은 도 13의 제3 셀(C133) 및 제3 셀(C133)이 X축을 중심으로 플립된(flipped) 셀로 구성될 수 있다. 이에 따라, 필러 셀은 단일 높이 셀들만이 제공될 수 있고, 2이상의 필러 셀들을 조합함으로써 도 14의 제1 셀(C141)과 같은 다중 높이 셀이 제공될 수 있다.In some embodiments, a pillar cell that is a multi-height cell may be comprised of two pillar cells that are single height cells. For example, the first cell C141 in FIG. 14 may be composed of the third cell C133 in FIG. 13 and the third cell C133 is flipped about the X-axis. Accordingly, only single-height cells may be provided as filler cells, and a multi-height cell such as the first cell C141 of FIG. 14 may be provided by combining two or more filler cells.

도 15는 본 개시의 예시적 실시예에 따라 집적 회로를 제조하기 위한 방법을 나타내는 순서도 및 레이아웃의 예시들을 나타내는 도면이다. 예를 들면, 도 15의 순서도는 가상 컨택에 기초하여 표준 셀을 배치하기 위하여 표준 셀의 레이아웃에 가상 방지(blockage) 레이어를 추가하는 방법을 나타내고, 도 15의 제1 내지 제4 레이아웃(151 내지 154)은 가상 방지 레이어를 추가하는 방법을 수행하는 과정에서 생성되는 데이터에 대응하는 레이아웃의 예시들을 나타낸다. 도 15에 도시된 바와 같이, 가상 방지 레이어를 추가하는 방법은 복수의 단계들(S110 내지 S140)을 포함할 수 있다.15 is a diagram illustrating examples of a flowchart and layout illustrating a method for manufacturing an integrated circuit according to an exemplary embodiment of the present disclosure. For example, the flowchart of FIG. 15 shows a method of adding a virtual blockage layer to the layout of a standard cell to place a standard cell based on a virtual contact, and the first to fourth layouts 151 to 151 of FIG. 15 154) shows examples of layouts corresponding to data generated in the process of performing a method of adding a virtual prevention layer. As shown in FIG. 15, the method of adding a virtual prevention layer may include a plurality of steps (S110 to S140).

도 15를 참조하면, 단계 S10에서 컨택 레이어가 식별될 수 있다. 예를 들면, 제1 레이아웃(151)에서 굵은 선으로 표시된 바와 같이, 컨택들이 형성된 컨택 레이어가 식별될 수 있다. 단계 S120에서, 컨택 레이어에 컨택 컷 레이어가 적용될 수 있다. 반도체 공정에서, 컨택은 Y축에 평행하게 형성된 후 컨택 컷에 의해서 분할될 수 있다. 컨택 컷 레이어는 컨택 컷들을 포함할 수 있고, 단계 S110에서 식별된 컨택 레이어에 컨택 컷 레이어가 적용될 수 있다. 예를 들면, 제2 레이아웃(152)에서 굵은 선으로 표시된 바와 같이, 제1 레이아웃(151)의 컨택들 각각은 컨택 컷에 의해서 2개의 컨택들로 분할될 수 있다.Referring to FIG. 15, the contact layer may be identified in step S10. For example, as indicated by a thick line in the first layout 151, a contact layer where contacts are formed may be identified. In step S120, a contact cut layer may be applied to the contact layer. In semiconductor processing, contacts may be formed parallel to the Y axis and then divided by contact cuts. The contact cut layer may include contact cuts, and the contact cut layer may be applied to the contact layer identified in step S110. For example, as indicated by a thick line in the second layout 152, each of the contacts in the first layout 151 may be divided into two contacts by a contact cut.

단계 S130에서, 소스 컨택들을 제외한 컨택들이 추출될 수 있다. 예를 들면, 컨택은 트랜지스터의 소스에 공급 전압을 제공하기 위하여 비아에 연결될 수 있고, 비아와의 연결을 위하여 연장된 길이를 가질 수 있다. 이에 따라, 제3 레이아웃(153)에서 굵은 선으로 표시된 바와 같이, 트랜지스터의 소스에 연결된 컨택, 즉 소스 컨택이 추출될 수 있다.In step S130, contacts excluding source contacts may be extracted. For example, a contact may be connected to a via to provide a supply voltage to the source of a transistor and may have an extended length for connection to the via. Accordingly, as indicated by a thick line in the third layout 153, a contact connected to the source of the transistor, that is, a source contact, can be extracted.

단계 S140에서, 가상 방지 레이어가 생성 및 추가될 수 있다. 예를 들면, 단계 S130에서 추출된 컨택들에 대응하는 방지 패턴들이 생성될 수 있고, 방지 패턴들을 포함하는 가상 방지 레이어가 생성될 수 있다. 이에 따라, 제4 레이아웃(154)에서 굵은 선으로 표시된 바와 같이, 방지 패턴들을 포함하는 가상 방지 레이어가 생성될 수 있다. 표준 셀은 방지 패턴이 가상 컨택과 중첩되지 아니하도록 배치될 수 있고, 이에 따라 트랜지스터의 소스가 가상 컨택과 중첩될 수 있다.In step S140, a virtual prevention layer may be created and added. For example, prevention patterns corresponding to the contacts extracted in step S130 may be created, and a virtual prevention layer including the prevention patterns may be created. Accordingly, a virtual prevention layer including prevention patterns may be created, as indicated by a thick line in the fourth layout 154. The standard cell can be placed so that the prevention pattern does not overlap the virtual contact, and thus the source of the transistor can overlap the virtual contact.

일부 실시예들에서, 도 15의 예시와 상이하게, 소스 컨택들에 대응하는 가상 패턴들을 포함하는 가상 레이어가 생성 및 추가될 수 있다. 이 경우 표준 셀은 가상 패턴이 가상 컨택과 중첩되도록 배치될 수 있다.In some embodiments, different from the example of FIG. 15, a virtual layer including virtual patterns corresponding to source contacts may be created and added. In this case, the standard cell can be placed so that the virtual pattern overlaps the virtual contact.

도 16은 본 개시의 예시적 실시예에 따른 시스템-온-칩(system on chip; SoC)(160)을 나타내는 블록도이다. 시스템-온-칩(160)은 반도체 장치로서, 본 개시의 예시적 실시예에 따른 집적 회로를 포함할 수 있다. 시스템-온-칩(160)은, 다양한 기능을 수행하는 IP(intellectual property)와 같이 복잡한 블록들을 하나의 칩에 구현한 것으로서, 본 개시의 예시적 실시예들에 따른 집적 회로를 설계하는 방법에 의해서 시스템-온-칩(160)이 설계될 수 있고, 이에 따라 시스템-온-칩(160)은 감소된 면적 및/또는 높은 집적도를 가질 수 있다. 도 16를 참조하면, 시스템-온-칩(160)은 모뎀(162), 디스플레이 컨트롤러(163), 메모리(164), 외부 메모리 컨트롤러(165), CPU(central processing unit)(166), 트랜잭션 유닛(167), PMIC(168) 및 GPU(graphic processing unit)(169)을 포함할 수 있고, 시스템-온-칩(160)의 각 기능 블록들은 시스템 버스(161)를 통해서 서로 통신할 수 있다.Figure 16 is a block diagram illustrating a system on chip (SoC) 160 according to an example embodiment of the present disclosure. The system-on-chip 160 is a semiconductor device and may include an integrated circuit according to an example embodiment of the present disclosure. The system-on-chip 160 implements complex blocks such as IP (intellectual property) that perform various functions on a single chip, and is used in the method of designing an integrated circuit according to example embodiments of the present disclosure. Thus, the system-on-chip 160 may be designed, and thus the system-on-chip 160 may have a reduced area and/or a high degree of integration. Referring to FIG. 16, the system-on-chip 160 includes a modem 162, a display controller 163, a memory 164, an external memory controller 165, a central processing unit (CPU) 166, and a transaction unit. It may include a 167, a PMIC 168, and a graphic processing unit (GPU) 169, and each functional block of the system-on-chip 160 may communicate with each other through the system bus 161.

시스템-온-칩(160)의 동작을 최상위 계층에서 제어할 수 있는 CPU(166)는 다른 기능 블록들(162 내지 169)의 동작을 제어할 수 있다. 모뎀(162)은 시스템-온-칩(160) 외부로부터 수신되는 신호를 복조(demodulation)하거나, 시스템-온-칩(160) 내부에서 생성된 신호를 변조(modulation)하여 외부로 송신할 수 있다. 외부 메모리 컨트롤러(165)는 시스템-온-칩(160)에 연결된 외부 메모리 장치로부터 데이터를 송수신하는 동작을 제어할 수 있다. 예를 들면, 외부 메모리 장치에 저장된 프로그램 및/또는 데이터는 외부 메모리 컨트롤러(165)의 제어 하에서 CPU(166) 또는 GPU(169)에 제공될 수 있다. GPU(169)는 그래픽 처리와 관련된 프로그램 명령(instruction)들을 실행할 수 있다. GPU(169)는 외부 메모리 컨트롤러(165)를 통해서 그래픽 데이터를 수신할 수도 있고, GPU(169)에 의해서 처리된 그래픽 데이터를 외부 메모리 컨트롤러(165)를 통해서 시스템-온-칩(160) 외부로 전송할 수도 있다. 트랜잭션 유닛(167)은 각 기능 블록들의 데이터 트랜잭션을 모니터링할 수 있고, PMIC(168)는 트랜잭션 유닛(167)의 제어에 따라 각 기능 블록으로 공급되는 전력을 제어할 수 있다. 디스플레이 컨트롤러(163)는 시스템-온-칩(160) 외부의 디스플레이(또는 디스플레이 장치)를 제어함으로써 시스템-온-칩(160) 내부에서 생성된 데이터를 디스플레이에 전송할 수 있다. 메모리(164)는, EEPROM(Electrically Erasable Programmable Read-Only Memory), 플래시 메모리(flash memory) 등과 같은 비휘발성 메모리를 포함할 수도 있고, DRAM(Dynamic Random Access Memory), SRAM(Static Random Access Memory) 등과 같은 휘발성 메모리를 포함할 수도 있다.The CPU 166, which can control the operation of the system-on-chip 160 at the top layer, can control the operation of other functional blocks 162 to 169. The modem 162 can demodulate a signal received from outside the system-on-chip 160 or modulate a signal generated inside the system-on-chip 160 and transmit it to the outside. . The external memory controller 165 may control the operation of transmitting and receiving data from an external memory device connected to the system-on-chip 160. For example, programs and/or data stored in an external memory device may be provided to the CPU 166 or GPU 169 under the control of the external memory controller 165. GPU 169 can execute program instructions related to graphics processing. The GPU 169 may receive graphics data through the external memory controller 165, and may send graphics data processed by the GPU 169 to the outside of the system-on-chip 160 through the external memory controller 165. You can also send it. The transaction unit 167 can monitor data transactions of each functional block, and the PMIC 168 can control power supplied to each functional block according to the control of the transaction unit 167. The display controller 163 can transmit data generated inside the system-on-chip 160 to the display by controlling a display (or display device) outside the system-on-chip 160. The memory 164 may include non-volatile memory such as Electrically Erasable Programmable Read-Only Memory (EEPROM), flash memory, etc., Dynamic Random Access Memory (DRAM), Static Random Access Memory (SRAM), etc. It may also contain the same volatile memory.

도 17은 본 개시의 예시적 실시예에 따른 프로그램을 저장하는 메모리를 포함하는 컴퓨팅 시스템(170)을 나타내는 블록도이다. 본 개시의 예시적 실시예들에 따른, 집적 회로를 설계하는 방법, 예컨대 전술된 순서도의 단계들 중 적어도 일부는 컴퓨팅 시스템(또는 컴퓨터)(170)에서 수행될 수 있다.FIG. 17 is a block diagram illustrating a computing system 170 including a memory for storing a program according to an exemplary embodiment of the present disclosure. A method of designing an integrated circuit, according to example embodiments of the present disclosure, such as at least some of the steps in the flowchart described above, may be performed on a computing system (or computer) 170.

컴퓨팅 시스템(170)은 데스크탑 컴퓨터, 워크스테이션, 서버 등과 같이 고정형 컴퓨팅 시스템일 수도 있고, 랩탑 컴퓨터 등과 같이 휴대형 컴퓨팅 시스템일 수도 있다. 도 17에 도시된 바와 같이, 컴퓨팅 시스템(170)은 프로세서(171), 입출력 장치들(172), 네트워크 인터페이스(173), RAM(random access memory)(174), ROM(read only memory)(175) 및 저장 장치(176)를 포함할 수 있다. 프로세서(171), 입출력 장치들(172), 네트워크 인터페이스(173), RAM(174), ROM(175) 및 저장 장치(176)는 버스(177)에 연결될 수 있고, 버스(177)를 통해서 서로 통신할 수 있다.Computing system 170 may be a stationary computing system, such as a desktop computer, workstation, server, etc., or a portable computing system, such as a laptop computer. As shown in FIG. 17, the computing system 170 includes a processor 171, input/output devices 172, a network interface 173, random access memory (RAM) 174, and read only memory (ROM) 175. ) and a storage device 176. The processor 171, input/output devices 172, network interface 173, RAM 174, ROM 175, and storage device 176 may be connected to the bus 177 and communicate with each other through the bus 177. Can communicate.

프로세서(171)는 프로세싱 유닛으로 지칭될 수 있고, 예컨대 마이크로프로세서(micro-processor), AP(application processor), DSP(digital signal processor), GPU(graphic processing unit)과 같이 임의의 명령어 세트(예컨대, IA-32(Intel Architecture-32), 64 비트 확장 IA-32, x86-64, PowerPC, Sparc, MIPS, ARM, IA-64 등)를 실행할 수 있는 적어도 하나의 코어를 포함할 수 있다. 예를 들면, 프로세서(171)는 버스(177)를 통해서 메모리, 즉 RAM(174) 또는 ROM(175)에 액세스할 수 있고, RAM(174) 또는 ROM(175)에 저장된 명령어들을 실행할 수 있다. The processor 171 may be referred to as a processing unit, for example, a microprocessor (micro-processor), an application processor (AP), a digital signal processor (DSP), or a graphic processing unit (GPU), such as any instruction set (e.g., It may include at least one core capable of executing IA-32 (Intel Architecture-32), 64-bit extensions IA-32, x86-64, PowerPC, Sparc, MIPS, ARM, IA-64, etc.). For example, the processor 171 can access memory, that is, RAM 174 or ROM 175, through the bus 177 and execute instructions stored in RAM 174 or ROM 175.

RAM(174)은 본 개시의 예시적 실시예에 따른 집적 회로를 설계하는 방법을 위한 프로그램(174_1) 또는 그것의 적어도 일부를 저장할 수 있고, 프로그램(174_1)은 프로세서(171)로 하여금, 집적 회로를 설계하는 방법, 예컨대 도 8의 방법들에 포함되는 단계들 중 적어도 일부를 수행하도록 할 수 있다. 즉, 프로그램(174_1)은 프로세서(171)에 의해서 실행 가능한 복수의 명령어들을 포함할 수 있고, 프로그램(174_1)에 포함된 복수의 명령어들은 프로세서(171)로 하여금, 예컨대 전술된 순서도들에 포함된 단계들 중 적어도 일부를 수행하도록 할 수 있다.The RAM 174 may store a program 174_1 or at least a portion thereof for a method of designing an integrated circuit according to an exemplary embodiment of the present disclosure, and the program 174_1 may cause the processor 171 to It is possible to perform at least some of the steps included in the method of designing, for example, the methods of FIG. 8. That is, the program 174_1 may include a plurality of instructions executable by the processor 171, and the plurality of instructions included in the program 174_1 allow the processor 171 to execute, for example, the instructions included in the above-described flowcharts. You can have at least some of the steps performed.

저장 장치(176)는 컴퓨팅 시스템(170)에 공급되는 전력이 차단되더라도 저장된 데이터를 소실하지 아니할 수 있다. 예를 들면, 저장 장치(176)는 비휘발성 메모리 장치를 포함할 수도 있고, 자기 테이프, 광학 디스크, 자기 디스크와 같은 저장 매체를 포함할 수도 있다. 또한, 저장 장치(176)는 컴퓨팅 시스템(170)으로부터 탈착 가능할 수도 있다. 저장 장치(176)는 본 개시의 예시적 실시예에 따른 프로그램(174_1)을 저장할 수도 있으며, 프로그램(174_1)이 프로세서(171)에 의해서 실행되기 이전에 저장 장치(176)로부터 프로그램(174_1) 또는 그것의 적어도 일부가 RAM(174)으로 로딩될 수 있다. 다르게는, 저장 장치(176)는 프로그램 언어로 작성된 파일을 저장할 수 있고, 파일로부터 컴파일러 등에 의해서 생성된 프로그램(174_1) 또는 그것의 적어도 일부가 RAM(174)으로 로딩될 수 있다. 또한, 도 17에 도시된 바와 같이, 저장 장치(176)는 데이터베이스(176_1)를 저장할 수 있고, 데이터베이스(176_1)는 집적 회로를 설계하는데 필요한 정보, 예컨대 설계된 블록들에 대한 정보, 도 8의 셀 라이브러리(D12) 및/또는 설계 규칙(D14)을 포함할 수 있다.The storage device 176 may not lose stored data even if power supplied to the computing system 170 is cut off. For example, storage device 176 may include a non-volatile memory device or may include a storage medium such as magnetic tape, optical disk, or magnetic disk. Additionally, storage device 176 may be removable from computing system 170. The storage device 176 may store the program 174_1 according to an exemplary embodiment of the present disclosure, and the program 174_1 or At least part of it may be loaded into RAM 174. Alternatively, the storage device 176 may store a file written in a program language, and the program 174_1 or at least a portion thereof generated by a compiler or the like from the file may be loaded into the RAM 174. Additionally, as shown in FIG. 17, the storage device 176 may store a database 176_1, which may store information necessary for designing an integrated circuit, such as information on designed blocks, the cell of FIG. 8 It may include a library (D12) and/or design rules (D14).

저장 장치(176)는 프로세서(171)에 의해서 처리될 데이터 또는 프로세서(171)에 의해서 처리된 데이터를 저장할 수도 있다. 즉, 프로세서(171)는 프로그램(174_1)에 따라, 저장 장치(176)에 저장된 데이터를 처리함으로써 데이터를 생성할 수 있고, 생성된 데이터를 저장 장치(176)에 저장할 수도 있다. 예를 들면, 저장 장치(176)는, 도 8의 RTL 데이터(D17), 네트리스트 데이터(D13) 및/또는 레이아웃 데이터(D15)를 저장할 수 있다.The storage device 176 may store data to be processed by the processor 171 or data processed by the processor 171. That is, the processor 171 may generate data by processing data stored in the storage device 176 according to the program 174_1, and may store the generated data in the storage device 176. For example, the storage device 176 may store RTL data D17, netlist data D13, and/or layout data D15 of FIG. 8.

입출력 장치들(172)은 키보드, 포인팅 장치 등과 같은 입력 장치를 포함할 수 있고, 디스플레이 장치, 프린터 등과 같은 출력 장치를 포함할 수 있다. 예를 들면, 사용자는 입출력 장치들(172)을 통해서, 프로세서(171)에 의해 프로그램(174_1)의 실행을 트리거할 수도 있고, 도 8의 RTL 데이터(D17) 및/또는 네트리스트 데이터(D13)를 입력할 수도 있으며, 도 8의 레이아웃 데이터(D15)를 확인할 수도 있다.The input/output devices 172 may include an input device such as a keyboard, a pointing device, etc., and may include an output device such as a display device, a printer, etc. For example, a user may trigger execution of program 174_1 by processor 171, through input/output devices 172, RTL data D17 and/or netlist data D13 of FIG. 8. You can also input and check the layout data (D15) of FIG. 8.

네트워크 인터페이스(173)는 컴퓨팅 시스템(170) 외부의 네트워크에 대한 액세스를 제공할 수 있다. 예를 들면, 네트워크는 다수의 컴퓨팅 시스템들 및 통신 링크들을 포함할 수 있고, 통신 링크들은 유선 링크들, 광학 링크들, 무선 링크들 또는 임의의 다른 형태의 링크들을 포함할 수 있다.Network interface 173 may provide access to a network external to computing system 170. For example, a network may include multiple computing systems and communication links, which may include wired links, optical links, wireless links, or any other type of links.

이상에서와 같이 도면과 명세서에서 예시적인 실시예들이 개시되었다. 본 명세서에서 특정한 용어를 사용하여 실시예들을 설명되었으나, 이는 단지 본 개시의 기술적 사상을 설명하기 위한 목적에서 사용된 것이지 의미 한정이나 특허청구범위에 기재된 본 개시의 범위를 제한하기 위하여 사용된 것은 아니다. 그러므로 본 기술분야의 통상의 지식을 가진 자라면 이로부터 다양한 변형 및 균등한 타 실시예가 가능하다는 점을 이해할 것이다.As above, exemplary embodiments have been disclosed in the drawings and specification. Although embodiments have been described in this specification using specific terms, this is only used for the purpose of explaining the technical idea of the present disclosure and is not used to limit the meaning or scope of the present disclosure as set forth in the claims. . Therefore, those skilled in the art will understand that various modifications and other equivalent embodiments are possible therefrom.

Claims (20)

제1 수평 방향으로 연장되고 상호 인접한 제1 행 및 제2 행에 각각 배치된 제1 셀 및 제2 셀;
파워 라인 층에서 상기 제1 행 및 상기 제2 행 사이 제1 경계를 따라 상기 제1 수평 방향으로 연장되고, 제1 공급 전압이 인가되도록 구성된 제1 파워 라인;
상기 제1 수평 방향과 교차하는 제2 수평 방향으로 상기 제1 셀로부터 상기 제2 셀로 연장되는 제1 컨택; 및
수직 방향으로 상기 제1 컨택의 하면으로부터 상기 제1 파워 라인의 상면으로 연장되는 제1 하향(downward) 비아를 포함하는 집적 회로.
first cells and second cells extending in a first horizontal direction and arranged respectively in first and second rows adjacent to each other;
a first power line extending in the first horizontal direction along a first boundary between the first row and the second row in a power line layer, and configured to be applied with a first supply voltage;
a first contact extending from the first cell to the second cell in a second horizontal direction intersecting the first horizontal direction; and
An integrated circuit comprising a first downward via extending from a bottom surface of the first contact to a top surface of the first power line in a vertical direction.
청구항 1에 있어서,
상기 제1 하향 비아는, 상기 제1 경계에 정렬된 것을 특징으로 하는 집적 회로.
In claim 1,
The first downward via is aligned with the first boundary.
청구항 1에 있어서,
상기 제1 셀 및 상기 제2 셀은, 제1 소스/드레인 영역 및 제2 소스/드레인 영역을 각각 포함하고,
상기 제1 컨택은, 상기 제1 소스/드레인 영역 및 상기 제2 소스/드레인 영역에 연결되고,
상기 제1 하향 비아는, 상기 제1 소스/드레인 영역 및 상기 제2 소스/드레인 영역 사이에서 상기 수직 방향으로 연장되는 것을 특징으로 하는 집적 회로.
In claim 1,
The first cell and the second cell include a first source/drain region and a second source/drain region, respectively,
The first contact is connected to the first source/drain region and the second source/drain region,
The first downward via extends in the vertical direction between the first source/drain region and the second source/drain region.
청구항 3에 있어서,
상기 제1 하향 비아 및 상기 제1 소스/드레인 영역 사이 거리는, 상기 제1 하향 비아 및 상기 제2 소스/드레인 영역 사이 거리와 동일한 것을 특징으로 하는 집적 회로.
In claim 3,
The integrated circuit wherein the distance between the first downward via and the first source/drain region is equal to the distance between the first downward via and the second source/drain region.
청구항 1에 있어서,
제1 배선층에서 상기 제1 경계를 따라 제1 수평 방향으로 연장되는 제1 패턴; 및
상기 수직 방향으로 상기 제1 컨택의 상면으로부터 상기 제1 패턴의 하면으로 연장되는 제1 상향(upward) 비아를 더 포함하는 집적 회로.
In claim 1,
a first pattern extending in a first horizontal direction along the first boundary in a first wiring layer; and
The integrated circuit further includes a first upward via extending from a top surface of the first contact to a bottom surface of the first pattern in the vertical direction.
청구항 5에 있어서,
상기 제1 셀로부터 상기 제2 수평 방향으로 연장되는 제2 컨택; 및
상기 수직 방향으로 상기 제2 컨택의 상면으로부터 상기 제1 패턴의 하면으로 연장되는 제2 상향 비아를 더 포함하는 집적 회로.
In claim 5,
a second contact extending from the first cell in the second horizontal direction; and
The integrated circuit further includes a second upward via extending from a top surface of the second contact to a bottom surface of the first pattern in the vertical direction.
청구항 1에 있어서,
상기 제1 셀은, 제1 피치(pitch)로 상기 제2 수평 방향으로 연장되는 적어도 2개의 게이트 전극들을 포함하고,
상기 제2 셀은, 상기 제1 수평 방향으로 상기 제1 피치의 길이를 가지는 것을 특징으로 하는 집적 회로.
In claim 1,
The first cell includes at least two gate electrodes extending in the second horizontal direction at a first pitch,
The integrated circuit, wherein the second cell has a length of the first pitch in the first horizontal direction.
청구항 1에 있어서,
제1 피치로 상기 제2 수평 방향으로 연장되는 복수의 게이트 전극들을 더 포함하고,
상기 제1 셀 및 상기 제2 셀은, 상기 제1 수평 방향으로 상기 제1 피치의 길이를 가지는 것을 특징으로 하는 집적 회로.
In claim 1,
Further comprising a plurality of gate electrodes extending in the second horizontal direction at a first pitch,
The first cell and the second cell have a length of the first pitch in the first horizontal direction.
청구항 1에 있어서,
상기 제1 컨택과 평행하게 상기 제2 수평 방향으로 연장되는 제3 컨택을 더 포함하고,
상기 제1 하향 비아는, 상기 제3 컨택의 하면에 연결되는 것을 특징으로 하는 집적 회로.
In claim 1,
Further comprising a third contact extending in the second horizontal direction parallel to the first contact,
The first downward via is connected to a lower surface of the third contact.
청구항 1에 있어서,
상기 제1 컨택과 평행하게 상기 제2 수평 방향으로 연장되는 복수의 컨택들;
상기 수직 방향으로, 상기 복수의 컨택들의 하면들로부터 상기 제1 파워 라인의 상면으로 각각 연장되는 복수의 하향 비아들을 더 포함하고,
상기 복수의 컨택들은, 상기 제1 수평 방향으로 동일한 간격으로 배치된 것을 특징으로 하는 집적 회로.
In claim 1,
a plurality of contacts extending in the second horizontal direction parallel to the first contact;
Further comprising a plurality of downward vias each extending in the vertical direction from lower surfaces of the plurality of contacts to an upper surface of the first power line,
The integrated circuit is characterized in that the plurality of contacts are arranged at equal intervals in the first horizontal direction.
청구항 1에 있어서,
상기 제2 행에 배치된 제3 셀;
상기 제1 수평 방향으로 연장되고 상기 제2 행에 인접한 제3 행에 배치된 제4 셀;
상기 파워 라인 층에서 상기 제2 행 및 상기 제3 행 사이 제2 경계를 따라 상기 제1 수평 방향으로 연장되고, 제2 공급 전압이 인가되도록 구성된 제2 파워 라인;
상기 제1 수평 방향과 교차하는 제2 수평 방향으로 상기 제3 셀로부터 상기 제4 셀로 연장되는 제4 컨택; 및
상기 수직 방향으로 상기 제4 컨택의 하면으로부터 상기 제2 파워 라인의 상면으로 연장되는 제2 하향 비아를 더 포함하는 집적 회로.
In claim 1,
a third cell arranged in the second row;
a fourth cell extending in the first horizontal direction and disposed in a third row adjacent to the second row;
a second power line extending in the first horizontal direction along a second boundary between the second row and the third row in the power line layer and configured to be applied with a second supply voltage;
a fourth contact extending from the third cell to the fourth cell in a second horizontal direction intersecting the first horizontal direction; and
The integrated circuit further includes a second downward via extending from a lower surface of the fourth contact to an upper surface of the second power line in the vertical direction.
청구항 11에 있어서,
상기 제2 하향 비아는, 상기 제2 경계에 정렬된 것을 특징으로 하는 집적 회로.
In claim 11,
The second downward via is aligned with the second boundary.
청구항 11에 있어서,
상기 제3 셀 및 상기 제4 셀은, 제3 소스/드레인 영역 및 제4 소스/드레인 영역을 각각 포함하고,
상기 제4 컨택은, 상기 제3 소스/드레인 영역 및 상기 제4 소스/드레인 영역에 연결되고,
상기 제2 하향 비아는, 상기 제3 소스/드레인 영역 및 상기 제4 소스/드레인 영역 사이에서 상기 수직 방향으로 연장되는 것을 특징으로 하는 집적 회로.
In claim 11,
The third cell and the fourth cell include a third source/drain region and a fourth source/drain region, respectively,
The fourth contact is connected to the third source/drain region and the fourth source/drain region,
The second downward via extends in the vertical direction between the third source/drain region and the fourth source/drain region.
청구항 13에 있어서,
상기 제2 하향 비아 및 상기 제3 소스/드레인 영역 사이 거리는, 상기 제2 하향 비아 및 상기 제4 소스/드레인 영역 사이 거리와 동일한 것을 특징으로 하는 집적 회로.
In claim 13,
The integrated circuit wherein the distance between the second downward via and the third source/drain region is equal to the distance between the second downward via and the fourth source/drain region.
파워 라인 층에서 제1 수평 방향으로 연장되고, 제1 공급 전압이 인가되도록 구성된 제1 파워 라인;
각각이, 상기 제1 수평 방향과 교차하는 제2 수평 방향으로 연장되고 상기 제2 수평 방향으로 인접한 소스/드레인 영역들에 연결된, 복수의 제1 컨택들; 및
수직 방향으로 상기 복수의 제1 컨택들의 하면들로부터 상기 제1 파워 라인의 상면으로 각각 연장되는 복수의 제1 하향(downward) 비아들을 포함하고,
상기 복수의 제1 컨택들은, 상기 제1 수평 방향으로 동일한 간격으로 배치된 것을 특징으로 하는 집적 회로.
a first power line extending in a first horizontal direction in the power line layer and configured to be applied with a first supply voltage;
a plurality of first contacts, each extending in a second horizontal direction intersecting the first horizontal direction and connected to source/drain regions adjacent to the second horizontal direction; and
A plurality of first downward vias each extend in a vertical direction from lower surfaces of the plurality of first contacts to an upper surface of the first power line,
An integrated circuit, wherein the plurality of first contacts are arranged at equal intervals in the first horizontal direction.
청구항 15에서,
상기 파워 라인 층에서 상기 제1 수평 방향으로 연장되고, 제2 공급 전압이 인가되도록 구성된 제2 파워 라인;
각각이, 상기 제2 수평 방향으로 연장되고 상기 제2 수평 방향으로 인접한 소스/드레인 영역들에 연결된, 복수의 제2 컨택들; 및
상기 수직 방향으로 상기 복수의 제2 컨택들의 하면들로부터 상기 제2 파워 라인의 상면으로 연장되는 복수의 제2 하향 비아들을 포함하고,
상기 복수의 제2 컨택들은, 상기 제1 수평 방향으로 동일한 간격으로 배치된 것을 특징으로 하는 집적 회로.
In claim 15,
a second power line extending from the power line layer in the first horizontal direction and configured to apply a second supply voltage;
a plurality of second contacts, each extending in the second horizontal direction and connected to source/drain regions adjacent to the second horizontal direction; and
a plurality of second downward vias extending from lower surfaces of the plurality of second contacts to an upper surface of the second power line in the vertical direction;
An integrated circuit, wherein the plurality of second contacts are arranged at equal intervals in the first horizontal direction.
청구항 16에서,
상기 복수의 제2 컨택들은, 상기 제2 수평 방향으로 상기 복수의 제1 컨택들과 각각 정렬된 것을 특징으로 하는 집적 회로.
In claim 16,
The integrated circuit, wherein the plurality of second contacts are respectively aligned with the plurality of first contacts in the second horizontal direction.
청구항 15에서,
상기 파워 라인 층에서 상기 제1 수평 방향으로 연장되고, 상기 제1 공급 전압이 인가되도록 구성된 제3 파워 라인;
각각이, 상기 제2 수평 방향으로 연장되고 상기 제2 수평 방향으로 인접한 소스/드레인 영역들에 연결된, 복수의 제3 컨택들; 및
상기 수직 방향으로 상기 복수의 제3 컨택들의 하면들로부터 상기 제3 파워 라인의 상면으로 연장되는 복수의 제3 하향 비아들을 포함하고,
상기 복수의 제3 컨택들은, 상기 제2 수평 방향으로 상기 복수의 제1 컨택들과 각각 정렬된 것을 특징으로 하는 집적 회로.
In claim 15,
a third power line extending from the power line layer in the first horizontal direction and configured to apply the first supply voltage;
a plurality of third contacts, each extending in the second horizontal direction and connected to source/drain regions adjacent to the second horizontal direction; and
and a plurality of third downward vias extending from the lower surfaces of the plurality of third contacts to the upper surface of the third power line in the vertical direction,
The integrated circuit, wherein the plurality of third contacts are respectively aligned with the plurality of first contacts in the second horizontal direction.
청구항 15에 있어서,
각각이, 상기 제2 수평 방향으로 연장되고 상기 제2 수평 방향으로 인접한 소스/드레인 영역들에 연결되고 상기 제1 수평 방향으로 상기 복수의 제1 컨택들과 교번적으로 배치된, 복수의 제4 컨택들을 더 포함하고,
상기 복수의 제1 하향 비아들 각각은, 상기 복수의 제1 컨택들 및 상기 복수의 제4 컨택들 중 상호 인접한 제1 컨택 및 제4 컨택의 하면들에 연결된 것을 특징으로 하는 집적 회로.
In claim 15,
a plurality of fourth contacts, each extending in the second horizontal direction, connected to adjacent source/drain regions in the second horizontal direction, and alternately disposed with the plurality of first contacts in the first horizontal direction. Includes more contacts,
Each of the plurality of first downward vias is connected to lower surfaces of adjacent first and fourth contacts among the plurality of first contacts and the plurality of fourth contacts.
집적 회로의 제조를 위한 방법으로서,
복수의 표준 셀들을 포함하는 상기 집적 회로를 정의하는 제1 입력 데이터를 획득하는 단계;
파워 라인 층에서 제1 수평 방향으로 연장되는 복수의 파워 라인들을 배치하는 단계;
각각이, 상기 제1 수평 방향과 교차하는 제2 수평 방향으로 연장되고 상기 복수의 파워 라인들 중 하나와 중첩되는, 복수의 가상 컨택들을 배치하는 단계;
상기 복수의 가상 컨택들에 기초하여, 상기 복수의 표준 셀들을 배치하는 단계; 및
배치된 상기 복수의 표준 셀들을 포함하는 레이아웃을 정의하는 출력 데이터를 생성하는 단계를 포함하고,
상기 복수의 표준 셀들을 배치하는 단계는, 트랜지스터의 소스 영역이 가상 컨택과 중첩되도록 표준 셀을 배치하는 단계를 포함하는 방법.
A method for manufacturing an integrated circuit, comprising:
Obtaining first input data defining the integrated circuit including a plurality of standard cells;
Arranging a plurality of power lines extending in a first horizontal direction in a power line layer;
placing a plurality of virtual contacts, each extending in a second horizontal direction intersecting the first horizontal direction and overlapping one of the plurality of power lines;
deploying the plurality of standard cells based on the plurality of virtual contacts; and
Generating output data defining a layout including the plurality of arranged standard cells,
The method of arranging the plurality of standard cells includes arranging the standard cells such that the source region of the transistor overlaps the virtual contact.
KR1020230033463A 2022-11-18 2023-03-14 Integrated circuit including standard cells and method of designing the same KR20240073729A (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
US18/510,203 US20240169137A1 (en) 2022-11-18 2023-11-15 Integrated circuit including standard cells and method of designing the same
CN202311527229.6A CN118057611A (en) 2022-11-18 2023-11-15 Integrated circuit comprising standard cells and method for manufacturing such an integrated circuit
EP23210454.7A EP4372798A2 (en) 2022-11-18 2023-11-16 Integrated circuit including standard cells and method of designing the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020220155661 2022-11-18

Publications (1)

Publication Number Publication Date
KR20240073729A true KR20240073729A (en) 2024-05-27

Family

ID=

Similar Documents

Publication Publication Date Title
KR102599048B1 (en) Integrated circuit including standard cell and method for manufacturing the same
KR102495912B1 (en) Integrated circuit including standard cell and method for manufacturing the same
KR20220022357A (en) Integrated circuit including cells with different heights and method for designing the same
US11302636B2 (en) Semiconductor device and manufacturing method of the same
KR20220048666A (en) Integrated circuit including a asymmetric power line and method for designing the same
US20220300693A1 (en) Integrated circuit providing increased pin access points and method of designing the same
US11948932B2 (en) Integrated circuit including standard cell and filler cell
KR20240073729A (en) Integrated circuit including standard cells and method of designing the same
KR20230040755A (en) Multi-height standard cell and integrated circuit including the same
KR20220130547A (en) Integrated circuit providing increased pin access points and method for designing the same
EP4372798A2 (en) Integrated circuit including standard cells and method of designing the same
KR20210152843A (en) Integrated circuit including simple cell interconnection and method for designing the same
US20230378156A1 (en) Integrated circuit including multi-height cells and method of designing the same
US20240128164A1 (en) Integrated circuit including through-silicon via and method of designing the integrated circuit
KR20220003360A (en) Integrated circuit including cells with different heights and method for designing the same
KR20240021037A (en) Integrated circuit including multi-threshold devices and method for designing the same
US20230297752A1 (en) Integrated circuits including abutted blocks and methods of designing layouts of the integrated circuits
US20230307436A1 (en) Integrated circuit including standard cells and methodof designing the same
US20240105710A1 (en) Integrated circuit including standard cells and method of designing the same
US20230290784A1 (en) Integrated circuit including active pattern having variable width and method of designing the same
US20240153889A1 (en) Integrated circuit including guard-ring and method of designing the same
CN118057611A (en) Integrated circuit comprising standard cells and method for manufacturing such an integrated circuit
US20230290779A1 (en) Integrated circuits having heterogeneous devices therein and methods of designing the same
KR20230133163A (en) Integrated circuit including active pattern having variable width and method for designing the same
US20240128257A1 (en) Integrated circuit including standard cells and method of designing the same