KR20230133163A - Integrated circuit including active pattern having variable width and method for designing the same - Google Patents
Integrated circuit including active pattern having variable width and method for designing the same Download PDFInfo
- Publication number
- KR20230133163A KR20230133163A KR1020220076380A KR20220076380A KR20230133163A KR 20230133163 A KR20230133163 A KR 20230133163A KR 1020220076380 A KR1020220076380 A KR 1020220076380A KR 20220076380 A KR20220076380 A KR 20220076380A KR 20230133163 A KR20230133163 A KR 20230133163A
- Authority
- KR
- South Korea
- Prior art keywords
- active
- active pattern
- integrated circuit
- active patterns
- offset
- Prior art date
Links
- 238000000034 method Methods 0.000 title description 33
- 239000002135 nanosheet Substances 0.000 claims description 18
- 230000007704 transition Effects 0.000 description 29
- 239000004065 semiconductor Substances 0.000 description 21
- 238000013461 design Methods 0.000 description 19
- 230000008569 process Effects 0.000 description 14
- 238000003860 storage Methods 0.000 description 13
- 238000009792 diffusion process Methods 0.000 description 12
- 239000002184 metal Substances 0.000 description 11
- 230000004913 activation Effects 0.000 description 9
- 230000005669 field effect Effects 0.000 description 8
- 238000010586 diagram Methods 0.000 description 6
- 238000004519 manufacturing process Methods 0.000 description 6
- 238000012545 processing Methods 0.000 description 6
- 230000000694 effects Effects 0.000 description 5
- 230000006870 function Effects 0.000 description 5
- 239000003990 capacitor Substances 0.000 description 3
- 230000003287 optical effect Effects 0.000 description 3
- 230000015572 biosynthetic process Effects 0.000 description 2
- 239000002041 carbon nanotube Substances 0.000 description 2
- 230000008859 change Effects 0.000 description 2
- 230000007423 decrease Effects 0.000 description 2
- 239000000945 filler Substances 0.000 description 2
- 238000012986 modification Methods 0.000 description 2
- 230000004048 modification Effects 0.000 description 2
- 238000003786 synthesis reaction Methods 0.000 description 2
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 1
- 229910021393 carbon nanotube Inorganic materials 0.000 description 1
- 238000004140 cleaning Methods 0.000 description 1
- 238000004891 communication Methods 0.000 description 1
- 230000000295 complement effect Effects 0.000 description 1
- 239000004020 conductor Substances 0.000 description 1
- 238000012937 correction Methods 0.000 description 1
- 238000011161 development Methods 0.000 description 1
- 230000010354 integration Effects 0.000 description 1
- 238000002955 isolation Methods 0.000 description 1
- 238000005457 optimization Methods 0.000 description 1
- 230000001151 other effect Effects 0.000 description 1
- 238000002161 passivation Methods 0.000 description 1
- 238000000059 patterning Methods 0.000 description 1
- 238000000206 photolithography Methods 0.000 description 1
- 238000005498 polishing Methods 0.000 description 1
- 238000000926 separation method Methods 0.000 description 1
- 230000003068 static effect Effects 0.000 description 1
- 239000000758 substrate Substances 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L27/00—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
- H01L27/02—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
- H01L27/0203—Particular design considerations for integrated circuits
- H01L27/0207—Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L27/00—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
- H01L27/02—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
- H01L27/04—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
- H01L27/08—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
- H01L27/085—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
- H01L27/088—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
- H01L27/0886—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L27/00—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
- H01L27/02—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
- H01L27/04—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
- H01L27/08—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
- H01L27/085—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
- H01L27/088—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
- H01L27/092—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
- H01L27/0924—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
Landscapes
- Engineering & Computer Science (AREA)
- Power Engineering (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- General Engineering & Computer Science (AREA)
- Semiconductor Integrated Circuits (AREA)
- Design And Manufacture Of Integrated Circuits (AREA)
Abstract
Description
본 개시의 기술적 사상은 집적 회로에 관한 것으로서, 자세하게는 가변 폭을 가지는 활성 패턴을 포함하는 집적 회로 및 이를 설계하는 방법에 관한 것이다.The technical idea of the present disclosure relates to integrated circuits, and more specifically, to an integrated circuit including an active pattern having a variable width and a method of designing the same.
반도체 공정의 발전에 기인하여 다양한 구조의 소자들이 개발되고 있으며, 소자들은 고유한 특징들을 각각 가질 수 있다. 새로운 소자는 새로운 서브 공정들에 의해서 형성될 수 있고, 이에 따라 새로운 소자를 포함하는 집적 회로를 설계하기 위하여 새로운 설계 규칙들이 요구될 수 있다.Due to the development of semiconductor processes, devices of various structures are being developed, and each device may have unique characteristics. New devices may be formed by new sub-processes, and thus new design rules may be required to design integrated circuits including new devices.
본 개시의 기술적 사상은, 가변 폭을 가지는 활성 패턴을 포함하는 집적 회로 및 이를 설계하는 방법을 제공한다.The technical idea of the present disclosure provides an integrated circuit including an active pattern with a variable width and a method of designing the same.
본 개시의 기술적 사상의 일측면에 따른 집적 회로는, 제1 방향으로 연장되는 제1 행에서 제1 방향으로 연장되고 제1 방향으로 상호 중첩되는 복수의 활성 패턴들을 포함하는 제1 활성 패턴 그룹, 및 제1 행에서 제1 방향에 수직한 제2 방향으로 연장되는 복수의 게이트 전극들을 포함할 수 있고, 제1 활성 패턴 그룹에서 제1 방향으로 상호 인접한 2개의 활성 패턴들은, 제2 방향으로 동일한 폭을 가지거나, 제2 방향으로 제1 오프셋 또는 제2 오프셋만큼 상이한 폭들을 각각 가질 수 있다.An integrated circuit according to one aspect of the technical idea of the present disclosure includes a first active pattern group including a plurality of active patterns extending in a first direction and overlapping each other in the first direction in a first row extending in a first direction; and a plurality of gate electrodes extending in a second direction perpendicular to the first direction in the first row, wherein two active patterns adjacent to each other in the first direction in the first active pattern group are the same in the second direction. width, or may have widths that differ by a first offset or a second offset in the second direction.
본 개시의 기술적 사상의 일측면에 따른 집적 회로는, 제1 방향으로 연장되는 제1 행에 배치된 복수의 기능 셀들을 포함할 수 있고, 제1 행에 배치된 복수의 기능 셀들 각각은, 제1 방향으로 연장되는 활성 패턴, 및 제1 방향에 수직한 제2 방향으로 연장되는 적어도 하나의 게이트 전극을 포함할 수 있고, 제1 행에서 상호 인접한 2개의 기능 셀들에 각각 포함되고 제1 방향으로 상호 중첩되는 2개의 활성 패턴들은, 제2 방향으로 동일한 폭을 가지거나, 제2 방향으로 제1 오프셋 또는 제2 오프셋만큼 상이한 폭들을 각각 가질 수 있다.An integrated circuit according to one aspect of the technical idea of the present disclosure may include a plurality of functional cells arranged in a first row extending in a first direction, and each of the plurality of functional cells arranged in the first row includes: It may include an active pattern extending in one direction, and at least one gate electrode extending in a second direction perpendicular to the first direction, each included in two functional cells adjacent to each other in the first row, and extending in the first direction. The two overlapping active patterns may have the same width in the second direction, or may have widths different from each other by the first offset or the second offset in the second direction.
본 개시의 기술적 사상의 일측면에 따라 복수의 셀들을 포함하는 집적 회로는, 복수의 셀들 중 제1 셀들에 전력을 공급하기 위하여 제1 방향으로 연장되고 상호 인접한 제1 패턴 및 제2 패턴, 제1 패턴 및 제2 패턴 사이에서 제1 방향으로 연장되고, 제1 방향으로 상호 중첩되는 복수의 제1 활성 패턴들, 및 제1 패턴 및 제2 패턴 사이에서 제1 방향에 수직한 제2 방향으로 연장되는 복수의 제1 게이트 전극들을 포함할 수 있고, 복수의 제1 활성 패턴들 중 제1 방향으로 상호 인접한 2개의 제1 활성 패턴들은, 제2 방향으로 동일한 폭을 가지거나, 제2 방향으로 제1 오프셋 또는 제2 오프셋만큼 상이한 폭들을 가질 수 있다.According to one aspect of the technical idea of the present disclosure, an integrated circuit including a plurality of cells includes a first pattern and a second pattern extending in a first direction and adjacent to each other to supply power to the first cells among the plurality of cells, A plurality of first active patterns extending in a first direction between the first pattern and the second pattern and overlapping each other in the first direction, and in a second direction perpendicular to the first direction between the first pattern and the second pattern. It may include a plurality of extending first gate electrodes, and among the plurality of first active patterns, two first active patterns adjacent to each other in the first direction have the same width in the second direction or have the same width in the second direction. It may have different widths by either the first offset or the second offset.
본 개시의 예시적 실시예에 따른 집적 회로 및 방법에 의하면, 집적 회로는 반도체 공정을 고려하여 설계될 수 있고, 이에 따라 집적 회로를 설계하는데 소요되는 시간 및 비용이 절감될 수 있고, 집적 회로의 수율(yield)이 향상될 수 있다.According to the integrated circuit and method according to an exemplary embodiment of the present disclosure, the integrated circuit can be designed in consideration of the semiconductor process, thereby reducing the time and cost required to design the integrated circuit, and Yield can be improved.
또한, 본 개시의 예시적 실시예에 다른 집적 회로 및 방법에 의하면, 집적 회로는 높은 신뢰도를 가질 수 있고, 이에 따라 집적 회로를 포함하는 어플리케이션들의 신뢰도가 향상될 수 있다.Additionally, according to the integrated circuit and method according to the exemplary embodiment of the present disclosure, the integrated circuit may have high reliability, and accordingly, the reliability of applications including the integrated circuit may be improved.
또한, 본 개시의 예시적 실시예에 따른 집적 회로 및 방법에 의하면, 높은 신뢰도를 가지는 집적 회로가 용이하게 설계될 수 있고, 이에 따라 집적 회로의 시장 출시 기간(time-to-market)이 현저하게 단축될 수 있다.In addition, according to the integrated circuit and method according to example embodiments of the present disclosure, an integrated circuit with high reliability can be easily designed, and thus the time-to-market of the integrated circuit is significantly reduced. It can be shortened.
본 개시의 예시적 실시예들에서 얻을 수 있는 효과는 이상에서 언급한 효과들로 제한되지 아니하며, 언급되지 아니한 다른 효과들은 이하의 기재로부터 본 개시의 예시적 실시예들이 속하는 기술분야에서 통상의 지식을 가진 자에게 명확하게 도출되고 이해될 수 있다. 즉, 본 개시의 예시적 실시예들을 실시함에 따른 의도하지 아니한 효과들 역시 본 개시의 예시적 실시예들로부터 당해 기술분야의 통상의 지식을 가진 자에 의해 도출될 수 있다.The effects that can be obtained from the exemplary embodiments of the present disclosure are not limited to the effects mentioned above, and other effects not mentioned are common knowledge in the technical field to which the exemplary embodiments of the present disclosure belong from the following description. It can be clearly derived and understood by those who have it. That is, unintended effects resulting from implementing the exemplary embodiments of the present disclosure may also be derived by those skilled in the art from the exemplary embodiments of the present disclosure.
도 1a 및 도 1b는 본 개시의 예시적 실시예들에 따른 소자의 예시들을 나타내는 도면들이다.
도 2a 및 도 2b는 본 개시의 예시적 실시예들에 따른 집적 회로의 레이아웃들을 나타내는 평면도들이다.
도 3a 및 도 3b는 본 개시의 예시적 실시예들에 따른 집적 회로의 레이아웃들을 나타내는 평면도들이다.
도 4는 본 개시의 예시적 실시예에 따른 셀의 레이아웃을 나타내는 평면도이다.
도 5는 본 개시의 예시적 실시예에 따른 집적 회로의 레이아웃을 나타내는 평면도이다.
도 6a 내지 도 6f는 본 개시의 예시적 실시예들에 따른 집적 회로의 레이아웃들을 나타내는 평면도들이다.
도 7은 본 개시의 예시적 실시예에 따라 집적 회로를 제조하기 위한 방법을 나타내는 순서도이다.
도 8는 본 개시의 예시적 실시예에 따른 시스템-온-칩을 나타내는 블록도이다.
도 9는 본 개시의 예시적 실시예에 따른 프로그램을 저장하는 메모리를 포함하는 컴퓨팅 시스템을 나타내는 블록도이다.1A and 1B are diagrams showing examples of devices according to example embodiments of the present disclosure.
2A and 2B are plan views showing layouts of integrated circuits according to example embodiments of the present disclosure.
3A and 3B are plan views showing layouts of integrated circuits according to example embodiments of the present disclosure.
Figure 4 is a plan view showing the layout of a cell according to an exemplary embodiment of the present disclosure.
Figure 5 is a plan view showing the layout of an integrated circuit according to an exemplary embodiment of the present disclosure.
6A to 6F are plan views showing layouts of integrated circuits according to example embodiments of the present disclosure.
7 is a flow chart illustrating a method for manufacturing an integrated circuit according to an example embodiment of the present disclosure.
Figure 8 is a block diagram showing a system-on-chip according to an exemplary embodiment of the present disclosure.
Figure 9 is a block diagram showing a computing system including a memory for storing a program according to an exemplary embodiment of the present disclosure.
도 1a 및 도 1b는 본 개시의 예시적 실시예들에 따른 소자의 예시들을 나타내는 도면들이다. 구체적으로, 도 1a는 FinFET(fin field effect transistor)(10a)을 나타내고, 도 1b는 GAAFET(gate-all-around field effect transistor)(10b)을 나타낸다. 도해의 편의를 위하여, 도 1a 및 도 1b는 2개의 소스/드레인 영역들 중 하나가 제거된 모습을 도시한다.1A and 1B are diagrams showing examples of devices according to example embodiments of the present disclosure. Specifically, FIG. 1A shows a fin field effect transistor (FinFET) 10a, and FIG. 1B shows a gate-all-around field effect transistor (GAAFET) 10b. For ease of illustration, FIGS. 1A and 1B show one of the two source/drain regions removed.
본 명세서에서, X축 방향 및 Y축 방향은 제1 방향 및 제2 방향으로 각각 지칭될 수 있고, Z축 방향은 수직 방향 또는 제3 방향으로 지칭될 수 있다. X축 및 Y축으로 이루어진 평면은 수평면으로 지칭될 수 있고, 다른 구성요소보다 상대적으로 +Z방향으로 배치된 구성요소는 다른 구성요소 위에 있는 것으로 지칭될 수 있으며, 다른 구성요소보다 상대적으로 -Z방향으로 배치된 구성요소는 다른 구성요소 아래에 있는 것으로 지칭될 수 있다. 또한, 구성요소의 면적은 수평면과 평행한 면에서 구성요소가 차지하는 크기를 지칭할 수 있고, 구성요소의 폭은 구성요소가 연장되는 방향과 직교하는 방향의 길이를 지칭할 수 있다. +Z방향으로 노출된 표면은 상면(top surface)으로 지칭될 수 있고, -Z방향으로 노출된 표면은 하면(bottom surface)으로 지칭될 수 있으며, ±X방향 또는 ±Y방향으로 노출된 표면은 측면으로 지칭될 수 있다. 도면들에서, 도해의 편의상 일부 층들만이 도시될 수 있으며, 배선층의 패턴과 하위 패턴 사이 연결을 나타내기 위하여 비아(via)는 배선층의 패턴 아래에 위치함에도 불구하고 표시될 수 있다. 또한, 배선층의 패턴과 같이 전도성 물질로 구성되는 패턴은 전도성 패턴으로 지칭될 수 있고, 단순하게 패턴으로 지칭될 수도 있다.In this specification, the X-axis direction and the Y-axis direction may be referred to as a first direction and a second direction, respectively, and the Z-axis direction may be referred to as a vertical direction or a third direction. A plane consisting of the Orientally placed components may be referred to as being below other components. Additionally, the area of a component may refer to the size occupied by the component in a plane parallel to the horizontal plane, and the width of the component may refer to the length in a direction perpendicular to the direction in which the component extends. The surface exposed in the +Z direction may be referred to as the top surface, the surface exposed in the -Z direction may be referred to as the bottom surface, and the surface exposed in the ±X or ±Y direction may be referred to as the top surface. It can be referred to as a side. In the drawings, only some layers may be shown for convenience of illustration, and a via may be displayed even though it is located below the pattern of the wiring layer to indicate a connection between the pattern of the wiring layer and the sub-pattern. Additionally, a pattern made of a conductive material, such as a pattern of a wiring layer, may be referred to as a conductive pattern, or may simply be referred to as a pattern.
집적 회로는 반도체 공정에 의해서 제조될 수 있고, 복수의 소자들을 포함할 수 있다. 예를 들면, 집적 회로는 트랜지스터와 같은 능동(active) 소자를 포함할 수도 있고, 캐패시터와 같은 수동(passive) 소자를 포함할 수도 있다. 반도체 공정은 미리 정의된 구조의 트랜지스터를 형성하기 위한 일련의 서브 공정들을 포함할 수 있다. 예를 들면, FinFET(10a) 및 GAAFET(10b)이 반도체 공정에 의해서 형성될 수 있다. 일부 실시예들에서, 반도체 공정은 FinFET(10a) 및 GAAFET(10b)과 상이한 구조의 트랜지스터를 형성하기 위한 서브 공정들을 포함할 수 있다. 예를 들면, P형 트랜지스터를 위한 나노시트들 및 N형 트랜지스터를 위한 나노시트들이 유전체 벽(dielectric wall)으로 분리됨으로써 N형 트랜지스터 및 P형 트랜지스터가 보다 근접한 구조를 가지는 ForkFET이 반도체 공정에 의해서 형성될 수 있다. 또한, CFET(complementary FET), NCFET(negative FET), CNT(carbon nanotube) FET 등과 같은 FET(field effect transistor) 뿐만 아니라 양극성 접합(bipolar junction) 트랜지스터가 반도체 공정에 의해서 형성될 수 있다.An integrated circuit may be manufactured by a semiconductor process and may include a plurality of elements. For example, an integrated circuit may include active elements such as transistors, or passive elements such as capacitors. A semiconductor process may include a series of sub-processes to form a transistor with a predefined structure. For example, FinFET (10a) and GAAFET (10b) may be formed through a semiconductor process. In some embodiments, the semiconductor process may include sub-processes for forming a transistor with a structure different from FinFET 10a and GAAFET 10b. For example, the nanosheets for the P-type transistor and the nanosheets for the N-type transistor are separated by a dielectric wall, thereby forming a ForkFET in which the N-type transistor and the P-type transistor have a closer structure through a semiconductor process. It can be. Additionally, field effect transistors (FETs) such as complementary FETs (CFETs), negative FETs (NCFETs), and carbon nanotube (CNTs) FETs, as well as bipolar junction transistors can be formed through semiconductor processes.
도 1a를 참조하면, FinFET(10a)은, STI(shallow trench isolation)들 사이에서 X축 방향으로 연장되는 핀(fin) 형상의 제1 내지 제3 활성 패턴(A1 내지 A3) 및 Y축 방향으로 연장되는 게이트 전극(G)에 의해서 형성될 수 있다. 게이트 전극(G)의 양측에 소스/드레인 영역(SD)이 형성될 수 있고, 제1 내지 제3 활성 패턴(A1 내지 A3)에 각각 대응하는 제1 내지 제3 채널(CH1 내지 CH3)이 소스/드레인 영역(SD) 사이에 형성될 수 있다. 제1 내지 제3 채널(CH1 내지 CH3)은 Y축 및 Z축 방향으로 게이트 전극(G)과 중첩될 수 있고, 제1 내지 제3 채널(CH1 내지 CH3) 및 게이트 전극(G) 사이에 절연막이 형성될 수 있다. 일부 실시예들에서, 소스/드레인 영역(SD)은 도 1a에 도시된 바와 상이하게, 제1 내지 제3 활성 패턴(A1 내지 A3)에 각각 대응하는 3개의 부분들로 구성될 수 있다.Referring to FIG. 1A, the FinFET 10a includes fin-shaped first to third active patterns A1 to A3 extending in the X-axis direction between shallow trench isolations (STIs) and Y-axis directions. It may be formed by an extending gate electrode (G). Source/drain regions (SD) may be formed on both sides of the gate electrode (G), and first to third channels (CH1 to CH3) corresponding to the first to third active patterns (A1 to A3), respectively, are source/drain regions (SD). It may be formed between the /drain region (SD). The first to third channels (CH1 to CH3) may overlap the gate electrode (G) in the Y-axis and Z-axis directions, and an insulating film is formed between the first to third channels (CH1 to CH3) and the gate electrode (G). This can be formed. In some embodiments, the source/drain region SD may be composed of three parts respectively corresponding to the first to third active patterns A1 to A3, differently from what is shown in FIG. 1A.
FinFET(10a)의 유효 채널 폭(effective channel width)은 활성 패턴들의 개수에 의존할 수 있고, 이에 따라 FinFET(10a)은 활성 패턴들의 개수에 대응하는 전류 구동 능력을 가질 수 있다. 예를 들면, 1개 또는 2개의 채널들을 포함하는 FinFET은 도 1a의 FinFET(10a)보다 낮은 전류 구동 능력 및 소비 전력을 가질 수 있다. 또한, 3개 초과의 채널들을 포함하는 FinFET은 도 1a의 FinFET(10a)보다 높은 전류 구동 능력 및 소비 전력을 가질 수 있다. 집적 회로는 성능 및 효율의 최적화를 위하여 다양한 개수의 채널들을 포함하는 FinFET들을 포함할 수 있다.The effective channel width of FinFET 10a may depend on the number of active patterns, and thus FinFET 10a may have a current driving capability corresponding to the number of active patterns. For example, a FinFET including one or two channels may have lower current driving capability and power consumption than FinFET 10a of FIG. 1A. Additionally, a FinFET containing more than three channels may have higher current driving capability and power consumption than FinFET 10a of Figure 1A. The integrated circuit may include FinFETs with varying numbers of channels for optimization of performance and efficiency.
도 1b를 참조하면, GAAFET(10b)은, X축 방향으로 연장되는 활성 패턴(A1) 및 Y축 방향으로 연장되는 게이트 전극(G)에 의해서 형성될 수 있다. 게이트 전극(G)의 양측에 소스/드레인 영역(SD)이 형성될 수 있고, 활성 패턴(A1) 위에서 Z축 방향으로 상호 이격되어 X축 방향으로 연장되고 제1 폭(W1)을 가지는 제1 내지 제3 나노시트(nanosheet)(NS1 내지 NS3)가 소스/드레인 영역(SD) 사이에서 채널들을 형성할 수 있다. 도 1b에 도시된 바와 같이, 나노시트를 포함하는 GAAFET(10b)는 MBCFET(multi-bridge channel field effect transistor)로 지칭될 수 있다. 제1 내지 제3 나노시트(nanosheet)는 Y축 및 Z축 방향으로 게이트 전극(G)과 중첩될 수 있고, 제1 내지 제3 나노시트(nanosheet) 및 게이트 전극(G) 사이에 절연막이 형성될 수 있다.Referring to FIG. 1B, the
GAAFET(10b)의 유효 채널 폭은 나노 시트들의 개수 및 폭에 의존할 수 있고, 이에 따라 GAAFET(10b)은 나노시트들의 개수 및 폭에 대응하는 전류 구동 능력을 가질 수 있다. 예를 들면, 1개 또는 2개의 나노시트들을 포함하거나 제1 폭(W1)보다 작은 폭을 가지는 나노시트들을 포함하는 GAAFET은 도 1b의 GAAFET(10b)보다 낮은 전류 구동 능력 및 소비 전력을 가질 수 있다. 또한, 3개 초과의 나노시트들을 포함하거나 제1 폭(W1)보다 큰 폭을 가지는 나노시트들을 포함하는 GAAFET은 도 1b의 GAAFET(10b)보다 높은 전류 구동 능력 및 소비 전력을 가질 수 있다. 집적 회로는 성능 및 효율의 최적화를 위하여 다양한 개수 및 폭의 나노시트들을 포함하는 GAAFET들을 포함할 수 있다.The effective channel width of the
활성 패턴의 천이(transition)은 상호 인접한 소자들에서 활성 패턴의 개수 및/또는 폭이 변경되는 것을 지칭될 수 있다. FinFET(10a)은 채널들의 개수(또는 핀(fin)들의 개수)를 조절함으로써 활성 패턴의 천이를 구현할 수 있는 한편, GAAFET(10b)은 나노시트의 제1 폭(W1)을 조절함으로써 활성 패턴의 천이를 구현할 수 있고, 이에 따라 GAAFET(10b)는 FinFET(10a)보다 다양한 특성들을 가지는 소자들을 지원할 수 있다. Transition of an active pattern may refer to a change in the number and/or width of active patterns in adjacent devices. The FinFET (10a) can implement the transition of the active pattern by adjusting the number of channels (or the number of fins), while the GAAFET (10b) can implement the transition of the active pattern by adjusting the first width (W1) of the nanosheet. Transitions can be implemented, and accordingly, the GAAFET (10b) can support devices with more diverse characteristics than the FinFET (10a).
높은 집적도를 위하여 소자의 크기가 감소함에 따라, 반도체 공정의 난이도가 상승할 수 있고 활성 패턴의 천이가 반도체 공정에 의해 제한될 수 있다. 예를 들면, 상호 인접한 소자들에서 활성 패턴의 천이가 크게 발생하는 경우, 예컨대 집적 회로에서 나노시트의 폭이 크게 감소하거나 크게 증가하는 구조가 설계된 경우, 반도체 공정은 설계된 구조를 용이하게 구현하지 못할 수 있다. 이에 따라, 활성 패턴의 천이가 크게 발생하는 경우, 집적 회로의 수율이 감소하거나, 활성 패턴의 천이를 위한 공간(예컨대, 디퓨전 브레이크)에 기인하여 집적 회로의 면적이 증가할 수 있다.As the size of the device decreases for high integration, the difficulty of the semiconductor process may increase and the transition of the active pattern may be limited by the semiconductor process. For example, if a large transition of the active pattern occurs in adjacent devices, for example, if a structure is designed in which the width of the nanosheet is greatly reduced or greatly increased in an integrated circuit, the semiconductor process may not be able to easily implement the designed structure. You can. Accordingly, when a large transition of the active pattern occurs, the yield of the integrated circuit may decrease, or the area of the integrated circuit may increase due to a space for the transition of the active pattern (eg, diffusion break).
이하에서 도면들을 참조하여 설명되는 바와 같이, 집적 회로는 반도체 공정을 고려하여 설계될 수 있고, 이에 따라 집적 회로를 설계하는데 소요되는 시간 및 비용이 절감될 수 있을 뿐만 아니라, 집적 회로의 수율이 향상될 수 있다. 또한, 집적 회로는 높은 신뢰도를 가질 수 있고, 이에 따라 집적 회로를 포함하는 어플리케이션들의 신뢰도가 향상될 수 있다. 또한, 높은 신뢰도를 가지는 집적 회로가 용이하게 설계될 수 있고, 이에 따라 집적 회로의 시장 출시 기간(time-to-market)이 현저하게 단축될 수 있다. 이하에서, 소자의 예시로서 GAAFET, 즉 MBCFET이 주로 설명될 것이나, 본 개시의 예시적 실시예들이 이에 제한되지 아니하는 점이 유의된다. 또한, 나노시트들의 폭을 변경함으로써 발생하는 활성 패턴의 천이가 주로 설명될 것이나, 전술된 바와 같이 나노시트들의 개수를 변경함으로써 활성 패턴의 천이가 발생할 수도 있는 점이 유의된다.As will be explained below with reference to the drawings, integrated circuits can be designed in consideration of the semiconductor process, thereby not only reducing the time and cost required to design the integrated circuit, but also improving the yield of the integrated circuit. It can be. Additionally, integrated circuits can have high reliability, and thus the reliability of applications including integrated circuits can be improved. Additionally, integrated circuits with high reliability can be easily designed, and thus the time-to-market of the integrated circuit can be significantly shortened. In the following, GAAFET, or MBCFET, will mainly be described as an example of a device, but it is noted that the exemplary embodiments of the present disclosure are not limited thereto. Additionally, although the transition of the active pattern that occurs by changing the width of the nanosheets will be mainly explained, it is noted that the transition of the active pattern may also occur by changing the number of nanosheets as described above.
도 2a 및 도 2b는 본 개시의 예시적 실시예들에 따른 집적 회로의 레이아웃들을 나타내는 평면도들이다. 이하에서, 도 2a 및 도 2b에 대한 설명 중 상호 중복되는 내용은 생략될 것이다.2A and 2B are plan views showing layouts of integrated circuits according to example embodiments of the present disclosure. Hereinafter, overlapping content in the description of FIGS. 2A and 2B will be omitted.
도 2a를 참조하면, 집적 회로는 복수의 표준 셀들을 포함할 수 있다. 표준 셀(standard cell)은 집적 회로에 포함되는 레이아웃의 단위로서, 단순하게 셀로 지칭될 수 있다. 셀은 트랜지스터를 포함할 수 있고, 미리 정의된 기능을 수행하도록 설계될 수 있다. 집적 회로에서 셀들은 행들에 정렬되어 배치될 수 있다. 예를 들면, 도 2a에서, 제1 행(R1) 및 제2 행(R2)은 X축 방향으로 연장될 수 있고, 셀들은 제1 행(R1) 및/또는 제2 행(R2)에 배치될 수 있다. 하나의 행에 배치되는 셀은 단일 높이 셀(single height cell)로 지칭될 수 있고, 2이상의 연속적인 행들에 배치되는 셀은 다중 높이 셀(multi-height cell)로 지칭될 수 있다. 제1 행(R1)에 배치되는 단일 높이 셀들은 Y축 방향으로 제1 높이(H1)를 가질 수 있고, 제2 행(R2)에 배치되는 단일 높이 셀들은 Y축 방향으로 제2 높이(H2)를 가질 수 있으며, 제1 행(R1) 및 제2 행(R2)에 연속적으로 배치되는 다중 높이 셀들은 Y축 방향으로 제1 높이(H1) 및 제2 높이(H2)의 합에 대응하는 높이를 가질 수 있다.Referring to FIG. 2A, an integrated circuit may include a plurality of standard cells. A standard cell is a unit of layout included in an integrated circuit and may simply be referred to as a cell. Cells may contain transistors and may be designed to perform predefined functions. In an integrated circuit, cells may be arranged in rows. For example, in FIG. 2A, the first row (R1) and the second row (R2) may extend in the X-axis direction, and cells are arranged in the first row (R1) and/or the second row (R2) It can be. A cell placed in one row may be referred to as a single height cell, and a cell placed in two or more consecutive rows may be referred to as a multi-height cell. Single-height cells placed in the first row (R1) may have a first height (H1) in the Y-axis direction, and single-height cells placed in the second row (R2) may have a second height (H2) in the Y-axis direction. ), and the multi-height cells continuously arranged in the first row (R1) and the second row (R2) correspond to the sum of the first height (H1) and the second height (H2) in the Y-axis direction. It can have height.
일부 실시예들에서, 제1 행(R1)의 제1 높이(H1) 및 제2 행(R2)의 제2 높이(H2)는 동일할 수도 있고 상이할 수도 있다. 예를 들면, 도 2a에 도시된 바와 같이, 제2 높이(H2)는 제1 높이(H1)보다 클 수 있다(H2>H1). 상이한 높이들을 가지는 행들은 다양하게 배치될 수 있다. 예를 들면, 제1 높이(H1)를 가지는 행들 및 제2 높이(H2)를 가지는 행들은 1:1, 2:2, 4:4 등의 비율로 상호 교번적으로 배치될 수 있다.In some embodiments, the first height H1 of the first row R1 and the second height H2 of the second row R2 may be the same or different. For example, as shown in FIG. 2A, the second height H2 may be greater than the first height H1 (H2>H1). Rows with different heights can be arranged in various ways. For example, rows with the first height H1 and rows with the second height H2 may be arranged alternately at a ratio of 1:1, 2:2, 4:4, etc.
셀들에 전력을 공급하기 위한 패턴들이 행들의 경계들 상에 배치될 수 있다. 예를 들면, 도 2a에 도시된 바와 같이, 제1 내지 제3 금속 패턴(M21 내지 M23)이 제1 행(R1) 및 제2 행(R2)의 경계들 상에서 X축 방향으로 연장될 수 있다. 제1 및 제3 금속 패턴(M21, M23)은 음의 공급 전압(VSS)이 인가될 수 있고, 제1 및 제3 금속 패턴(M21, M23)에 인접하게 NFET(n-channel field effect transistor)가 배치될 수 있다. 또한, 제2 금속 패턴(M22)은 양의 공급 전압(VDD)이 인가될 수 있고, 제2 금속 패턴(M22)에 인접하게 PFET(p-channel field effect transistor)가 배치될 수 있다.Patterns for powering the cells may be placed on the boundaries of the rows. For example, as shown in FIG. 2A, the first to third metal patterns M21 to M23 may extend in the X-axis direction on the boundaries of the first row R1 and the second row R2. . A negative supply voltage (VSS) may be applied to the first and third metal patterns (M21, M23), and an n-channel field effect transistor (NFET) may be installed adjacent to the first and third metal patterns (M21, M23). can be placed. Additionally, a positive supply voltage (VDD) may be applied to the second metal pattern (M22), and a p-channel field effect transistor (PFET) may be disposed adjacent to the second metal pattern (M22).
집적 회로는 X축 방향으로 연장되는 활성 패턴들을 포함할 수 있고, 셀은 활성 패턴에 의해서 형성되는 트랜지스터를 포함할 수 있다. 예를 들면, 도 2a에 도시된 바와 같이, 집적 회로(20a)는 제1 행(R1)에서, X축 방향으로 상호 중첩된 복수의 제1 활성 패턴들(A11 내지 A13) 및 X축 방향으로 상호 중첩된 복수의 제2 활성 패턴들(A21 내지 A23)을 포함할 수 있다. 또한, 집적 회로(20a)는 제2행에서, X축 방향으로 상호 중첩된 복수의 제3 활성 패턴들(A3 내지 A33) 및 X축 방향으로 상호 중첩된 복수의 제4 활성 패턴들(A41 내지 A43)을 포함할 수 있다. 도 2a에 도시된 바와 같이, 음의 공급 전압(VSS)이 인가되는 제1 및 제3 금속 패턴(M21, M23)에 인접한 복수의 제1 활성 패턴들(A11 내지 A13) 및 복수의 제4 활성 패턴들(A41 내지 A43)은 NFET(n-channel field effect transistor)을 형성할 수 있는 한편, 양의 공급 전압(VDD)이 인가되는 제2 금속 패턴(M22)에 인접한 복수의 제2 활성 패턴들(A21 내지 A23) 및 복수의 제2 활성 패턴들(A31 내지 A33)은 PFET(p-channel field effect transistor)을 형성할 수 있다.The integrated circuit may include active patterns extending in the X-axis direction, and the cell may include a transistor formed by the active patterns. For example, as shown in FIG. 2A, the
일부 실시예들에서, 활성 패턴의 천이는 미리 정의된 크기로 제한될 수 있다. 예를 들면, 도 2a에 도시된 바와 같이, 제1 행(R1)의 복수의 제1 활성 패턴들(A11 내지 A13)에서 활성 패턴의 천이는 제1 오프셋(OS1)으로 제한될 수 있다. 이에 따라, 상호 인접한 제1 활성 패턴들(A11, A12)의 폭들 사이 차이는 제1 오프셋(OS1)에 대응할 수 있고, 상호 인접한 제1 활성 패턴들(A12, A13)의 폭들 사이 차이 역시 제1 오프셋(OS1)에 대응할 수 있다. 또한, 제1 행(R1)의 복수의 제2 활성 패턴들(A21 내지 A23)에서 활성 패턴의 천이는 제2 오프셋(OS2)으로 제한될 수 있다. 일부 실시예들에서, 제1 오프셋(OS1) 및 제2 오프셋(OS2)은 동일할 수 있다. 유사하게, 제2 행(R2)의 복수의 제3 활성 패턴들(A31 내지 A33)에서 활성 패턴의 천이는 제3 오프셋(OS3)으로 제한될 수 있고, 제2 행(R2)의 복수의 제4 활성 패턴들(A41 내지 A43)에서 활성 패턴의 천이는 제4 오프셋(OS4)으로 제한될 수 있다. 일부 실시예들에서, 제3 오프셋(OS3) 및 제4 오프셋(OS4)은 동일할 수 있다. 제1 내지 제4 오프셋(OS1 내지 OS4)은 집적 회로(20a)를 제조하기 위한 반도체 공정에 의해서 정의될 수 있고, 이에 따라 집적 회로(20a)에서 활성 패턴의 과도한 천이에 기인하는 오류가 제거될 수 있다.In some embodiments, the transition of the activation pattern may be limited to a predefined size. For example, as shown in FIG. 2A , the transition of the active pattern in the plurality of first active patterns A11 to A13 in the first row R1 may be limited to the first offset OS1. Accordingly, the difference between the widths of the adjacent first active patterns A11 and A12 may correspond to the first offset OS1, and the difference between the widths of the adjacent first active patterns A12 and A13 may also correspond to the first offset OS1. It can support offset (OS1). Additionally, the transition of the active pattern in the plurality of second active patterns A21 to A23 in the first row R1 may be limited to the second offset OS2. In some embodiments, the first offset (OS1) and the second offset (OS2) may be the same. Similarly, the transition of the active pattern in the plurality of third active patterns A31 to A33 in the second row R2 may be limited to the third offset OS3, and the plurality of third active patterns A31 to A33 in the second row R2 may be limited to the third offset OS3. The transition of the active patterns in the four active patterns A41 to A43 may be limited to the fourth offset OS4. In some embodiments, the third offset (OS3) and the fourth offset (OS4) may be the same. The first to fourth offsets OS1 to OS4 may be defined by a semiconductor process for manufacturing the
일부 실시예들에서, 제1 행(R1)의 활성 패턴들의 폭들 및 제2 행(R2)의 활성 패턴들의 폭들은 상이할 수 있다. 예를 들면, 제1 행(R1)의 복수의 제1 활성 패턴들(A11 내지 A13)의 최대폭(또는 최소폭)은 제2 행(R2)의 제4 활성 패턴들(A41 내지 A43)의 최대폭(또는 최소폭)과 상이할 수 있다. 또한, 제1 행(R1)의 복수의 제2 활성 패턴들(A21 내지 A23)의 최대폭(또는 최소폭)은 제2 행(R2)의 제3 활성 패턴들(A31 내지 A33)의 최대폭(또는 최소폭)과 상이할 수 있다.In some embodiments, the widths of the active patterns in the first row (R1) and the widths of the active patterns in the second row (R2) may be different. For example, the maximum width (or minimum width) of the plurality of first active patterns A11 to A13 in the first row R1 is the maximum width of the fourth active patterns A41 to A43 in the second row R2. (or minimum width) may be different. Additionally, the maximum width (or minimum width) of the plurality of second active patterns A21 to A23 in the first row R1 is the maximum width (or minimum width) of the third active patterns A31 to A33 in the second row R2. minimum width) may be different.
도 2b를 참조하면, 집적 회로(20b)에서 활성 패턴은 2개의 폭들 중 하나를 가질 수 있다. 예를 들면, 도 2b에 도시된 바와 같이, 제1 행(R1)에서 X축 방향으로 상호 중첩된 복수의 제1 활성 패턴들(A11 내지 A13) 각각은 제1 오프셋(OS1)만큼 차이를 가지는 2개의 폭들(W11, W12) 중 하나를 가질 수 있다. 또한, 제1 행(R1)에서 X축 방향으로 상호 중첩된 복수의 제2 활성 패턴들(A21 내지 A23) 각각은 제2 오프셋(OS2)만큼 차이를 가지는 2개의 폭들(W21, W22) 중 하나를 가질 수 있다. 일부 실시예들에서, 제1 오프셋(OS1) 및 제2 오프셋(OS2)은 동일할 수 있다. 일부 실시예들에서, 복수의 제1 활성 패턴들(A11 내지 A13)의 폭들(W11, W12)은 복수의 제2 활성 패턴들(A21 내지 A23)의 폭들(W21, W22)와 각각 동일할 수 있다. 유사하게, 제2 행에서, X축 방향으로 상호 중첩된 복수의 제3 활성 패턴들(A31 내지 A34) 각각은 제3 오프셋(OS3)만큼 차이를 가지는 2개의 폭들(W31, W32) 중 하나를 가질 수 있고, X축 방향으로 상호 중첩된 복수의 제4 활성 패턴들(A41 내지 A43) 각각은 제4 오프셋(OS4)만큼 차이를 가지는 2개의 폭들(W41, W42) 중 하나를 가질 수 있다. 일부 실시예들에서, 제3 오프셋(OS3) 및 제4 오프셋(OS4)은 동일할 수 있다. 일부 실시예들에서, 복수의 제3 활성 패턴들(A31 내지 A33)의 폭들(W31, W32)은 복수의 제4 활성 패턴들(A41 내지 A43)의 폭들(W41, W42)와 각각 동일할 수 있다.Referring to FIG. 2B, the active pattern in
도 3a 및 도 3b는 본 개시의 예시적 실시예들에 따른 집적 회로의 레이아웃들을 나타내는 평면도들이다. 일부 실시예들에서, 셀은 디퓨전 브레이크(diffusion break)로 종결될 수 있고, 활성 패턴의 천이는 디퓨전 브레이크에서 발생할 수 있다. 일부 실시예들에서, 셀 내에서 활성 패턴은 일정한 폭을 가질 수 있다. 예를 들면, 도 3a 및 도 3b에 도시된 바와 같이, 활성 패턴은 셀 내에서 일정한 폭을 가질 수 있는 한편, 상이한 셀들에서 상이한 폭들을 가질 수 있다. 이하에서, 도 3a 및 도 3b에 대한 설명 중 상호 중복되는 내용은 생략될 것이다.3A and 3B are plan views showing layouts of integrated circuits according to example embodiments of the present disclosure. In some embodiments, a cell may terminate with a diffusion break, and a transition in the activity pattern may occur at the diffusion break. In some embodiments, the activation pattern within a cell may have a constant width. For example, as shown in FIGS. 3A and 3B, the active pattern may have a constant width within a cell, while having different widths in different cells. Hereinafter, overlapping content in the description of FIGS. 3A and 3B will be omitted.
도 3a를 참조하면, 집적 회로(30a)는 제1 셀(C31a) 및 제2 셀(C32a)을 포함할 수 있다. 제1 셀(C31a)은 X축 방향으로 연장되는 활성 패턴들(A11, A21) 및 Y축 방향으로 연장되는 게이트 전극들을 포함할 수 있다. 제2 셀(C32a)은 X축 방향으로 연장되는 활성 패턴들(A12, A22) 및 Y축 방향으로 연장되는 게이트 전극들을 포함할 수 있다. 게이트 전극들은 CPP(contacted poly pitch)로 Y축 방향으로 연장될 수 있고, 도 3a에서 제1 셀(C31a) 및 제2 셀(C32a) 각각은 3CPP에 대응하는 X축 방향의 길이를 가질 수 있다.Referring to FIG. 3A, the
제1 셀(C31a) 및 제2 셀(C32a)의 Y축에 평행한 경계들에서 게이트 전극 대신 디퓨전 브레이크들이 형성될 수 있고, 상호 인접하게 배치된 제1 셀(C31a) 및 제2 셀(C32a)은 하나의 디퓨전 브레이크를 공유할 수 있다. 도 3a에 도시된 바와 같이, 게이트 전극의 위치에 배치되는 디퓨전 브레이크는 SDB(single diffusion break) 또는 더미 게이트로 지칭될 수 있다. 일부 실시예들에서, 도 3a에 도시된 바와 상이하게, 셀은 게이트 전극들 사이에서 X축 방향으로 연장되는 경계들을 가질 수 있고, 인접한 셀들의 게이트들 사이에 형성되는 디퓨전 브레이크는 DDB(double diffusion break)로 지칭될 수 있다.Diffusion breaks may be formed instead of gate electrodes at the boundaries parallel to the Y axis of the first cell C31a and the second cell C32a, and the first cell C31a and the second cell C32a are disposed adjacent to each other. ) can share one diffusion break. As shown in FIG. 3A, the diffusion break disposed at the position of the gate electrode may be referred to as a single diffusion break (SDB) or a dummy gate. In some embodiments, different from what is shown in FIG. 3A, a cell may have boundaries extending in the X-axis direction between gate electrodes, and the diffusion break formed between the gates of adjacent cells may be double diffusion (DDB). break).
도 3a에 도시된 바와 같이, 제1 셀(C31a)에서 PFET을 위한 활성 패턴(A11)은 제1 폭(W1)을 가질 수 있고, 제2 셀(C32a)에서 NFET을 위한 활성 패턴(A12)은 제2 폭(W2)을 가질 수 있다. 도 2a 및 도 2b를 참조하여 전술된 바와 같이, 제2 폭(W2)은 제1 폭(W1)보다 제1 오프셋(OS1)만큼 클 수 있다. 활성 패턴은 제1 셀(C31a) 및 제2 셀(C32a) 사이 디퓨전 브레이크에서 폭이 변경될 수 있고, 디퓨전 브레이크에서 활성 패턴은 제거될 수 있다.As shown in FIG. 3A, the active pattern A11 for the PFET in the first cell C31a may have a first width W1, and the active pattern A12 for the NFET in the second cell C32a may have a first width W1. may have a second width (W2). As described above with reference to FIGS. 2A and 2B, the second width W2 may be larger than the first width W1 by the first offset OS1. The width of the active pattern may be changed at the diffusion break between the first cell C31a and the second cell C32a, and the active pattern may be removed from the diffusion break.
도 3b를 참조하면, 집적 회로(30b)는 제1 내지 제4 셀(C31b 내지 C34b)을 포함할 수 있다. 제1 셀(C31b)은 X축 방향으로 연장되는 활성 패턴들(A11, A21) 및 Y축 방향으로 연장되는 게이트 전극을 포함할 수 있다. 제2 셀(C32b)은 X축 방향으로 연장되는 활성 패턴들(A12, A22) 및 Y축 방향으로 연장되는 게이트 전극을 포함할 수 있다. 도 3b에 도시된 바와 같이, 제1 셀(C31b)의 활성 패턴(A11) 및 제2 셀(C32b)의 활성 패턴(A12)은 제1 폭(W1)을 가질 수 있고, 제1 셀(C31b) 및 제2 셀(C32b) 사이에서 Y축 방향으로 연장되는 SDB에 의해서 상호 분리될 수 있다. Referring to FIG. 3B, the
제4 셀(C34b)은 X축 방향으로 연장되는 활성 패턴들(A13, A23) 및 Y축 방향으로 연장되는 게이트 전극을 포함할 수 있다. 제4 셀(C34b)의 활성 패턴(A13)의 제2 폭(W2)은 제2 셀(C32b)의 활성 패턴(A12)의 제1 폭(W1)보다 제1 오프셋(OS1)만큼 클 수 있다. 도 3a의 집적 회로(30a)와 상이하게, 도 3b의 집적 회로(30b)에서 활성 패턴의 천이는 CPP 이상의 폭으로 Y축 방향으로 연장되는 디퓨전 브레이크를 요구할 수 있다. 이에 따라, 집적 회로(30b)는 제2 셀(C32b) 및 제4 셀(C34b) 사이에서 제3 셀(C33b)을 포함할 수 있고, 제3 셀(C33b)에서 활성 패턴들은 제거될 수 있다. 본 명세서에서, 제1 셀(C31b), 제2 셀(C32b) 및 제4 셀(C34b)과 같이, 트랜지스터(또는 활성 패턴)를 포함하고 트랜지스터에 의한 기능을 수행하도록 설계된 셀은 기능(function) 셀로 지칭될 수 있다. 또한, 제3 셀(C33b)과 같이, 활성 패턴의 천이를 위하여 기능 셀들 사이에 삽입된 셀은 필러(filler) 셀로 지칭될 수 있다. 일부 실시예들에서, 도 3b에 도시된 바와 상이하게, 필러 셀은 CPP에 대응하거나 2CPP를 초과하는 X축 방향의 길이를 가질 수도 있다.The fourth cell C34b may include active patterns A13 and A23 extending in the X-axis direction and a gate electrode extending in the Y-axis direction. The second width W2 of the active pattern A13 of the fourth cell C34b may be greater than the first width W1 of the active pattern A12 of the second cell C32b by the first offset OS1. . Unlike the
도 4는 본 개시의 예시적 실시예에 따른 셀의 레이아웃을 나타내는 평면도이다. 일부 실시예들에서, 활성 패턴의 천이는 셀 내에서 발생할 수 있다.Figure 4 is a plan view showing the layout of a cell according to an exemplary embodiment of the present disclosure. In some embodiments, transitions in activity patterns may occur within a cell.
도 4를 참조하면, 셀(C40)은 X축 방향으로 상호 중첩되는 활성 패턴들(A11, A12) 및 X축 방향으로 상호 중첩되는 활성 패턴들(A21, A22)을 포함할 수 있다. 활성 패턴(A12)의 폭(W12)은 활성 패턴(A11)의 폭(W11)보다 제1 오프셋(OS1)만큼 클 수 있고, 활성 패턴(A22)의 폭(W22)은 활성 패턴(A21)의 폭(W21)보다 제2 오프셋(OS2)만큼 클 수 있다. 제1 오프셋(OS1) 및 제2 오프셋(OS2)은 반도체 공정에 의해서 정의될 수 있고, 셀(C40)은 제1 오프셋(OS1) 또는 제2 오프셋(OS2)에 대응하는 활성 패턴의 천이를 포함하도록 설계될 수 있다. 이에 따라, 셀(C40)은 최적화된 성능 및 효율을 가지도록 설계될 수 있는 한편, 셀(C40)에 기인하는 오류가 방지될 수 있다.Referring to FIG. 4 , the cell C40 may include active patterns A11 and A12 that overlap each other in the X-axis direction and active patterns (A21 and A22) that overlap each other in the X-axis direction. The width W12 of the active pattern A12 may be larger than the width W11 of the active pattern A11 by the first offset OS1, and the width W22 of the active pattern A22 may be larger than the width W11 of the active pattern A11. It may be larger than the width W21 by the second offset OS2. The first offset (OS1) and the second offset (OS2) may be defined by a semiconductor process, and the cell C40 includes a transition of an active pattern corresponding to the first offset (OS1) or the second offset (OS2). It can be designed to do so. Accordingly, the cell C40 can be designed to have optimized performance and efficiency, while errors caused by the cell C40 can be prevented.
도 5는 본 개시의 예시적 실시예에 따른 집적 회로(50)의 레이아웃을 나타내는 평면도이다. 도 2a 및 도 2b를 참조하여 전술된 바와 같이, 집적 회로(50)는 복수의 셀들을 포함할 수 있고, 복수의 셀들은 X축 방향으로 연장되는 행들, 예컨대 제1 행(R1) 및/또는 제2 행(R2)에 배치될 수 있다. 도 2a 및 도 2b를 참조하여 전술된 바와 같이, 제1 행(R1)의 제1 높이(H1) 및 제2 행(R2)의 제2 높이(H2)는 동일할 수도 있고 상이할 수도 있다.Figure 5 is a plan view showing the layout of the
일부 실시예들에서, 셀에서 NFET을 위한 활성 패턴의 폭 및 PFET을 위한 활성 패턴의 폭은 상이할 수 있다. 예를 들면, 도 5에 도시된 바와 같이, 제1 행(R1)에서 NFET을 위한 활성 패턴(A11) 및 PFET을 위한 활성 패턴(A12)이 X축 방향으로 연장될 수 있다. NFET을 위한 활성 패턴(A11)의 폭(W11)은 PFET을 위한 활성 패턴(A12)의 폭(W12)보다 작을 수 있다(W11<W12). 일부 실시예들에서, 도 5에 도시된 바와 상이하게, NFET을 위한 활성 패턴(A11)의 폭(W11)은 PFET을 위한 활성 패턴(A12)의 폭(W12)보다 클 수도 있다(W11>W12). 또한, 도 5에 도시된 바와 같이, 제2 행(R2)에서 PFET을 위한 활성 패턴(A21) 및 NFET을 위한 활성 패턴(A22)이 X축 방향으로 연장될 수 있다. PFET을 위한 활성 패턴(A21)의 폭(W21)은 NFET을 위한 활성 패턴(A22)의 폭(W22)보다 클 수 있다(W21>W22). 일부 실시예들에서, 도 5에 도시된 바와 상이하게, PFET을 위한 활성 패턴(A21)의 폭(W21)은 NFET을 위한 활성 패턴(A22)의 폭(W22)보다 작을 수도 있다(W11<W12).In some embodiments, the width of the active pattern for NFETs and the width of the active pattern for PFETs in a cell may be different. For example, as shown in FIG. 5 , in the first row R1, the active pattern A11 for the NFET and the active pattern A12 for the PFET may extend in the X-axis direction. The width W11 of the active pattern A11 for the NFET may be smaller than the width W12 of the active pattern A12 for the PFET (W11<W12). In some embodiments, different from what is shown in FIG. 5, the width W11 of the active pattern A11 for the NFET may be greater than the width W12 of the active pattern A12 for the PFET (W11>W12 ). Additionally, as shown in FIG. 5 , in the second row R2, the active pattern A21 for the PFET and the active pattern A22 for the NFET may extend in the X-axis direction. The width W21 of the active pattern A21 for the PFET may be larger than the width W22 of the active pattern A22 for the NFET (W21>W22). In some embodiments, different from what is shown in FIG. 5, the width W21 of the active pattern A21 for the PFET may be smaller than the width W22 of the active pattern A22 for the NFET (W11<W12 ).
도 6a 내지 도 6f는 본 개시의 예시적 실시예들에 따른 집적 회로의 레이아웃들을 나타내는 평면도들이다. 도 6a 내지 도 6f의 평면도들은 상이한 폭들을 각각 가지는 활성 패턴들이 다양하게 정렬되는 예시들을 나타낸다. 도 6a 내지 도 6f에서 집적 회로들(60a 내지 60f) 각각은 제1 행(R1) 및 제2 행(R2)의 경계들 상에서 X축 방향으로 연장되는 제1 내지 제3 금속 패턴들(M61 내지 M63)을 포함할 수 있다. 제1 및 제3 금속 패턴(M61, M63)에 양의 공급 전압(VDD)이 인가될 수 있고, 제2 금속 패턴(M62)에 음의 공급 전압(VSS)이 인가될 수 있다.6A to 6F are plan views showing layouts of integrated circuits according to example embodiments of the present disclosure. The plan views of FIGS. 6A to 6F show examples in which active patterns each having different widths are arranged in various ways. 6A to 6F, each of the
도 6a를 참조하면, 집적 회로(60a)는 제1 행(R1) 및 제2 행(R2)에서 X축 방향으로 연장되는 활성 패턴들을 포함할 수 있다. 예를 들면, 집적 회로(60a)는 제1 행(R1)에서, X축 방향으로 상호 중첩된 복수의 제1 활성 패턴들(A11 내지 A14)을 포함할 수 있고, X축 방향으로 상호 중첩된 복수의 제2 활성 패턴들(A21 내지 A24)을 포함할 수 있다. 일부 실시예들에서, 활성 패턴의 천이는 2가지 오프셋들을 지원할 수 있다. 예를 들면, 상호 인접한 활성 패턴들(A11, A12) 사이 오프셋은, 상호 인접한 활성 패턴들(A12, A13) 사이 오프셋과 동일할 수 있는 한편, 상호 인접한 활성 패턴들(A13, A14) 사이 오프셋과 상이할 수 있다.Referring to FIG. 6A , the
일부 실시예들에서, 활성 패턴들은 X축 방향으로 연장되는 라인에 중첩된 경계들을 가질 수 있다. 예를 들면, 도 6a에 도시된 바와 같이, 복수의 제1 활성 패턴들(A11 내지 A14)은 X축 방향으로 연장되는 라인 X1-X1'에 중첩된 경계들을 가질 수 있고, 복수의 제2 활성 패턴들(A21 내지 A24)은 X축 방향으로 연장되는 라인 X2-X2'에 중첩된 경계들을 가질 수 있다. 도 6a에 도시된 바와 같이, 라인 X1-X1' 및 라인 X2-X2'은 제1 행(R1)의 경계들에 각각 인접할 수 있고, 이에 따라 복수의 제1 활성 패턴들(A11 내지 A14) 및 복수의 제2 활성 패턴들(A21 내지 A24)은 라인 X1-X1' 및 라인 X2-X2' 사이에 배치될 수 있다.In some embodiments, the active patterns may have boundaries overlapping a line extending in the X-axis direction. For example, as shown in FIG. 6A, the plurality of first active patterns A11 to A14 may have boundaries that overlap with the line X1-X1' extending in the The patterns A21 to A24 may have boundaries that overlap with the line X2-X2' extending in the X-axis direction. As shown in FIG. 6A, the lines X1-X1' and lines And a plurality of second active patterns A21 to A24 may be disposed between lines X1-X1' and lines X2-X2'.
도 6b를 참조하면, 집적 회로(60b)는 제1 행(R1) 및 제2 행(R2)에서 X축 방향으로 연장되는 활성 패턴들을 포함할 수 있다. 예를 들면, 집적 회로(60b)는 제1 행(R1)에서, X축 방향으로 상호 중첩된 복수의 제1 활성 패턴들(A11 내지 A14)을 포함할 수 있고, X축 방향으로 상호 중첩된 복수의 제2 활성 패턴들(A21 내지 A24)을 포함할 수 있다. 일부 실시예들에서, 활성 패턴의 천이는 2가지 오프셋들을 지원할 수 있다. 예를 들면, 상호 인접한 활성 패턴들(A11, A12) 사이 오프셋은, 상호 인접한 활성 패턴들(A12, A13) 사이 오프셋과 동일할 수 있는 한편, 상호 인접한 활성 패턴들(A13, A14) 사이 오프셋과 상이할 수 있다.Referring to FIG. 6B , the
일부 실시예들에서, 활성 패턴들은 X축 방향으로 연장되는 라인에 중첩된 경계들을 가질 수 있다. 예를 들면, 도 6b에 도시된 바와 같이, 복수의 제1 활성 패턴들(A11 내지 A14)은 X축 방향으로 연장되는 라인 X1-X1'에 중첩된 경계들을 가질 수 있고, 복수의 제2 활성 패턴들(A21 내지 A24)은 X축 방향으로 연장되는 라인 X2-X2'에 중첩된 경계들을 가질 수 있다. 도 6b에 도시된 바와 같이, 라인 X1-X1' 및 라인 X2-X2'은 제1 행(R1)의 중심에 각각 인접할 수 있고, 이에 따라 라인 X1-X1' 및 라인 X2-X2'은 복수의 제1 활성 패턴들(A11 내지 A14) 및 복수의 제2 활성 패턴들(A21 내지 A24) 사이에서 X축 방향으로 연장될 수 있다.In some embodiments, the active patterns may have boundaries overlapping a line extending in the X-axis direction. For example, as shown in FIG. 6B, the plurality of first active patterns A11 to A14 may have boundaries that overlap with the line X1-X1' extending in the The patterns A21 to A24 may have boundaries that overlap with the line X2-X2' extending in the X-axis direction. As shown in FIG. 6B, the lines X1-X1' and lines X2-X2' may be adjacent to the center of the first row R1, respectively, and accordingly, the lines It may extend in the X-axis direction between the first active patterns A11 to A14 and the plurality of second active patterns A21 to A24.
도 6c를 참조하면, 집적 회로(60c)는 제1 행(R1) 및 제2 행(R2)에서 X축 방향으로 연장되는 활성 패턴들을 포함할 수 있다. 예를 들면, 집적 회로(60c)는 제1 행(R1)에서, X축 방향으로 상호 중첩된 복수의 제1 활성 패턴들(A11 내지 A14)을 포함할 수 있고, X축 방향으로 상호 중첩된 복수의 제2 활성 패턴들(A21 내지 A24)을 포함할 수 있다. 일부 실시예들에서, 활성 패턴의 천이는 2가지 오프셋들을 지원할 수 있다. 예를 들면, 상호 인접한 활성 패턴들(A11, A12) 사이 오프셋은, 상호 인접한 활성 패턴들(A12, A13) 사이 오프셋과 동일할 수 있는 한편, 상호 인접한 활성 패턴들(A13, A14) 사이 오프셋과 상이할 수 있다.Referring to FIG. 6C , the
일부 실시예들에서, 활성 패턴들은 X축 방향으로 연장되는 라인에 중첩된 경계들을 가질 수 있다. 예를 들면, 도 6c에 도시된 바와 같이, 복수의 제1 활성 패턴들(A11 내지 A14)은 X축 방향으로 연장되는 라인 X1-X1'에 중첩된 경계들을 가질 수 있고, 복수의 제2 활성 패턴들(A21 내지 A24)은 X축 방향으로 연장되는 라인 X2-X2'에 중첩된 경계들을 가질 수 있다. 도 6c에 도시된 바와 같이, 라인 X1-X1'은 제1 행(R1)의 경계에 인접할 수 있는 한편, 라인 X2-X2'은 제1 행(R1)의 중심에 인접할 수 있다. 이에 따라 복수의 제1 활성 패턴들(A11 내지 A14)은 라인 X1-X1' 및 라인 X2-X2' 사이에 배치될 수 있고, 라인 X2-X2'은 복수의 제1 활성 패턴들(A11 내지 A14) 및 라인 X2-X2' 사이에서 X축 방향으로 연장될 수 있다.In some embodiments, the active patterns may have boundaries overlapping a line extending in the X-axis direction. For example, as shown in FIG. 6C, the plurality of first active patterns A11 to A14 may have boundaries that overlap with the line X1-X1' extending in the The patterns A21 to A24 may have boundaries that overlap with the line X2-X2' extending in the X-axis direction. As shown in FIG. 6C, line X1-X1' may be adjacent to the border of first row R1, while line X2-X2' may be adjacent to the center of first row R1. Accordingly, a plurality of first active patterns (A11 to A14) may be disposed between lines X1-X1' and lines X2-X2', and lines ) and may extend in the X-axis direction between lines X2-X2'.
도 6d를 참조하면, 집적 회로(60d)는 제1 행(R1) 및 제2 행(R2)에서 X축 방향으로 연장되는 활성 패턴들을 포함할 수 있다. 예를 들면, 집적 회로(60d)는 제1 행(R1)에서, X축 방향으로 상호 중첩된 복수의 제1 활성 패턴들(A11 내지 A14)을 포함할 수 있고, X축 방향으로 상호 중첩된 복수의 제2 활성 패턴들(A21 내지 A24)을 포함할 수 있다. 일부 실시예들에서, 활성 패턴의 천이는 2가지 오프셋들을 지원할 수 있다. 예를 들면, 상호 인접한 활성 패턴들(A11, A12) 사이 오프셋은, 상호 인접한 활성 패턴들(A12, A13) 사이 오프셋과 동일할 수 있는 한편, 상호 인접한 활성 패턴들(A13, A14) 사이 오프셋과 상이할 수 있다.Referring to FIG. 6D , the
일부 실시예들에서, 활성 패턴들 각각은 중심이 X축 방향으로 연장되는 라인에 중첩되도록 정렬될 수 있다. 예를 들면, 도 6d에 도시된 바와 같이, 복수의 제1 활성 패턴들(A11 내지 A14) 각각은 중심이 X축 방향으로 연장되는 라인 X1-X1'에 중첩되도록 정렬될 수 있고, 복수의 제2 활성 패턴들(A21 내지 A24) 각각은 중심이 X축 방향으로 연장되는 라인 X2-X2'에 중첩되도록 정렬될 수 있다.In some embodiments, each of the active patterns may be aligned so that its center overlaps a line extending in the X-axis direction. For example, as shown in FIG. 6D, each of the plurality of first active patterns A11 to A14 may be aligned so that its center overlaps the line X1-X1' extending in the Each of the two active patterns A21 to A24 may be aligned so that its center overlaps the line X2-X2' extending in the X-axis direction.
도 6e를 참조하면, 집적 회로(60e)는 제1 행(R1) 및 제2 행(R2)에서 X축 방향으로 연장되는 활성 패턴들을 포함할 수 있다. 예를 들면, 집적 회로(60e)는 제1 행(R1)에서, X축 방향으로 상호 중첩된 복수의 제1 활성 패턴들(A11 내지 A14)을 포함할 수 있고, X축 방향으로 상호 중첩된 복수의 제2 활성 패턴들(A21 내지 A24)을 포함할 수 있다. 또한, 집적 회로(60e)는 제2 행(R2)에서, X축 방향으로 상호 중첩된 복수의 제3 활성 패턴들(A31 내지 A34)을 포함할 수 있다. 일부 실시예들에서, 활성 패턴의 천이는 2가지 오프셋들을 지원할 수 있다. 예를 들면, 상호 인접한 활성 패턴들(A11, A12) 사이 오프셋은, 상호 인접한 활성 패턴들(A12, A13) 사이 오프셋과 동일할 수 있는 한편, 상호 인접한 활성 패턴들(A13, A14) 사이 오프셋과 상이할 수 있다.Referring to FIG. 6E , the
일부 실시예들에서, Y축 방향으로 인접한 활성 패턴들과 일정한 거리를 가지도록, 활성 패턴들은 배치될 수 있고 폭을 가질 수 있다. 예를 들면, 도 6e에 도시된 바와 같이, 제1 행(R1)에서, 복수의 제1 활성 패턴들(A11 내지 A14)은 대응하는 복수의 제2 활성 패턴들(A21 내지 A24)과 제1 거리(D1)만큼 각각 이격될 수 있다. 또한, 제1 행의 복수의 제2 활성 패턴들(A21 내지 A24)은 대응하는 복수의 제3 활성 패턴들(A31 내지 A34)과 제2 거리(D2)만큼 각각 이격될 수 있다. 제1 거리(D1) 및 제2 거리(D2)는 동일하거나 상이할 수 있다.In some embodiments, the active patterns may be arranged and have a width such that they have a constant distance from adjacent active patterns in the Y-axis direction. For example, as shown in FIG. 6E, in the first row R1, the plurality of first active patterns A11 to A14 correspond to the plurality of second active patterns A21 to A24 and the first plurality of active patterns A11 to A14. Each may be spaced apart by a distance D1. Additionally, the plurality of second active patterns A21 to A24 in the first row may be spaced apart from the corresponding plurality of third active patterns A31 to A34 by a second distance D2. The first distance D1 and the second distance D2 may be the same or different.
도 6f를 참조하면, 집적 회로(60ㄹ)는 제1 행(R1) 및 제2 행(R2)에서 X축 방향으로 연장되는 활성 패턴들을 포함할 수 있다. 예를 들면, 집적 회로(60ㄹ)는 제1 행(R1)에서, X축 방향으로 상호 중첩된 복수의 제1 활성 패턴들(A11 내지 A14)을 포함할 수 있고, X축 방향으로 상호 중첩된 복수의 제2 활성 패턴들(A21 내지 A24)을 포함할 수 있다. 또한, 집적 회로(60f)는 제2 행(R2)에서, X축 방향으로 상호 중첩된 복수의 제3 활성 패턴들(A31 내지 A34)을 포함할 수 있다. 일부 실시예들에서, 활성 패턴의 천이는 2가지 오프셋들을 지원할 수 있다. 예를 들면, 상호 인접한 활성 패턴들(A11, A12) 사이 오프셋은, 상호 인접한 활성 패턴들(A12, A13) 사이 오프셋과 동일할 수 있는 한편, 상호 인접한 활성 패턴들(A13, A14) 사이 오프셋과 상이할 수 있다.Referring to FIG. 6F , the integrated circuit 60D may include active patterns extending in the X-axis direction in the first row R1 and the second row R2. For example, the integrated circuit 60D may include a plurality of first active patterns A11 to A14 overlapping each other in the X-axis direction in the first row R1, and overlapping each other in the X-axis direction. may include a plurality of second active patterns A21 to A24. Additionally, the
일부 실시예들에서, 활성 패턴들 각각은 중심이 X축 방향으로 연장되는 라인에 중첩되도록 정렬될 수 있다. 예를 들면, 도 6f에 도시된 바와 같이, 복수의 제1 활성 패턴들(A11 내지 A14) 각각은 중심이 X축 방향으로 연장되는 라인 X1-X1'에 중첩되도록 정렬될 수 있고, 복수의 제2 활성 패턴들(A21 내지 A24) 각각은 중심이 X축 방향으로 연장되는 라인 X2-X2'에 중첩되도록 정렬될 수 있으며, 복수의 제3 활성 패턴들(A31 내지 A34) 각각은 중심이 X축 방향으로 연장되는 라인 X3-X3'에 중첩되도록 정렬될 수 있다.In some embodiments, each of the active patterns may be aligned so that its center overlaps a line extending in the X-axis direction. For example, as shown in FIG. 6F, each of the plurality of first active patterns A11 to A14 may be aligned so that its center overlaps the line X1-X1' extending in the Each of the two active patterns (A21 to A24) may be aligned so that its center overlaps the line X2-X2' extending in the It can be aligned to overlap the line X3-X3' extending in the direction.
일부 실시예들에서, Y축 방향으로 인접한 활성 패턴과 일정한 거리를 가지도록, 활성 패턴들 각각은 폭을 가질 수 있다. 예를 들면, 도 6f에 도시된 바와 같이, 제1 행(R1)에서 복수의 제1 활성 패턴들(A11 내지 A14)은 대응하는 복수의 제2 활성 패턴들(A21 내지 A24)과 제1 거리(D1)만큼 각각 이격될 수 있다. 또한, 제1 행(R1)의 복수의 제2 활성 패턴들(A21 내지 A24)은 대응하는 복수의 제3 활성 패턴들(A31 내지 A34)과 제2 거리(D2)만큼 각각 이격될 수 있다. 제1 거리(D1) 및 제2 거리(D2)는 동일하거나 상이할 수 있다.In some embodiments, each of the active patterns may have a width such that it has a constant distance from an adjacent active pattern in the Y-axis direction. For example, as shown in FIG. 6F, in the first row R1, the plurality of first active patterns A11 to A14 have a first distance from the corresponding plurality of second active patterns A21 to A24. Each can be spaced apart by (D1). Additionally, the plurality of second active patterns A21 to A24 in the first row R1 may be spaced apart from the corresponding plurality of third active patterns A31 to A34 by a second distance D2. The first distance D1 and the second distance D2 may be the same or different.
도 7은 본 개시의 예시적 실시예에 따라 집적 회로(IC)를 제조하기 위한 방법을 나타내는 순서도이다. 구체적으로, 도 7의 순서도는 표준 셀들을 포함하는 집적 회로(IC)를 제조하기 위한 방법의 예시를 나타낸다. 도 7에 도시된 바와 같이, 집적 회로(IC)를 제조하기 위한 방법은 복수의 단계들(S10, S30, S50, S70, S90)을 포함할 수 있다.7 is a flow chart illustrating a method for manufacturing an integrated circuit (IC) according to an example embodiment of the present disclosure. Specifically, the flowchart of FIG. 7 shows an example of a method for manufacturing an integrated circuit (IC) containing standard cells. As shown in FIG. 7, a method for manufacturing an integrated circuit (IC) may include a plurality of steps S10, S30, S50, S70, and S90.
셀 라이브러리(또는 표준 셀 라이브러리)(D12)는 표준 셀들에 관한 정보, 예컨대 기능, 특성, 레이아웃 등에 대한 정보를 포함할 수 있다. 일부 실시예들에서, 셀 라이브러리(D12)는 상이한 폭들의 활성 패턴들을 각각 포함하는 표준 셀들을 정의할 수 있다. 일부 실시예들에서, 셀 라이브러리(D12)는 내부에서 폭이 변경되는 표준 셀들을 정의할 수 있다. 일부 실시예들에서, 셀 라이브러리(D12)는 활성 패턴의 천이를 위해 삽입되는 필러 셀들을 정의할 수 있다. 일부 실시예들에서, 셀 라이브러리(D12)는 상이한 폭들을 각각 가지는 PFET을 위한 활성 패턴 및 NFET을 위한 활성 패턴을 포함하는 표준 셀들을 정의할 수 있다.The cell library (or standard cell library) D12 may include information about standard cells, such as information about functions, characteristics, layout, etc. In some embodiments, cell library D12 may define standard cells each containing active patterns of different widths. In some embodiments, the cell library D12 may define standard cells whose widths change internally. In some embodiments, the cell library D12 may define filler cells inserted for transition of the active pattern. In some embodiments, the cell library D12 may define standard cells including an active pattern for a PFET and an active pattern for an NFET each having different widths.
설계 규칙(D14)은 집적 회로(IC)의 레이아웃이 준수해야 할 요건들을 포함할 수 있다. 예를 들면, 설계 규칙(D14)은 동일한 레이어에서 패턴들 사이 거리(space), 패턴의 최소 폭, 배선층의 라우팅 방향 등에 대한 요건들을 포함할 수 있다. 일부 실시예들에서, 설계 규칙(D14)은 배선층의 동일 트랙내 최소 이격 거리를 정의할 수 있다.Design rules (D14) may include requirements that the layout of an integrated circuit (IC) must comply with. For example, the design rule D14 may include requirements for the distance (space) between patterns in the same layer, the minimum width of the pattern, the routing direction of the wiring layer, etc. In some embodiments, design rule D14 may define a minimum separation distance within the same track of the wiring layer.
단계 S10에서, RTL 데이터(D11)로부터 네트리스트(D13)를 생성하는 논리 합성 동작이 수행될 수 있다. 예를 들면, 반도체 설계 툴(예컨대, 논리 합성 툴)은 VHDL(VHSIC Hardware Description Language) 및 Verilog와 같은 HDL(Hardware Description Language)로서 작성된 RTL 데이터(D11)로부터 셀 라이브러리(D12)를 참조하여 논리 합성을 수행함으로써, 비트스트림(bitstream) 또는 네트리스트를 포함하는 네트리스트(D13)를 생성할 수 있다. 네트리스트(D13)는 후술되는 배치 및 라우팅(place and routing)의 입력에 대응할 수 있다.In step S10, a logical synthesis operation may be performed to generate a netlist (D13) from RTL data (D11). For example, a semiconductor design tool (e.g., a logic synthesis tool) synthesizes logic by referencing a cell library (D12) from RTL data (D11) written in a Hardware Description Language (HDL) such as VHSIC Hardware Description Language (VHDL) and Verilog. By performing , a netlist D13 including a bitstream or a netlist can be created. The netlist D13 may correspond to the input of place and routing, which will be described later.
단계 S30에서, 셀들이 배치될 수 있다. 예를 들면, 반도체 설계 툴(예컨대, P&R 툴)은 네트리스트(D13)에서 사용된 표준 셀들을 셀 라이브러리(D12) 및 설계 규칙(D14)을 참조하여 배치할 수 있다. 일부 실시예들에서, 설계 규칙(D14)은 하나의 행에서 허용되는 활성 패턴의 천이를 정의할 수 있다. 예를 들면, 설계 규칙(D14)은 하나의 행에서 허용되는 적어도 하나의 오프셋을 정의할 수 있고, 상호 인접한 활성 패턴들은 동일한 폭을 가지거나 설계 규칙(D14)에 의해서 정의된 적어도 하나의 오프셋만큼 상이한 폭들을 각각 가질 수 있다. 반도체 설계 툴은 인접한 표준 셀을 고려하여 적절한 폭을 가지는 활성 패턴을 포함하는 표준 셀을 셀 라이브러리(D12)에서 선택할 수 있고, 선택한 표준 셀을 배치할 수 있다.In step S30, cells may be deployed. For example, a semiconductor design tool (eg, P&R tool) may place standard cells used in the netlist D13 with reference to the cell library D12 and design rule D14. In some embodiments, design rule D14 may define transitions of activation patterns allowed in one row. For example, the design rule D14 may define at least one offset allowed in one row, and mutually adjacent active patterns may have the same width or be offset by at least one offset defined by the design rule D14. Each can have different widths. The semiconductor design tool can select a standard cell containing an active pattern with an appropriate width from the cell library D12 by considering adjacent standard cells, and can place the selected standard cell.
단계 S50에서, 셀들의 핀들이 라우팅될 수 있다. 예를 들면, 반도체 설계 툴은 배치된 표준 셀들의 출력핀들 및 입력핀들을 전기적으로 연결하는 상호연결(interconnection)들을 생성할 수 있고, 배치된 표준 셀들 및 생성된 상호연결들을 정의하는 레이아웃 데이터(D15)를 생성할 수 있다. 상호연결은 비아 층의 비아 및/또는 배선층의 패턴을 포함할 수 있다. 일부 실시예들에서, 상호연결들은 이종 소자들을 연결하기 위한 패턴을 포함할 수 있다. 레이아웃 데이터(D15)는, 예컨대 GDSII와 같은 포맷을 가질 수 있고, 셀들 및 상호연결들의 기하학적 정보를 포함할 수 있다. 반도체 설계 툴은 셀들의 핀들을 라우팅하는 동안 설계 규칙(D14)을 참조할 수 있다. 레이아웃 데이터(D15)는 배치 및 라우팅의 출력에 대응할 수 있다. 단계 S50 단독으로, 또는 단계 S30 및 단계 S50이 총괄적으로, 집적 회로를 설계하는 방법으로서 지칭될 수 있다.In step S50, the pins of the cells may be routed. For example, a semiconductor design tool can generate interconnections that electrically connect the output pins and input pins of placed standard cells, and layout data (D15) defining the placed standard cells and the created interconnections. ) can be created. The interconnections may include a pattern of vias and/or interconnection layers of vias. In some embodiments, the interconnections may include a pattern for connecting disparate devices. The layout data D15 may have a format such as GDSII, for example, and may include geometric information of cells and interconnections. The semiconductor design tool can refer to the design rule (D14) while routing the pins of the cells. Layout data D15 may correspond to the output of placement and routing. Step S50 alone, or steps S30 and S50 collectively, may be referred to as a method for designing an integrated circuit.
단계 S70에서, 마스크를 제작(manufacturing)하는 동작이 수행될 수 있다. 예를 들면, 포토리소그래피(photolithography)에서 빛의 특성에 기인하는 굴절 등의 왜곡 현상을 보정하기 위한 OPC(optical proximity correction)가 레이아웃 데이터(D15)에 이 적용될 수 있다. OPC가 적용된 데이터에 기초하여 복수의 층들에 배치되는 패턴들을 형성하기 위하여 마스크상의 패턴들이 정의될 수 있고, 복수의 층들 각각의 패턴들을 형성하기 위한 적어도 하나의 마스크(또는, 포토마스크)가 제작될 수 있다. 일부 실시예들에서, 집적 회로(IC)의 레이아웃은 단계 S70에서 제한적으로 변형될 수 있고, 단계 S70에서 집적 회로(IC)의 제한적으로 변형하는 것은 집적 회로(IC)의 구조를 최적화하기 위한 후처리로서, 디자인 폴리싱(design polishing)으로 지칭될 수 있다.In step S70, an operation of manufacturing a mask may be performed. For example, in photolithography, optical proximity correction (OPC) to correct distortion such as refraction due to the characteristics of light may be applied to the layout data D15. Patterns on a mask may be defined to form patterns arranged in a plurality of layers based on OPC applied data, and at least one mask (or photomask) may be manufactured to form patterns in each of the plurality of layers. You can. In some embodiments, the layout of the integrated circuit (IC) may be limitedly modified in step S70, and the limited modification of the integrated circuit (IC) in step S70 may be performed after optimizing the structure of the integrated circuit (IC). As a treatment, it may be referred to as design polishing.
단계 S90에서, 집적 회로(IC)를 제조(fabricating)하는 동작이 수행될 수 있다. 예를 들면, 단계 S70에서 제작된 적어도 하나의 마스크를 사용하여 복수의 층들이 패터닝됨으로써 집적 회로(IC)가 제조될 수 있다. FEOL(front-end-of-line) 은, 예컨대 웨이퍼를 평탄화(planarization)하고 세정(cleaning)하는 단계, 트랜치(trench)를 형성하는 단계, 웰(well)을 형성하는 단계, 게이트(gate) 전극을 형성하는 단계, 소스 및 드레인을 형성하는 단계를 포함할 수 있고, FEOL에 의해서, 개별 소자들, 예컨대 트랜지스터, 캐패시터, 저항 등이 기판에 형성될 수 있다. 또한, BEOL(back-end-of-line)은, 예컨대 게이트, 소스 및 드레인 영역을 실리사이드화(silicidation)하는 단계, 유전체를 부가하는 단계, 평탄화 단계, 홀을 형성하는 단계, 금속층을 부가하는 단계, 비아를 형성하는 단계, 패시베이션(passivation)층을 형성하는 단계 등을 포함할 수 있고, BEOL에 의해서, 개별 소자들, 예컨대 트랜지스터, 캐패시터, 저항 등이 상호연결될 수 있다. 일부 실시예들에서, FEOL 및 BEOL 사이에 MOL(middle-of-line)이 수행될 수 있고, 개별 소자들 상에 컨택들이 형성될 수 있다. 그 다음에, 집적 회로(IC)는 반도체 패키지에 패키징될 수 있고, 다양한 어플리케이션들의 부품으로서 사용될 수 있다.In step S90, an operation of fabricating an integrated circuit (IC) may be performed. For example, an integrated circuit (IC) may be manufactured by patterning a plurality of layers using at least one mask fabricated in step S70. Front-end-of-line (FEOL) includes, for example, planarizing and cleaning the wafer, forming a trench, forming a well, and forming a gate electrode. may include forming a source and a drain, and by FEOL, individual elements, such as transistors, capacitors, resistors, etc., may be formed on the substrate. Additionally, back-end-of-line (BEOL) includes, for example, siliciding the gate, source, and drain regions, adding a dielectric, planarizing, forming holes, and adding metal layers. , forming a via, forming a passivation layer, etc., and by BEOL, individual elements, such as transistors, capacitors, resistors, etc., may be interconnected. In some embodiments, middle-of-line (MOL) may be performed between the FEOL and BEOL and contacts may be formed on the individual devices. The integrated circuit (IC) can then be packaged in a semiconductor package and used as a component in a variety of applications.
도 8는 본 개시의 예시적 실시예에 따른 시스템-온-칩(system on chip; SoC)(80)을 나타내는 블록도이다. 시스템-온-칩(80)은 반도체 장치로서, 본 개시의 예시적 실시예에 따른 집적 회로를 포함할 수 있다. 시스템-온-칩(80)은, 다양한 기능을 수행하는 IP(intellectual property)와 같이 복잡한 블록들을 하나의 칩에 구현한 것으로서, 본 개시의 예시적 실시예들에 따른 집적 회로를 설계하는 방법에 의해서 시스템-온-칩(80)이 설계될 수 있고, 이에 따라 시스템-온-칩(80)은 높은 수율 및 신뢰도를 제공할 수 있고, 최적의 성능 및 효율성을 가질 수 있다. 도 8를 참조하면, 시스템-온-칩(80)은 모뎀(82), 디스플레이 컨트롤러(83), 메모리(84), 외부 메모리 컨트롤러(85), CPU(central processing unit)(86), 트랜잭션 유닛(87), PMIC(88) 및 GPU(graphic processing unit)(89)을 포함할 수 있고, 시스템-온-칩(80)의 각 기능 블록들은 시스템 버스(81)를 통해서 서로 통신할 수 있다.FIG. 8 is a block diagram illustrating a system on chip (SoC) 80 according to an example embodiment of the present disclosure. The system-on-chip 80 is a semiconductor device and may include an integrated circuit according to an example embodiment of the present disclosure. The system-on-chip 80 implements complex blocks such as IP (intellectual property) that perform various functions on a single chip, and is used in the method of designing an integrated circuit according to example embodiments of the present disclosure. Thus, the system-on-chip 80 can be designed, and thus the system-on-chip 80 can provide high yield and reliability, and have optimal performance and efficiency. Referring to FIG. 8, the system-on-chip 80 includes a
시스템-온-칩(80)의 동작을 최상위 계층에서 제어할 수 있는 CPU(86)는 다른 기능 블록들(82 내지 89)의 동작을 제어할 수 있다. 모뎀(82)은 시스템-온-칩(80) 외부로부터 수신되는 신호를 복조(demodulation)하거나, 시스템-온-칩(80) 내부에서 생성된 신호를 변조(modulation)하여 외부로 송신할 수 있다. 외부 메모리 컨트롤러(85)는 시스템-온-칩(80)에 연결된 외부 메모리 장치로부터 데이터를 송수신하는 동작을 제어할 수 있다. 예를 들면, 외부 메모리 장치에 저장된 프로그램 및/또는 데이터는 외부 메모리 컨트롤러(85)의 제어 하에서 CPU(86) 또는 GPU(89)에 제공될 수 있다. GPU(89)는 그래픽 처리와 관련된 프로그램 명령(instruction)들을 실행할 수 있다. GPU(89)는 외부 메모리 컨트롤러(85)를 통해서 그래픽 데이터를 수신할 수도 있고, GPU(89)에 의해서 처리된 그래픽 데이터를 외부 메모리 컨트롤러(85)를 통해서 시스템-온-칩(80) 외부로 전송할 수도 있다. 트랜잭션 유닛(87)은 각 기능 블록들의 데이터 트랜잭션을 모니터링할 수 있고, PMIC(88)는 트랜잭션 유닛(87)의 제어에 따라 각 기능 블록으로 공급되는 전력을 제어할 수 있다. 디스플레이 컨트롤러(83)는 시스템-온-칩(80) 외부의 디스플레이(또는 디스플레이 장치)를 제어함으로써 시스템-온-칩(80) 내부에서 생성된 데이터를 디스플레이에 전송할 수 있다. 메모리(84)는, EEPROM(Electrically Erasable Programmable Read-Only Memory), 플래시 메모리(flash memory) 등과 같은 비휘발성 메모리를 포함할 수도 있고, DRAM(Dynamic Random Access Memory), SRAM(Static Random Access Memory) 등과 같은 휘발성 메모리를 포함할 수도 있다.The
도 9는 본 개시의 예시적 실시예에 따른 프로그램을 저장하는 메모리를 포함하는 컴퓨팅 시스템(90)을 나타내는 블록도이다. 본 개시의 예시적 실시예들에 따른, 집적 회로를 설계하는 방법, 예컨대 전술된 순서도의 단계들 중 적어도 일부는 컴퓨팅 시스템(또는 컴퓨터)(90)에서 수행될 수 있다.FIG. 9 is a block diagram illustrating a
컴퓨팅 시스템(90)은 데스크탑 컴퓨터, 워크스테이션, 서버 등과 같이 고정형 컴퓨팅 시스템일 수도 있고, 랩탑 컴퓨터 등과 같이 휴대형 컴퓨팅 시스템일 수도 있다. 도 9에 도시된 바와 같이, 컴퓨팅 시스템(90)은 프로세서(91), 입출력 장치들(92), 네트워크 인터페이스(93), RAM(random access memory)(94), ROM(read only memory)(95) 및 저장 장치(96)를 포함할 수 있다. 프로세서(91), 입출력 장치들(92), 네트워크 인터페이스(93), RAM(94), ROM(95) 및 저장 장치(96)는 버스(97)에 연결될 수 있고, 버스(97)를 통해서 서로 통신할 수 있다.
프로세서(91)는 프로세싱 유닛으로 지칭될 수 있고, 예컨대 마이크로프로세서(micro-processor), AP(application processor), DSP(digital signal processor), GPU(graphic processing unit)과 같이 임의의 명령어 세트(예컨대, IA-32(Intel Architecture-32), 64 비트 확장 IA-32, x86-64, PowerPC, Sparc, MIPS, ARM, IA-64 등)를 실행할 수 있는 적어도 하나의 코어를 포함할 수 있다. 예를 들면, 프로세서(91)는 버스(97)를 통해서 메모리, 즉 RAM(94) 또는 ROM(95)에 액세스할 수 있고, RAM(94) 또는 ROM(95)에 저장된 명령어들을 실행할 수 있다. The
RAM(94)은 본 개시의 예시적 실시예에 따른 집적 회로를 설계하는 방법을 위한 프로그램(94_1) 또는 그것의 적어도 일부를 저장할 수 있고, 프로그램(94_1)은 프로세서(91)로 하여금, 집적 회로를 설계하는 방법, 예컨대 도 7의 방법들에 포함되는 단계들 중 적어도 일부를 수행하도록 할 수 있다. 즉, 프로그램(94_1)은 프로세서(91)에 의해서 실행 가능한 복수의 명령어들을 포함할 수 있고, 프로그램(94_1)에 포함된 복수의 명령어들은 프로세서(91)로 하여금, 예컨대 전술된 순서도들에 포함된 단계들 중 적어도 일부를 수행하도록 할 수 있다.The
저장 장치(96)는 컴퓨팅 시스템(90)에 공급되는 전력이 차단되더라도 저장된 데이터를 소실하지 아니할 수 있다. 예를 들면, 저장 장치(96)는 비휘발성 메모리 장치를 포함할 수도 있고, 자기 테이프, 광학 디스크, 자기 디스크와 같은 저장 매체를 포함할 수도 있다. 또한, 저장 장치(96)는 컴퓨팅 시스템(90)으로부터 탈착 가능할 수도 있다. 저장 장치(96)는 본 개시의 예시적 실시예에 따른 프로그램(94_1)을 저장할 수도 있으며, 프로그램(94_1)이 프로세서(91)에 의해서 실행되기 이전에 저장 장치(96)로부터 프로그램(94_1) 또는 그것의 적어도 일부가 RAM(94)으로 로딩될 수 있다. 다르게는, 저장 장치(96)는 프로그램 언어로 작성된 파일을 저장할 수 있고, 파일로부터 컴파일러 등에 의해서 생성된 프로그램(94_1) 또는 그것의 적어도 일부가 RAM(94)으로 로딩될 수 있다. 또한, 도 9에 도시된 바와 같이, 저장 장치(96)는 데이터베이스(96_1)를 저장할 수 있고, 데이터베이스(96_1)는 집적 회로를 설계하는데 필요한 정보, 예컨대 설계된 블록들에 대한 정보, 도 7의 셀 라이브러리(D12) 및/또는 설계 규칙(D14)을 포함할 수 있다.The
저장 장치(96)는 프로세서(91)에 의해서 처리될 데이터 또는 프로세서(91)에 의해서 처리된 데이터를 저장할 수도 있다. 즉, 프로세서(91)는 프로그램(94_1)에 따라, 저장 장치(96)에 저장된 데이터를 처리함으로써 데이터를 생성할 수 있고, 생성된 데이터를 저장 장치(96)에 저장할 수도 있다. 예를 들면, 저장 장치(96)는, 도 7의 RTL 데이터(D11), 네트리스트(D13) 및/또는 레이아웃 데이터(D15)를 저장할 수 있다.The
입출력 장치들(92)은 키보드, 포인팅 장치 등과 같은 입력 장치를 포함할 수 있고, 디스플레이 장치, 프린터 등과 같은 출력 장치를 포함할 수 있다. 예를 들면, 사용자는 입출력 장치들(92)을 통해서, 프로세서(91)에 의해 프로그램(94_1)의 실행을 트리거할 수도 있고, 도 7의 RTL 데이터(D11) 및/또는 네트리스트(D13)를 입력할 수도 있으며, 도 7의 레이아웃 데이터(D15)를 확인할 수도 있다.Input/
네트워크 인터페이스(93)는 컴퓨팅 시스템(90) 외부의 네트워크에 대한 액세스를 제공할 수 있다. 예를 들면, 네트워크는 다수의 컴퓨팅 시스템들 및 통신 링크들을 포함할 수 있고, 통신 링크들은 유선 링크들, 광학 링크들, 무선 링크들 또는 임의의 다른 형태의 링크들을 포함할 수 있다.
이상에서와 같이 도면과 명세서에서 예시적인 실시예들이 개시되었다. 본 명세서에서 특정한 용어를 사용하여 실시예들을 설명되었으나, 이는 단지 본 개시의 기술적 사상을 설명하기 위한 목적에서 사용된 것이지 의미 한정이나 특허청구범위에 기재된 본 개시의 범위를 제한하기 위하여 사용된 것은 아니다. 그러므로 본 기술분야의 통상의 지식을 가진 자라면 이로부터 다양한 변형 및 균등한 타 실시예가 가능하다는 점을 이해할 것이다.As above, exemplary embodiments have been disclosed in the drawings and specification. Although embodiments have been described in this specification using specific terms, this is only used for the purpose of explaining the technical idea of the present disclosure and is not used to limit the meaning or scope of the present disclosure as set forth in the claims. . Therefore, those skilled in the art will understand that various modifications and other equivalent embodiments are possible therefrom.
Claims (20)
상기 제1 행에서 상기 제1 방향에 수직한 제2 방향으로 연장되는 복수의 게이트 전극들을 포함하고,
상기 제1 활성 패턴 그룹에서 상기 제1 방향으로 상호 인접한 2개의 활성 패턴들은, 상기 제2 방향으로 동일한 폭을 가지거나, 상기 제2 방향으로 제1 오프셋 또는 제2 오프셋만큼 상이한 폭들을 각각 가지는 것을 특징으로 하는 집적 회로.a first active pattern group extending in a first row extending in a first direction and including a plurality of active patterns that overlap each other in the first direction; and
A plurality of gate electrodes extending in the first row in a second direction perpendicular to the first direction,
In the first active pattern group, two active patterns adjacent to each other in the first direction have the same width in the second direction or have widths different from each other by a first offset or a second offset in the second direction. Characterized integrated circuit.
상기 복수의 게이트 전극들은, 제1 피치로 상기 제2 방향으로 연장되고,
상기 제1 활성 패턴 그룹은, 상기 제1 방향으로 상호 인접하고 상기 제2 방향으로 상이한 폭들을 각각 가지는, 제1 활성 패턴 및 제2 활성 패턴을 포함하고,
상기 제1 활성 패턴 및 상기 제2 활성 패턴은, 상기 제1 방향으로 적어도 하나의 상기 제1 피치만큼 상호 이격된 것을 특징으로 하는 집적 회로.In claim 1,
The plurality of gate electrodes extend in the second direction at a first pitch,
The first active pattern group includes a first active pattern and a second active pattern adjacent to each other in the first direction and each having different widths in the second direction,
The first active pattern and the second active pattern are spaced apart from each other by at least one first pitch in the first direction.
상기 제1 활성 패턴 및 상기 제2 활성 패턴 사이에서 활성 패턴이 제거된 것을 특징으로 하는 집적 회로.In claim 2,
An integrated circuit, wherein an active pattern is removed between the first active pattern and the second active pattern.
상기 제1 활성 패턴 그룹의 상기 복수의 활성 패턴들 각각은, 상기 제2 방향으로 제1 폭 또는 상기 제1 폭보다 상기 제1 오프셋만큼 큰 제2 폭을 가지는 것을 특징으로 하는 집적 회로.In claim 1,
An integrated circuit, wherein each of the plurality of active patterns of the first active pattern group has a first width in the second direction or a second width greater than the first width by the first offset.
상기 제1 행에 인접한 제2 행에서 상기 제1 방향으로 연장되고, 상기 제1 방향으로 상호 중첩되는 복수의 활성 패턴들을 포함하는 제2 활성 패턴 그룹을 더 포함하고,
상기 제1 행 및 상기 제2 행은, 상기 제2 방향으로 상이한 폭들을 각각 가지는 것을 특징으로 하는 집적 회로.In claim 1,
Further comprising a second active pattern group extending in the first direction in a second row adjacent to the first row and including a plurality of active patterns overlapping with each other in the first direction,
The first row and the second row each have different widths in the second direction.
상기 제2 활성 패턴 그룹에서 상기 제1 방향으로 상호 인접한 2개의 활성 패턴들은, 상기 제2 방향으로 동일한 폭을 가지거나, 상기 제2 방향으로 제3 오프셋 또는 제4 오프셋만큼 상이한 폭들을 각각 가지고,
상기 제3 오프셋은, 상기 제1 오프셋 및 상기 제2 오프셋과 상이한 것을 특징으로 하는 집적 회로.In claim 5,
In the second active pattern group, two active patterns adjacent to each other in the first direction have the same width in the second direction or have widths different from each other by a third offset or a fourth offset in the second direction,
The third offset is different from the first offset and the second offset.
상기 제1 활성 패턴 그룹의 상기 복수의 활성 패턴들의 상기 제2 방향으로 최대폭은, 상기 제2 활성 패턴 그룹의 상기 복수의 활성 패턴들의 상기 제2 방향으로 최대폭과 상이한 것을 특징으로 하는 집적 회로.In claim 5,
An integrated circuit, wherein a maximum width of the plurality of active patterns of the first active pattern group in the second direction is different from a maximum width of the plurality of active patterns of the second active pattern group in the second direction.
상기 제1 활성 패턴 그룹의 상기 복수의 활성 패턴들의 상기 제2 방향으로 최소폭은, 상기 제2 활성 패턴 그룹의 상기 복수의 활성 패턴들의 상기 제2 방향으로 최소폭과 상이한 것을 특징으로 하는 집적 회로.In claim 5,
An integrated circuit wherein the minimum width of the plurality of active patterns of the first active pattern group in the second direction is different from the minimum width of the plurality of active patterns of the second active pattern group in the second direction. .
상기 제1 행에서 상기 제1 방향으로 연장되고, 상기 제1 방향으로 상호 중첩되는 복수의 활성 패턴들을 포함하는 제3 활성 패턴 그룹을 더 포함하고,
상기 제3 활성 패턴 그룹에서 상기 제1 방향으로 상호 인접한 2개의 활성 패턴들은, 상기 제2 방향으로 동일한 폭을 가지거나, 상기 제2 방향으로 상기 제1 오프셋 또는 상기 제2 오프셋만큼 상이한 폭들을 각각 가지는 것을 특징으로 하는 집적 회로.In claim 1,
Further comprising a third active pattern group extending from the first row in the first direction and including a plurality of active patterns overlapping with each other in the first direction,
In the third active pattern group, two active patterns adjacent to each other in the first direction have the same width in the second direction or have widths different from each other by the first offset or the second offset in the second direction. An integrated circuit characterized by having.
상기 제1 활성 패턴 그룹의 상기 복수의 활성 패턴들 각각은, 상기 제1 방향으로 연장되는 제1 라인에 중첩된 경계를 가지고,
상기 제3 활성 패턴 그룹의 상기 복수의 활성 패턴들 각각은, 상기 제1 방향으로 연장되는 제2 라인에 중첩된 경계를 가지는 것을 특징으로 하는 집적 회로.In claim 9,
Each of the plurality of active patterns of the first active pattern group has a boundary overlapping with a first line extending in the first direction,
An integrated circuit, wherein each of the plurality of active patterns of the third active pattern group has a boundary overlapping with a second line extending in the first direction.
상기 제1 활성 패턴 그룹 및 상기 제3 활성 패턴 그룹은, 상기 제1 라인 및 상기 제2 라인 사이에 있는 것을 특징으로 하는 집적 회로.In claim 10,
The first active pattern group and the third active pattern group are located between the first line and the second line.
상기 제1 라인 및 상기 제2 라인은, 상기 제1 활성 패턴 그룹 및 상기 제3 활성 패턴 그룹 사이에 있는 것을 특징으로 하는 집적 회로.In claim 10,
The first line and the second line are between the first active pattern group and the third active pattern group.
상기 제2 라인은, 상기 제1 활성 패턴 그룹 및 상기 제3 활성 패턴 그룹 사이에 있는 것을 특징으로 하는 집적 회로.In claim 10,
The second line is between the first active pattern group and the third active pattern group.
상기 제1 활성 패턴 그룹의 상기 복수의 활성 패턴들은, 상기 제3 활성 패턴 그룹의 상기 복수의 활성 패턴들로부터 상기 제2 방향으로 제1 거리만큼 각각 이격된 것을 특징으로 하는 집적 회로.In claim 9,
The integrated circuit, wherein the plurality of active patterns of the first active pattern group are spaced apart from the plurality of active patterns of the third active pattern group by a first distance in the second direction.
상기 제1 활성 패턴 그룹의 상기 복수의 활성 패턴들 각각은, 상기 제1 방향으로 연장되는 제1 라인에 중첩된 중심을 가지고,
상기 제3 활성 패턴 그룹의 상기 복수의 활성 패턴들 각각은, 상기 제1 방향으로 연장되는 제2 라인에 중첩된 중심을 가지는 것을 특징으로 하는 집적 회로.In claim 9,
Each of the plurality of active patterns of the first active pattern group has a center overlapped with a first line extending in the first direction,
An integrated circuit, wherein each of the plurality of active patterns of the third active pattern group has a center overlapped with a second line extending in the first direction.
상기 제2 방향으로 대향하는, 상기 제1 활성 패턴 그룹의 활성 패턴 및 상기 제3 활성 패턴 그룹의 활성 패턴은, 상기 제2 방향으로 상이한 폭들을 각각 가지는 것을 특징으로 하는 집적 회로.In claim 9,
An integrated circuit, wherein the active patterns of the first active pattern group and the active patterns of the third active pattern group, opposite in the second direction, each have different widths in the second direction.
상기 제1 활성 패턴 그룹의 상기 복수의 활성 패턴들 각각은, 상기 복수의 게이트들 중 적어도 하나와 상기 제1 방향 및 상기 제2 방향과 수직한 제3 방향 및 상기 제2 방향으로 중첩되는 적어도 하나의 나노시트(nanosheet)를 포함하는 것을 특징으로 하는 집적 회로.In claim 1,
Each of the plurality of active patterns of the first active pattern group includes at least one overlapping with at least one of the plurality of gates in the third direction and the second direction perpendicular to the first direction and the second direction. An integrated circuit comprising a nanosheet.
상기 제1 행에 배치된 복수의 기능 셀들 각각은,
상기 제1 방향으로 연장되는 활성 패턴; 및
상기 제1 방향에 수직한 제2 방향으로 연장되는 적어도 하나의 게이트 전극을 포함하고,
상기 제1 행에서 상호 인접한 2개의 기능 셀들에 각각 포함되고 상기 제1 방향으로 상호 중첩되는 2개의 활성 패턴들은, 상기 제2 방향으로 동일한 폭을 가지거나, 상기 제2 방향으로 제1 오프셋 또는 제2 오프셋만큼 상이한 폭들을 각각 가지는 것을 특징으로 하는 집적 회로.comprising a plurality of functional cells arranged in a first row extending in a first direction,
Each of the plurality of functional cells arranged in the first row,
an active pattern extending in the first direction; and
At least one gate electrode extending in a second direction perpendicular to the first direction,
The two active patterns each included in two adjacent functional cells in the first row and overlapping each other in the first direction have the same width in the second direction, or have a first offset or a first offset in the second direction. An integrated circuit characterized by each having widths that differ by 2 offsets.
상기 복수의 기능 셀들은, 상기 제1 방향으로 상호 인접한 제1 기능 셀 및 제2 기능 셀을 포함하고,
상기 제1 기능 셀은, 상기 제2 방향으로 제1 폭을 가지는 제1 활성 패턴을 포함하고,
상기 제2 기능 셀은, 상기 제1 활성 패턴과 상기 제1 방향으로 중첩되고 상기 제2 방향으로 상기 제1 폭과 상이한 제2 폭을 가지는 제2 활성 패턴을 포함하고,
상기 제1 행에서, 상기 제1 기능 셀 및 제2 기능 셀 사이에 배치된 필러 셀을 더 포함하는 집적 회로.In claim 18,
The plurality of functional cells include a first functional cell and a second functional cell adjacent to each other in the first direction,
The first functional cell includes a first active pattern having a first width in the second direction,
The second functional cell includes a second active pattern that overlaps the first active pattern in the first direction and has a second width different from the first width in the second direction,
The integrated circuit further comprising a pillar cell disposed between the first functional cell and the second functional cell in the first row.
상기 복수의 셀들 중 제1 셀들에 전력을 공급하기 위하여 제1 방향으로 연장되고 상호 인접한 제1 패턴 및 제2 패턴;
상기 제1 패턴 및 상기 제2 패턴 사이에서 상기 제1 방향으로 연장되고, 상기 제1 방향으로 상호 중첩되는 복수의 제1 활성 패턴들; 및
상기 제1 패턴 및 상기 제2 패턴 사이에서 상기 제1 방향에 수직한 제2 방향으로 연장되는 복수의 제1 게이트 전극들을 포함하고,
상기 복수의 제1 활성 패턴들 중 상기 제1 방향으로 상호 인접한 2개의 제1 활성 패턴들은, 상기 제2 방향으로 동일한 폭을 가지거나, 상기 제2 방향으로 제1 오프셋 또는 제2 오프셋만큼 상이한 폭들을 가지는 것을 특징으로 하는 집적 회로.An integrated circuit comprising a plurality of cells,
first and second patterns extending in a first direction and adjacent to each other to supply power to first cells among the plurality of cells;
a plurality of first active patterns extending in the first direction between the first pattern and the second pattern and overlapping each other in the first direction; and
A plurality of first gate electrodes extending in a second direction perpendicular to the first direction between the first pattern and the second pattern,
Among the plurality of first active patterns, two first active patterns adjacent to each other in the first direction have the same width in the second direction, or have widths different from each other by a first offset or a second offset in the second direction. An integrated circuit characterized by having.
Priority Applications (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US18/175,696 US20230290784A1 (en) | 2022-03-10 | 2023-02-28 | Integrated circuit including active pattern having variable width and method of designing the same |
CN202310190812.6A CN116741777A (en) | 2022-03-10 | 2023-03-01 | Integrated circuit including active pattern having variable width and design method thereof |
TW112108381A TW202345341A (en) | 2022-03-10 | 2023-03-08 | Integrated circuit including active pattern having variable width |
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
KR1020220030331 | 2022-03-10 | ||
KR20220030331 | 2022-03-10 |
Publications (1)
Publication Number | Publication Date |
---|---|
KR20230133163A true KR20230133163A (en) | 2023-09-19 |
Family
ID=88196600
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
KR1020220076380A KR20230133163A (en) | 2022-03-10 | 2022-06-22 | Integrated circuit including active pattern having variable width and method for designing the same |
Country Status (1)
Country | Link |
---|---|
KR (1) | KR20230133163A (en) |
-
2022
- 2022-06-22 KR KR1020220076380A patent/KR20230133163A/en unknown
Similar Documents
Publication | Publication Date | Title |
---|---|---|
KR102599048B1 (en) | Integrated circuit including standard cell and method for manufacturing the same | |
KR102495912B1 (en) | Integrated circuit including standard cell and method for manufacturing the same | |
KR20210152843A (en) | Integrated circuit including simple cell interconnection and method for designing the same | |
KR20220048666A (en) | Integrated circuit including a asymmetric power line and method for designing the same | |
KR20220003363A (en) | Integrated circuit including a multiple height cell and method for designing the same | |
US11948932B2 (en) | Integrated circuit including standard cell and filler cell | |
KR20230133163A (en) | Integrated circuit including active pattern having variable width and method for designing the same | |
US20230290784A1 (en) | Integrated circuit including active pattern having variable width and method of designing the same | |
KR20230004012A (en) | Dual port sram cell and design method thereof | |
KR20220060974A (en) | Integrated circuit including a gate-all-around transistor | |
CN116741777A (en) | Integrated circuit including active pattern having variable width and design method thereof | |
US20230378156A1 (en) | Integrated circuit including multi-height cells and method of designing the same | |
US20240128164A1 (en) | Integrated circuit including through-silicon via and method of designing the integrated circuit | |
KR20240021037A (en) | Integrated circuit including multi-threshold devices and method for designing the same | |
US20240243117A1 (en) | Integrated circuit including standard cells and method of designing the same | |
US20240105710A1 (en) | Integrated circuit including standard cells and method of designing the same | |
US20230290779A1 (en) | Integrated circuits having heterogeneous devices therein and methods of designing the same | |
US20230297752A1 (en) | Integrated circuits including abutted blocks and methods of designing layouts of the integrated circuits | |
EP4404263A2 (en) | Integrated circuit including backside wiring | |
KR20230133161A (en) | Integrated circuit including heterogeneous devices and method for designing the same | |
KR20240073729A (en) | Integrated circuit including standard cells and method of designing the same | |
US20240169137A1 (en) | Integrated circuit including standard cells and method of designing the same | |
US20240153889A1 (en) | Integrated circuit including guard-ring and method of designing the same | |
US20240363531A1 (en) | Integrated circuits including backside wiring | |
EP4451332A2 (en) | Integrated circuit including read only memory (rom) cell |