KR20220130547A - Integrated circuit providing increased pin access points and method for designing the same - Google Patents

Integrated circuit providing increased pin access points and method for designing the same Download PDF

Info

Publication number
KR20220130547A
KR20220130547A KR1020210066340A KR20210066340A KR20220130547A KR 20220130547 A KR20220130547 A KR 20220130547A KR 1020210066340 A KR1020210066340 A KR 1020210066340A KR 20210066340 A KR20210066340 A KR 20210066340A KR 20220130547 A KR20220130547 A KR 20220130547A
Authority
KR
South Korea
Prior art keywords
pattern
cell
sub
track
extending
Prior art date
Application number
KR1020210066340A
Other languages
Korean (ko)
Inventor
도정호
서재우
백상훈
유지수
유현규
정민재
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to US17/669,631 priority Critical patent/US20220300693A1/en
Priority to CN202210130051.0A priority patent/CN115117052A/en
Priority to TW111109586A priority patent/TW202247027A/en
Publication of KR20220130547A publication Critical patent/KR20220130547A/en

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/392Floor-planning or layout, e.g. partitioning or placement
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/394Routing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2115/00Details relating to the type of the circuit
    • G06F2115/02System on chip [SoC] design
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2115/00Details relating to the type of the circuit
    • G06F2115/06Structured ASICs

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Geometry (AREA)
  • Evolutionary Computation (AREA)
  • Power Engineering (AREA)
  • Computer Networks & Wireless Communication (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Architecture (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

An integrated circuit may comprise: a first cell comprising a first sub-pattern extending in a first direction along a first track in a first wiring layer; and a second cell comprising a second sub-pattern extending from the first wiring layer in the first direction along a first track and spaced apart from the first sub-pattern at least a minimum separation distance of the first wiring layer, wherein the first sub-pattern may correspond to a pin of the first cell, and the second sub-pattern may be farther apart from the boundary of the first cell and the second cell than that of the first sub-pattern. Therefore, the present invention is capable of reducing a routing congestion.

Description

증가된 핀 액세스 포인트들을 제공하는 집적 회로 및 이를 설계하는 방법{INTEGRATED CIRCUIT PROVIDING INCREASED PIN ACCESS POINTS AND METHOD FOR DESIGNING THE SAME}INTEGRATED CIRCUIT PROVIDING INCREASED PIN ACCESS POINTS AND METHOD FOR DESIGNING THE SAME

본 개시의 기술적 사상은 집적 회로에 관한 것으로서, 자세하게는 증가된 핀 액세스 포인트들을 제공하는 집적 회로 및 이를 설계하는 방법에 관한 것이다.The technical idea of the present disclosure relates to an integrated circuit, and more particularly, to an integrated circuit providing increased pin access points and a method of designing the same.

반도체 공정의 발전에 기인하여, 소자의 크기가 감소할 수 있고, 집적 회로에 포함되는 소자들이 증가할 수 있다. 집적 회로는 소자들을 연결하기 위한 패턴들을 포함할 수 있고, 패턴들은 소자들의 높은 집적도 및 감소된 크기에 기인하여 복잡한 구조를 가질 수 있다. 이에 따라, 소자들을 상호연결하는 라우팅이 집적 회로의 성능 및 효율성에 중대할 수 있다.Due to the development of the semiconductor process, the size of a device may decrease and the number of devices included in an integrated circuit may increase. The integrated circuit may include patterns for connecting elements, and the patterns may have a complex structure due to a high degree of integration and a reduced size of the elements. Accordingly, routing to interconnect devices can be critical to the performance and efficiency of integrated circuits.

본 개시의 기술적 사상은, 증가된 핀 액세스 포인트들을 제공함으로써 높은 성능 및 효율성을 가지는 집적 회로 및 집적 회로를 설계하는 방법을 제공한다.The technical idea of the present disclosure provides an integrated circuit and a method of designing an integrated circuit having high performance and efficiency by providing increased pin access points.

본 개시의 기술적 사상의 일측면에 따른 집적 회로는, 제1 배선층에서 제1 트랙을 따라 제1 방향으로 연장되는 제1 하위 패턴을 포함하는 제1 셀, 및 제1 배선층에서 제1 트랙을 따라 제1 방향으로 연장되고 제1 하위 패턴으로부터 제1 배선층의 최소 이격 거리 이상 이격된, 제2 하위 패턴을 포함하는 제2 셀을 포함할 수 있고, 제1 하위 패턴은, 제1 셀의 핀에 대응할 수 있고, 제2 하위 패턴은, 제1 셀 및 제2 셀의 경계로부터 제1 하위 패턴 보다 멀리 이격될 수 있다.An integrated circuit according to an aspect of the inventive concept includes a first cell including a first sub-pattern extending in a first direction along a first track in a first wiring layer, and along a first track in a first wiring layer and a second cell including a second sub-pattern extending in the first direction and spaced apart from the first sub-pattern by at least a minimum separation distance of the first wiring layer, wherein the first sub-pattern is connected to a pin of the first cell. may correspond, and the second sub-pattern may be spaced apart from the boundary of the first cell and the second cell further than the first sub-pattern.

본 개시의 기술적 사상의 일측면에 따른 집적 회로는, 제1 배선층에서 제1 트랙 및 제2 트랙을 따라 제1 방향으로 각각 연장되는 제1 하위 패턴 및 제2 하위 패턴을 포함하는 제1 셀, 및 제1 배선층에서 제1 트랙 및 제2 트랙을 따라 제1 방향으로 연장되는 제3 하위 패턴 및 제4 하위 패턴을 포함하는 제2 셀을 포함할 수 있고, 제1 하위 패턴은, 제3 하위 패턴과 제1 배선층의 최소 이격 거리 이상 이격되고, 제1 셀 및 제2 셀의 경계로부터 제1 거리 이상 이격될 수 있고, 제2 하위 패턴은, 제4 하위 패턴과 최소 이격 거리 이상 이격되고, 경계로부터 제2 거리 이상 이격될 수 있고, 제1 거리 및 제2 거리는, 상이할 수 있다.An integrated circuit according to an aspect of the present disclosure includes a first cell including a first sub-pattern and a second sub-pattern respectively extending in a first direction along a first track and a second track in a first wiring layer; and a second cell including a third sub-pattern and a fourth sub-pattern extending in a first direction along the first track and the second track in the first wiring layer, wherein the first sub-pattern includes: The pattern and the first wiring layer may be spaced apart from each other by at least a minimum separation distance, and may be spaced apart from the boundary between the first cell and the second cell by a first distance or more, and the second sub-pattern may be spaced apart from the fourth sub-pattern by a minimum separation distance or more, A second distance or more may be spaced apart from the boundary, and the first distance and the second distance may be different.

본 개시의 기술적 사상의 일측면에 따른 집적 회로를 설계하기 위한 방법은, 복수의 셀들 및 복수의 셀들 사이 연결들을 정의하는 입력 데이터를 획득하는 단계, 입력 데이터에 기초하여, 복수의 셀들을 배치하는 단계, 입력 데이터에 기초하여, 배치된 복수의 셀들의 복수의 핀들을 라우팅하는 단계, 및 집적 회로의 레이아웃을 정의하는 출력 데이터를 생성하는 단계를 포함할 수 있고, 핀들을 라우팅하는 단계는, 제1 셀의 핀에 대응하고 제1 배선층에서 제1 방향으로 연장되는, 제1 하위 패턴을 연장하는 단계, 제1 하위 패턴의 연장된 부분의 핀 액세스 포인트를 포함하는 복수의 핀 액세스 포인트들 중 하나에 비아를 배치하는 단계, 및 비아에 연결되고 제2 배선층에서 제1 방향에 수직한 제2 방향으로 연장되는 제1 상위 패턴을 생성하는 단계를 포함할 수 있다.A method for designing an integrated circuit according to an aspect of the inventive concept includes: obtaining input data defining a plurality of cells and connections between the plurality of cells; based on the input data, arranging the plurality of cells; The method may include, based on the input data, routing a plurality of pins of the disposed plurality of cells, and generating output data defining a layout of the integrated circuit, wherein routing the pins comprises: extending a first sub-pattern corresponding to a pin of one cell and extending in a first direction from the first wiring layer, one of a plurality of pin access points including a pin access point of an extended portion of the first sub-pattern The method may include disposing a via in the junction, and generating a first upper pattern connected to the via and extending in a second direction perpendicular to the first direction in the second wiring layer.

본 개시의 기술적 사상의 일측면에 따른 집적 회로는, 제1 배선층에서 제1 방향으로 연장되는 복수의 하위 패턴들 및 제1 피치(pitch)로 제1 방향에 수직한 제2 방향으로 연장되는 적어도 하나의 게이트 전극을 포함하는 제1 셀, 제1 셀에 인접하게 배치되고, 제1 피치의 폭을 가지는 필러 셀, 필러 셀에 인접하게 배치되는 제2 셀, 및 제2 배선층에서 제2 피치의 복수의 트랙들을 따라 제2 방향으로 연장되는 복수의 상위 패턴들을 포함할 수 있고, 제2 피치는, 제1 피치보다 작을 수 있고, 복수의 하위 패턴들은, 필러 셀 상에서 복수의 트랙들 중 제1 트랙과 교차하도록 연장되는 제1 하위 패턴을 포함할 수 있다.An integrated circuit according to an aspect of the inventive concept includes a plurality of sub-patterns extending in a first direction from a first wiring layer and at least a second direction extending in a second direction perpendicular to the first direction at a first pitch A first cell including one gate electrode, a filler cell disposed adjacent to the first cell and having a width of a first pitch, a second cell disposed adjacent to the filler cell, and a second pitch in the second wiring layer may include a plurality of upper patterns extending in a second direction along the plurality of tracks, the second pitch may be less than the first pitch, and the plurality of lower patterns may include a first one of the plurality of tracks on the pillar cell. and a first sub-pattern extending to intersect the track.

본 개시의 예시적 실시예에 따른 집적 회로 및 방법에 의하면, 라우팅을 위한 핀 액세스 포인트들이 증가할 수 있고, 이에 따라 집적 회로에서 라우팅 혼잡이 감소할 수 있다.According to an integrated circuit and method according to an exemplary embodiment of the present disclosure, pin access points for routing may be increased, and thus routing congestion may be reduced in the integrated circuit.

또한, 본 개시의 예시적 실시예에 따른 집적 회로 및 방법에 의하면, 감소된 라우팅 혼잡에 기인하여 상호연결에서 발생하는 지연이 감소할 수 있고, 이에 따라 집적 회로의 성능이 증대될 수 있다.Further, according to the integrated circuit and method according to the exemplary embodiment of the present disclosure, delay occurring in the interconnection due to reduced routing congestion may be reduced, and thus the performance of the integrated circuit may be increased.

또한, 본 개시의 예시적 실시예에 따른 집적 회로 및 방법에 의하면, 감소된 라우팅 혼잡에 기인하여 라우팅을 위한 면적이 감소할 수 있고, 이에 따라 집적 회로의 효율성이 증대될 수 있다.Further, according to the integrated circuit and method according to the exemplary embodiment of the present disclosure, the area for routing may be reduced due to reduced routing congestion, and thus the efficiency of the integrated circuit may be increased.

또한, 본 개시의 예시적 실시예에 따른 집적 회로 및 방법에 의하면, 집적 회로의 설계 과정에서 라우팅이 용이하게 수행될 수 있고, 이에 따라 집적 회로의 시장 출시 기간(time-to-market)이 단축될 수 있다.In addition, according to the integrated circuit and method according to the exemplary embodiment of the present disclosure, routing can be easily performed in the design process of the integrated circuit, and thus the time-to-market of the integrated circuit is shortened. can be

본 개시의 예시적 실시예들에서 얻을 수 있는 효과는 이상에서 언급한 효과들로 제한되지 아니하며, 언급되지 아니한 다른 효과들은 이하의 기재로부터 본 개시의 예시적 실시예들이 속하는 기술분야에서 통상의 지식을 가진 자에게 명확하게 도출되고 이해될 수 있다. 즉, 본 개시의 예시적 실시예들을 실시함에 따른 의도하지 아니한 효과들 역시 본 개시의 예시적 실시예들로부터 당해 기술분야의 통상의 지식을 가진 자에 의해 도출될 수 있다.Effects that can be obtained in the exemplary embodiments of the present disclosure are not limited to the above-mentioned effects, and other effects not mentioned are common knowledge in the art to which exemplary embodiments of the present disclosure pertain from the following description. It can be clearly derived and understood by those who have That is, unintended effects of carrying out the exemplary embodiments of the present disclosure may also be derived by those of ordinary skill in the art from the exemplary embodiments of the present disclosure.

도 1a 내지 도 1d는 본 개시의 예시적 실시예들에 따른 집적 회로의 레이아웃의 예시들을 나타내는 평면도들이다.
도 2는 본 개시의 예시적 실시예에 따른 집적 회로의 레이아웃을 나타내는 도면이다.
도 3은 본 개시의 예시적 실시예에 따른 집적 회로의 레이아웃을 나타내는 평면도이다.
도 4a 및 도 4b는 본 개시의 예시적 실시예들에 따른 집적 회로의 레이아웃의 예시들을 나타내는 단면도들이다.
도 5a 및 도 5b는 본 개시의 예시적 실시예들에 따른 집적 회로의 레이아웃의 예시들을 나타내는 평면도들이다.
도 6는 본 개시의 예시적 실시예에 따른 집적 회로의 레이아웃을 나타내는 평면도이다.
도 7a 내지 도 7c는 본 개시의 예시적 실시예들에 따른 집적 회로의 레이아웃의 예시들을 나타내는 평면도들이다.
도 8a 및 도 8b는 본 개시의 예시적 실시예들에 따른 집적 회로의 레이아웃의 예시들을 나타내는 평면도들이다.
도 9a 및 도 9b는 본 개시의 예시적 실시예들에 따른 집적 회로의 레이아웃의 예시들을 나타내는 평면도들이다.
도 10는 본 개시의 예시적 실시예에 따라 집적 회로를 제조하기 위한 방법을 나타내는 순서도이다.
도 11은 본 개시의 예시적 실시예에 따른 집적 회로를 설계하는 방법을 나타내는 순서도이다.
도 12은 본 개시의 예시적 실시예에 따른 집적 회로의 레이아웃을 나타내는 평면도이다.
도 13는 본 개시의 예시적 실시예에 따른 집적 회로를 설계하는 방법을 나타내는 순서도이다.
도 14a 및 도 14b는 본 개시의 예시적 실시예들에 따른 집적 회로의 레이아웃의 예시들을 나타내는 평면도이다.
도 15는 본 개시의 예시적 실시예에 따른 집적 회로를 설계하는 방법을 나타내는 순서도이다.
도 16는 본 개시의 예시적 실시예에 따른 집적 회로를 설계하는 방법을 나타내는 순서도이다.
도 17은 본 개시의 예시적 실시예에 따른 시스템-온-칩(system on chip; SoC) 을 나타내는 블록도이다.
도 18는 본 개시의 예시적 실시예에 따른 프로그램을 저장하는 메모리를 포함하는 컴퓨팅 시스템을 나타내는 블록도이다.
1A to 1D are plan views illustrating examples of a layout of an integrated circuit according to exemplary embodiments of the present disclosure.
2 is a diagram illustrating a layout of an integrated circuit according to an exemplary embodiment of the present disclosure.
3 is a plan view illustrating a layout of an integrated circuit according to an exemplary embodiment of the present disclosure.
4A and 4B are cross-sectional views illustrating examples of a layout of an integrated circuit according to exemplary embodiments of the present disclosure.
5A and 5B are plan views illustrating examples of a layout of an integrated circuit according to exemplary embodiments of the present disclosure.
6 is a plan view illustrating a layout of an integrated circuit according to an exemplary embodiment of the present disclosure.
7A to 7C are plan views illustrating examples of layouts of integrated circuits according to exemplary embodiments of the present disclosure.
8A and 8B are plan views illustrating examples of a layout of an integrated circuit according to exemplary embodiments of the present disclosure.
9A and 9B are plan views illustrating examples of a layout of an integrated circuit according to exemplary embodiments of the present disclosure.
10 is a flowchart illustrating a method for manufacturing an integrated circuit according to an exemplary embodiment of the present disclosure.
11 is a flowchart illustrating a method of designing an integrated circuit according to an exemplary embodiment of the present disclosure.
12 is a plan view illustrating a layout of an integrated circuit according to an exemplary embodiment of the present disclosure.
13 is a flowchart illustrating a method of designing an integrated circuit according to an exemplary embodiment of the present disclosure.
14A and 14B are plan views illustrating examples of a layout of an integrated circuit according to exemplary embodiments of the present disclosure.
15 is a flowchart illustrating a method of designing an integrated circuit according to an exemplary embodiment of the present disclosure.
16 is a flowchart illustrating a method of designing an integrated circuit according to an exemplary embodiment of the present disclosure.
17 is a block diagram illustrating a system on chip (SoC) according to an exemplary embodiment of the present disclosure.
18 is a block diagram illustrating a computing system including a memory for storing a program according to an exemplary embodiment of the present disclosure.

도 1a 내지 도 1d는 본 개시의 예시적 실시예들에 따른 집적 회로의 레이아웃의 예시들을 나타내는 평면도들이다. 구체적으로, 도 1a 내지 도 1d의 평면도들은, 2개의 입력들로부터 출력을 생성하는 2-입력 NAND 게이트에 대응하는 NAND2 셀들(C1a, C1b, C1c, C1d) 및 NAND2 셀들(C1a, C1b, C1c, C1d) 상에서 연장되는 배선들(wires)을 포함하는 레이아웃들을 X축 및 Y축으로 이루어진 평면에서 개략적으로 나타낸다.1A to 1D are plan views illustrating examples of a layout of an integrated circuit according to exemplary embodiments of the present disclosure. Specifically, the top views of FIGS. 1A-1D show NAND2 cells C1a, C1b, C1c, C1d and NAND2 cells C1a, C1b, C1c, corresponding to a two-input NAND gate generating an output from two inputs. Layouts including wires extending on C1d) are schematically shown in a plane consisting of X and Y axes.

본 명세서에서, X축 방향 및 Y축 방향은 제1 방향 및 제2 방향으로 각각 지칭될 수 있고, Z축 방향은 제3 방향 또는 수직 방향으로 지칭될 수 있다. X축 및 Y축으로 이루어진 평면은 수평면으로 지칭될 수 있고, 다른 구성요소보다 상대적으로 +Z방향으로 배치된 구성요소는 다른 구성요소 위에 있는 것으로 지칭될 수 있으며, 다른 구성요소보다 상대적으로 -Z방향으로 배치된 구성요소는 다른 구성요소 아래에 있는 것으로 지칭될 수 있다. 또한, 구성요소의 면적은 수평면과 평행한 면에서 구성요소가 차지하는 크기를 지칭할 수 있고, 구성요소의 폭은 구성요소가 연장되는 방향과 직교하는 방향의 길이를 지칭할 수 있다. 또한, 구성요소들이 결합되거나(coupled) 전기적으로 연결된 경우, 해당 구성요소들이 연결된 것으로 지칭될 수 있다. 본 명세서의 도면들에서, 도해의 편의상 일부 층들만이 도시될 수 있으며, 상이한 배선층들(wiring layers)의 상위(upper) 패턴 및 하위(lower) 패턴 사이 연결을 나타내기 위하여 비아(via)는 상위 패턴의 아래에 위치함에도 불구하고 상위 패턴 상에 표시될 수 있다. 또한, 배선층의 패턴과 같이 전도성 물질로 구성되는 패턴은 전도성 패턴 또는 단순하게 패턴으로 지칭될 수도 있다.In this specification, the X-axis direction and the Y-axis direction may be referred to as a first direction and a second direction, respectively, and the Z-axis direction may be referred to as a third direction or a vertical direction. A plane made up of the X and Y axes may be referred to as a horizontal plane, and a component disposed in the +Z direction relative to other components may be referred to as being above other components, and a -Z component relative to other components. A component disposed in a direction may be referred to as being below another component. In addition, the area of the component may refer to a size occupied by the component in a plane parallel to the horizontal plane, and the width of the component may refer to a length in a direction perpendicular to the direction in which the component is extended. Also, when components are coupled or electrically connected, the components may be referred to as being connected. In the drawings of the present specification, only some layers may be shown for convenience of illustration, and vias are located above the upper patterns to indicate connections between upper and lower patterns of different wiring layers. Although it is located below the pattern, it can be displayed on the upper pattern. Also, a pattern made of a conductive material, such as a pattern of a wiring layer, may be referred to as a conductive pattern or simply a pattern.

집적 회로는 복수의 셀들을 포함할 수 있다. 셀(cell)은 집적 회로에 포함되는 레이아웃의 단위로서, 미리 정의된 기능을 수행하도록 설계될 수 있고, 표준 셀(standard cell)로서 지칭될 수도 있다. 집적 회로는 다수의 다양한 셀들을 포함할 수 있고, 셀들은 복수의 행들에 따라 정렬되어 배치될 수 있다. 예를 들면, 도 1a 내지 도 1d에 도시된 바와 같이, NAND2 셀들(C1a, C1b, C1c, C1d)은 Y축 방향의 길이 H를 가질 수 있고, 폭 H를 가지고 X축 방향으로 연장되는 행에 배치될 수 있다. NAND2 셀들(C1a, C1b, C1c, C1d)과 같이 하나의 행에 배치되는 셀은 단일 높이 셀(single height cell)로서 지칭될 수 있는 한편, 도 6의 셀(C08)과 같이, 2이상의 상호 인접한 행들에 연속적으로 배치되는 셀은 다중 높이 셀(multiple height cell)로서 지칭될 수 있다.An integrated circuit may include a plurality of cells. A cell is a unit of layout included in an integrated circuit, and may be designed to perform a predefined function and may be referred to as a standard cell. An integrated circuit may include a number of different cells, and the cells may be arranged and arranged according to a plurality of rows. For example, as shown in FIGS. 1A to 1D , the NAND2 cells C1a, C1b, C1c, and C1d may have a length H in the Y-axis direction, have a width H, and are arranged in a row extending in the X-axis direction. can be placed. A cell arranged in one row, such as NAND2 cells C1a, C1b, C1c, C1d, may be referred to as a single height cell, while two or more adjacent to each other, such as cell C08 in FIG. 6 , Cells arranged consecutively in rows may be referred to as multiple height cells.

도 1c 내지 도 1d에 도시된 바와 같이, NAND2 셀들(C1a, C1b, C1c, C1d)에 양의 공급 전압(VDD) 및 음의 공급 전압(VSS)(또는 접지 전위)을 공급하기 위한 패턴들이 행의 경계들을 따라 X축 방향으로 연장될 수 있고, 해당 패턴들은 파워 레일(power rail)로서 지칭될 수 있다. 또한, 행 내부에서 P-형 트랜지스터가 형성되는 활성 영역 및 N-형 트랜지스터가 형성되는 활성 영역이 상호 평행하게 X축 방향으로 연장될 수 있다. 도 1에 도시된 바와 같이, 활성 영역에서 적어도 하나의 활성 패턴이 X축 방향으로 연장될 수 있고, 활성 패턴은 Y축 방향으로 연장되는 게이트 전극과 교차되어 트랜지스터를 형성할 수 있다.As shown in FIGS. 1C-1D , the patterns for supplying a positive supply voltage (VDD) and a negative supply voltage (VSS) (or ground potential) to the NAND2 cells (C1a, C1b, C1c, C1d) are row may extend in the X-axis direction along the boundaries of , and corresponding patterns may be referred to as power rails. In addition, in the row, an active region in which the P-type transistor is formed and an active region in which the N-type transistor is formed may extend in the X-axis direction parallel to each other. 1 , at least one active pattern may extend in the X-axis direction in the active region, and the active pattern may cross the gate electrode extending in the Y-axis direction to form a transistor.

일부 실시예들에서, 핀(fin) 형태의 활성 패턴이 X축 방향으로 연장되는 경우, 활성 패턴 및 게이트 전극은 FinFET(fin field effect transistor)을 형성할 수 있다. 본 개시의 예시적 실시예들은 FinFET을 포함하는 구조들을 주로 참조하여 설명될 것이나, FinFET과 상이한 구조의 트랜지스터를 포함하는 구조들에서도 적용될 수 있는 점은 이해될 것이다. 예를 들면, 활성 패턴은, Z축 방향으로 상호 이격되어 X축 방향으로 연장되는 복수의 나노와이어들(nanowires)을 포함할 수 있고, 셀은 복수의 나노와이어들이 게이트 전극과 형성하는 GAAFET(gate all around FET)을 포함할 수 있다. 또한, 활성 패턴은, Z축 방향으로 상호 이격되어 X축 방향으로 연장되는 복수의 나노시트들(nanosheets)을 포함할 수 있고, 셀은 복수의 나노시트들이 게이트 전극과 형성하는 MBCFET(multi-bridge channel FET)을 포함할 수도 있다. 또한, P형 트랜지스터를 위한 나노시트들 및 N형 트랜지스터를 위한 나노시트들이 유전체 벽(dielectric wall)으로 분리됨으로써 N형 트랜지스터 및 P형 트랜지스터가 보다 근접한 구조를 가지는 ForkFET을 포함할 수도 있다. 또한, 셀은 소스/드레인 영역들이 채널 영역을 사이에 두고 Z축 방향으로 상호 이격되고, 게이트 전극이 채널 영역을 둘러싸는 구조를 가지는 VFET(vertical FET)을 포함할 수 도 있다. 또한, 셀은 CFET(complementary FET), NCFET(negative FET), CNT(carbon nanotube) FET 등과 같은 FET(field effect transistor)를 포함할 수도 있고, 양극성 접합(bipolar junction) 트랜지스터, 기타 3차원 트랜지스터를 포함할 수도 있다.In some embodiments, when the active pattern in the form of a fin extends in the X-axis direction, the active pattern and the gate electrode may form a fin field effect transistor (FinFET). Exemplary embodiments of the present disclosure will be mainly described with reference to structures including a FinFET, but it will be appreciated that structures including a transistor having a structure different from that of the FinFET may also be applied. For example, the active pattern may include a plurality of nanowires that are spaced apart from each other in the Z-axis direction and extend in the X-axis direction, and the cell is a GAAFET (gate) formed by the plurality of nanowires with the gate electrode all around FET). In addition, the active pattern may include a plurality of nanosheets that are spaced apart from each other in the Z-axis direction and extend in the X-axis direction, and the cell is a multi-bridge (MBCFET) in which the plurality of nanosheets are formed with a gate electrode. channel FET). In addition, since the nanosheets for the P-type transistor and the nanosheets for the N-type transistor are separated by a dielectric wall, the N-type transistor and the P-type transistor may include a ForkFET having a closer structure. In addition, the cell may include a vertical FET (VFET) having a structure in which source/drain regions are spaced apart from each other in the Z-axis direction with a channel region interposed therebetween, and a gate electrode surrounds the channel region. Cells may also include field effect transistors (FETs), such as complementary FETs (CFETs), negative FETs (NCFETs), carbon nanotube (CNT) FETs, and the like, and include bipolar junction transistors and other three-dimensional transistors. You may.

집적 회로에서 셀은 셀 외부와 연결되는 핀을 가질 수 있다. 예를 들면, NAND2 셀들(C1a, C1b, C1c, C1d)은, 배선층으로서 M1 층에서 X축 방향으로 연장되는 패턴들을 포함할 수 있고, 해당 패턴들은 입력들(A, B)을 수신하기 위한 입력 핀들 및 출력(Y)을 출력하기 위한 출력 핀을 포함할 수 있다. 핀은 핀 상에 배치되는 비아(즉, V1 층의 비아)를 통해 M1 층의 상위 배선층인 M2 층에서 Y축 방향으로 연장되는 패턴에 연결될 수 있다. 일부 실시예들에서, 하나의 배선층에서 연장되는 패턴들을 방향성을 가질 수 있다. 예를 들면, 도 1a 내지 도 1d에 도시된 바와 같이, M1 층에서 패턴들은 제1 내지 제5 트랙(T11 내지 T15)을 따라 X축 방향으로 연장될 수 있고, M2 층에서 패턴들은 Y축 방향으로 연장될 수 있다. 본 명세서에서, M1 층은 하위 배선층으로 지칭될 수 있고, M1 층에서 X축 방향으로 연장되는 패턴들은 하위 패턴들로서 지칭될 수 있다. 또한, M2 층은 상위 배선층으로 지칭될 수 있고, M2 층에서 Y축 방향으로 연장되는 패턴들은 상위 패턴들로서 지칭될 수 있다.In an integrated circuit, a cell may have a pin connected to the outside of the cell. For example, the NAND2 cells C1a, C1b, C1c, and C1d may include patterns extending in the X-axis direction from the M1 layer as a wiring layer, and the patterns are input for receiving the inputs A and B. It may include pins and an output pin for outputting an output Y. The pin may be connected to a pattern extending in the Y-axis direction from the M2 layer, which is an upper wiring layer of the M1 layer, through a via (ie, a via of the V1 layer) disposed on the pin. In some embodiments, patterns extending from one wiring layer may have directionality. For example, as shown in FIGS. 1A to 1D , the patterns in the M1 layer may extend in the X-axis direction along the first to fifth tracks T11 to T15, and the patterns in the M2 layer may extend in the Y-axis direction. can be extended to In this specification, the M1 layer may be referred to as a lower wiring layer, and patterns extending in the X-axis direction from the M1 layer may be referred to as lower patterns. Also, the M2 layer may be referred to as an upper wiring layer, and patterns extending in the Y-axis direction in the M2 layer may be referred to as upper patterns.

일부 실시예들에서, 배선층에서 패턴들은, Y축 방향으로 연장되는 게이트 전극들의 피치(pitch), 즉 CPP(contacted poly pitch)와 상이한 피치로 Y축 방향으로 연장될 수 있다. 예를 들면, 도 1a 내지 도 1d에 도시된 바와 같이, 게이트 전극들은 제1 피치(P1)로 Y축 방향으로 연장될 수 있는 한편, M2 층에서 패턴들은 제1 피치(P1)보다 작은 제2 피치(P2)로 Y축 방향으로 연장될 수 있다. 이에 따라, 동일한 셀 상에서도 상위 패턴들은 다양하게 배치될 수 있고, 즉 동일한 셀 상에서 상위 패턴들이 따라서 연장되는 트랙들이 다양하게 배치될 수 있다. 도 1a 내지 도 1d는 동일한 NAND2 셀들(C1a, C1b, C1c, C1d) 상에서 상이하게 배치되는 상위 패턴들을 나타내지만, 본 개시의 예시적 실시예들이 도 1a 내지 도 1d에 도시된 상위 패턴들에 제한되지 아니하는 점이 유의된다. 또한, 본 명세서에서 제1 피치(P1)(또는 CPP) 및 제2 피치(P2)의 비율이 3:2인 예시들이 설명될 것이나, 본 개시의 예시적 실시예들은 다른 비율(예컨대, 4:3 등)에서도 적용될 수 있는 점이 유의된다.In some embodiments, the patterns in the wiring layer may extend in the Y-axis direction at a pitch different from a pitch of gate electrodes extending in the Y-axis direction, that is, a contacted poly pitch (CPP). For example, as shown in FIGS. 1A to 1D , the gate electrodes may extend in the Y-axis direction with a first pitch P1 , while patterns in the M2 layer may have a second pitch smaller than the first pitch P1 . The pitch P2 may extend in the Y-axis direction. Accordingly, upper patterns may be arranged in various ways even on the same cell, that is, tracks along which upper patterns extend may be arranged in various ways on the same cell. 1A-1D illustrate upper patterns that are differently arranged on the same NAND2 cells C1a, C1b, C1c, and C1d, but exemplary embodiments of the present disclosure are limited to the upper patterns shown in FIGS. 1A-1D . It is noted that it does not In addition, examples in which the ratio of the first pitch P1 (or CPP) and the second pitch P2 is 3:2 will be described herein, but exemplary embodiments of the present disclosure provide other ratios (eg, 4: 3, etc.) is also applicable.

도 1a 및 도 1b를 참조하면, 상위 패턴들은 게이트 전극에 정렬된 상위 패턴을 포함할 수 있다. 본 명세서에서, 정렬된 구성요소들은 Z축 방향으로 중첩되는 중심축들을 가질 수 있다. 예를 들면, 도 1a에 도시된 M2 층의 5개 패턴들 중 2개의 패턴들이 2개의 별들로 표시된 지점들에서 게이트 전극들과 각각 정렬될 수 있고, 나머지 3개의 패턴들은 게이트 전극들과 정렬되지 아니할 수 있다. 또한, 도 1b에 도시된 M2 층의 5개 패턴들 중 2개의 패턴들이 2개의 별들로 표시된 지점들에서 게이트 전극들과 각각 정렬될 수 있고, 나머지 3개의 패턴들은 게이트 전극들과 정렬되지 아니할 수 있다.1A and 1B , the upper patterns may include upper patterns aligned with the gate electrode. In this specification, the aligned components may have central axes overlapping in the Z-axis direction. For example, two of the five patterns of the M2 layer shown in FIG. 1A may be each aligned with the gate electrodes at points marked with two stars, and the remaining three patterns may not be aligned with the gate electrodes. it can't be Also, two of the five patterns of the M2 layer shown in FIG. 1B may be respectively aligned with the gate electrodes at points marked with two stars, and the remaining three patterns may not be aligned with the gate electrodes. have.

도 1c 및 도 1d를 참조하면, 상위 패턴들은 소스/드레인 컨택에 정렬된 상위 패턴을 포함할 수 있다. 소스/드레인 컨택들은 게이트 전극들 사이에 배치될 수 있고, 이에 따라 도 1c 및 도 1d에 도시된 바와 같이, 소스/드레인 컨택들은 제1 피치(P1)로 제2 방향으로 연장될 수 있다. 예를 들면, 도 1c에 도시된 M2 층의 4개 패턴들 중 2개의 패턴들이 2개의 별들로 표시된 지점들에서 소스/드레인 컨택들과 각각 정렬될 수 있고, 나머지 2개의 패턴들은 소스/드레인 컨택들과 정렬되지 아니할 수 있다. 또한, 도 1d에 도시된 M2 층의 5개 패턴들 중 1개의 패턴이 1개의 별로 표시된 지점에서 소스/드레인 정렬될 수 있고, 나머지 4개의 패턴들은 소스/드레인 컨택들과 정렬되지 아니할 수 있다.1C and 1D , upper patterns may include upper patterns aligned with source/drain contacts. The source/drain contacts may be disposed between the gate electrodes, and accordingly, as shown in FIGS. 1C and 1D , the source/drain contacts may extend in the second direction at a first pitch P1 . For example, two of the four patterns of the M2 layer shown in FIG. 1C may each be aligned with the source/drain contacts at the points marked with two stars, and the remaining two patterns are the source/drain contacts. It may not be aligned with the fields. Also, one of the five patterns of the M2 layer shown in FIG. 1D may be source/drain aligned at a point indicated by one star, and the remaining four patterns may not be aligned with the source/drain contacts.

전술된 바와 같이, 셀의 핀에 대응하는 하위 패턴은 하위 패턴 상에 배치되는 비아를 통해서 상위 패턴에 연결될 수 있다. 핀 상에 배치되는 비아의 위치는 상위 패턴이 연장되는 위치에 의존할 수 있다. 예를 들면, 도 1a 내지 도 1c에 도시된 바와 같이, 상위 패턴들이 상이하게 배치되는 경우, V1 층의 비아들 역시 상이하게 배치될 수 있다. 이에 따라, 핀 상에서 비아가 배치가능한 위치들, 즉 핀 액세스 포인트들이 상위 패턴들의 배치에 따라 변동할 수 있다. 핀 액세스 포인트들의 감소는 셀의 핀들을 연결시 라우팅 혼잡을 유발할 수 있고, 라우팅 혼잡은 신호의 지연뿐만 아니라 면적의 증가를 초래할 수 있다.As described above, the lower pattern corresponding to the pin of the cell may be connected to the upper pattern through a via disposed on the lower pattern. The location of the via placed on the pin may depend on the location from which the upper pattern extends. For example, as shown in FIGS. 1A to 1C , when upper patterns are arranged differently, the vias of the V1 layer may also be arranged differently. Accordingly, positions where vias can be placed on the pin, ie, pin access points, may vary according to the arrangement of upper patterns. A decrease in pin access points may cause routing congestion when connecting pins of a cell, and routing congestion may result in an increase in area as well as delay of a signal.

도면들을 참조하여 후술되는 바와 같이, 본 개시의 예시적 실시예에 따라, 라우팅을 위한 핀 액세스 포인트들이 증가할 수 있고, 이에 따라 집적 회로에서 라우팅 혼잡이 감소할 수 있다. 또한, 감소된 라우팅 혼잡에 기인하는 신호의 지연이 감소할 수 있고, 이에 따라 집적 회로의 성능이 증대될 수 잇다. 또한, 감소된 라우팅 혼잡에 기인하여 라우팅을 위한 면적이 감소할 수 있고, 이에 따라 집적 회로의 효율이 증대될 수 있다. 또한, 집적 회로의 설계 과정에서 라우팅이 용이하게 수행될 수 있으며, 이에 따라 집적 회로의 시장 출시 기간이 단축될 수 있다.As will be described below with reference to the drawings, according to an exemplary embodiment of the present disclosure, pin access points for routing may increase, and thus routing congestion may decrease in an integrated circuit. In addition, delay of a signal due to reduced routing congestion may be reduced, and thus the performance of the integrated circuit may be increased. Also, the area for routing may be reduced due to reduced routing congestion, and thus the efficiency of the integrated circuit may be increased. In addition, routing may be easily performed in the design process of the integrated circuit, and accordingly, the time to market of the integrated circuit may be shortened.

도 2는 본 개시의 예시적 실시예에 따른 집적 회로의 레이아웃을 나타내는 도면이다. 구체적으로, 도 2의 상부는 집적 회로에 포함된 셀들 사이 경계(E) 주변의 레이아웃을 X축 및 Y축으로 이루어진 평면에서 나타내고, 도 2의 하부는 X-X'을 따라서 자른 단면을 나타낸다.2 is a diagram illustrating a layout of an integrated circuit according to an exemplary embodiment of the present disclosure. Specifically, the upper part of FIG. 2 shows the layout around the boundary E between cells included in the integrated circuit in a plane consisting of X and Y axes, and the lower part of FIG. 2 shows a cross-section taken along X-X'.

도 2를 참조하면, 제1 및 제2 하위 패턴(M11, M12)이 M1 층에서 동일한 트랙을 따라 X축 방향으로 연장될 수 있다. 제1 및 제2 하위 패턴(M11, M12)은 상이한 셀들에 각각 포함된 핀들일 수 있다. 도 2에 도시된 바와 같이, 제1 및 제2 하위 패턴(M11, M12)은 X축 방향으로 이격될 수 있다. 일부 실시예들에서, 제1 및 제2 하위 패턴(M11, M12)은, X축 방향으로 연장되는 하나의 하위 패턴을 M1 컷(cut)을 통해 분리함으로써 형성될 수 있고, M1 층의 동일 트랙내 최소 이격 거리만큼 상호 이격될 수 있다. 또한, 제1 및 제2 하위 패턴(M11, M12)은 경계(E)로부터 동일한 거리만큼 각각 이격될 수 있다.Referring to FIG. 2 , the first and second sub-patterns M11 and M12 may extend in the X-axis direction along the same track in the M1 layer. The first and second sub-patterns M11 and M12 may be pins included in different cells, respectively. As illustrated in FIG. 2 , the first and second sub-patterns M11 and M12 may be spaced apart from each other in the X-axis direction. In some embodiments, the first and second sub-patterns M11 and M12 may be formed by separating one sub-pattern extending in the X-axis direction through an M1 cut, and the same track in the M1 layer. They can be spaced apart from each other by my minimum separation distance. Also, the first and second sub-patterns M11 and M12 may be spaced apart from the boundary E by the same distance.

제1 내지 제4 상위 패턴(M21 내지 M24)이 M2 층에서 제1 내지 제4 트랙(T21 내지 T24)을 따라 Y축 방향으로 연장될 수 있다. 제1 하위 패턴(M11) 및 제2 상위 패턴(M22)을 연결하기 위하여, 제1 비아(V11)가 제1 하위 패턴(M11) 및 제2 트랙(T22)이 교차하는 지점에 배치될 수 있다. 또한, 제2 하위 패턴(M12) 및 제4 상위 패턴(M24)을 연결하기 위하여, 제2 비아(V12)가 제2 하위 패턴(M12) 및 제4 트랙(T24)이 교차하는 지점에 배치될 수 있다.The first to fourth upper patterns M21 to M24 may extend in the Y-axis direction along the first to fourth tracks T21 to T24 in the M2 layer. In order to connect the first lower pattern M11 and the second upper pattern M22 , a first via V11 may be disposed at a point where the first lower pattern M11 and the second track T22 intersect. . In addition, in order to connect the second lower pattern M12 and the fourth upper pattern M24, the second via V12 may be disposed at a point where the second lower pattern M12 and the fourth track T24 intersect. can

배선층의 패턴은 비아와의 안정적인 연결을 위하여 비아의 양측으로 일정한 길이 이상 연장될 것이 요구될 수 있고, 패턴의 연장된 부분은 비아 오버랩으로 지칭될 수 있다. 비아 오버랩이 확보되지 아니하는 경우, 반도체 공정에서 패턴 및/또는 비아는 설계된 바와 동일하게 형성되지 아니할 수 있고, 이에 따라 패턴 및 비아의 연결이 불안정해질 수 있다. 도 2를 참조하면, 제2 비아(V12)는 경계(E)로부터 멀리 이격된 제4 트랙(T24) 상에 배치될 수 있고, 이에 따라 제2 하위 패턴(M12)은 비아 오버랩(즉, M1-V1 오버랩)을 제공할 수 있다. 다른 한편으로, 제1 비아(V11)는 경계(E)에 인접한 제2 트랙(T22) 상에 배치될 수 있고, 경계(E)로부터 이격된 제1 하위 패턴(M11)에 기인하여 비아 오버랩이 확보되지 아니할 수 있다. 이에 따라, 제1 하위 패턴(M11) 및 제2 트랙(T22)이 교차하는 지점은 핀 액세스 포인트로 사용이 불가능할 수 있고, 제2 하위 패턴(M12) 및 제3 트랙(T23)이 교차하는 지점 역시 핀 액세스 포인트로 사용이 불가능할 수 있다.The pattern of the wiring layer may be required to extend more than a certain length on both sides of the via for stable connection with the via, and the extended portion of the pattern may be referred to as a via overlap. If the via overlap is not secured, the pattern and/or via may not be formed in the same way as designed in the semiconductor process, and thus the connection between the pattern and the via may become unstable. Referring to FIG. 2 , the second via V12 may be disposed on the fourth track T24 spaced apart from the boundary E, and thus the second sub-pattern M12 is a via overlap (ie, M1 ). -V1 overlap) can be provided. On the other hand, the first via V11 may be disposed on the second track T22 adjacent to the boundary E, and the via overlap may occur due to the first sub-pattern M11 spaced apart from the boundary E. may not be secured. Accordingly, a point at which the first sub-pattern M11 and the second track T22 intersect may not be used as a pin access point, and a point at which the second sub-pattern M12 and the third track T23 intersect. Also, it may not be usable as a pin access point.

이하에서 도면들을 참조하여 후술되는 바와 같이, 본 개시의 예시적 실시예에 따라, 제2 하위 패턴(M12)은 X축 방향으로 단축될 수 있고, 제1 하위 패턴(M11)이 X축 방향으로 연장될 수 있다. 이에 따라, 제1 비아(V11)를 위한 비아 오버랩이 확보될 수 있고, 연장된 제1 하위 패턴(M11) 및 제2 트랙(T22)이 교차하는 지점이 핀 액세스 포인트로 사용될 수 있다. As will be described below with reference to the drawings below, according to an exemplary embodiment of the present disclosure, the second sub-pattern M12 may be shortened in the X-axis direction, and the first sub-pattern M11 may be shortened in the X-axis direction. can be extended Accordingly, a via overlap for the first via V11 may be secured, and a point where the extended first sub-pattern M11 and the second track T22 intersect may be used as a pin access point.

도 3은 본 개시의 예시적 실시예에 따른 집적 회로의 레이아웃을 나타내는 평면도이다. 구체적으로, 도 3의 평면도는 상호 인접한 제1 및 제2 AOI22 셀(C31, C32)을 포함하는 레이아웃을 나타낸다. 도 3에 도시된 바와 같이, 제1 및 제2 AOI22 셀(C31, C32)은 동일한 행에서 상호 인접하게 배치될 수 있고, 상호 플립된 구조들을 가질 수 있다. 도 3에 도시된 바와 같이, 하위 패턴들은 M1 층의 제1 내지 제5 트랙(T11 내지 T15)을 따라서 X축 방향으로 연장될 수 있고, 상위 패턴들은 M2 층의 제1 내지 제36 트랙(T21 내지 T36)을 따라서 Y축 방향으로 연장될 수 있다.3 is a plan view illustrating a layout of an integrated circuit according to an exemplary embodiment of the present disclosure. Specifically, the plan view of FIG. 3 shows a layout including first and second AOI22 cells C31 and C32 adjacent to each other. As shown in FIG. 3 , the first and second AOI22 cells C31 and C32 may be disposed adjacent to each other in the same row, and may have mutually flipped structures. As shown in FIG. 3 , the lower patterns may extend in the X-axis direction along the first to fifth tracks T11 to T15 of the M1 layer, and the upper patterns may include the first to 36th tracks T21 of the M2 layer. to T36) in the Y-axis direction.

제1 AOI22 셀(C31)은 4개의 입력 핀들(A0, A1, B0, B1) 및 1개의 출력 핀(Y)을 포함할 수 있다. 도 3에 도시된 바와 같이, 2개의 입력 핀들(A1, B0)은 M1 층의 제3 트랙(T13)을 따라 X축 방향으로 연장될 수 있고, 다른 2개의 입력 핀들(A0, B1)은 M1 층의 제5 트랙(T15)을 따라 X축 방향으로 연장될 수 있으며, 출력 핀(Y)은 M2 층의 제5 트랙(T25)을 따라 Y축 방향으로 연장될 수 있다. 또한, 제2 AOI22 셀(C32)은 4개의 입력 핀들(A0', A1', B0', B1') 및 1개의 출력 핀(Y')을 포함할 수 있다. 도 3에 도시된 바와 같이, 2개의 입력 핀들(A1', B0')은 M1 층의 제3 트랙(T13)을 따라 X축 방향으로 연장될 수 있고, 다른 2개의 입력 핀들(A0', B1')은 M1 층의 제5 트랙(T15)을 따라 X축 방향으로 연장될 수 있으며, 출력 핀(Y')은 M2 층의 제12 트랙(T32)을 따라 Y축 방향으로 연장될 수 있다.The first AOI22 cell C31 may include four input pins A0, A1, B0, and B1 and one output pin Y. As shown in FIG. 3 , the two input pins A1 and B0 may extend in the X-axis direction along the third track T13 of the M1 layer, and the other two input pins A0 and B1 are M1 . It may extend in the X-axis direction along the fifth track T15 of the layer, and the output pin Y may extend in the Y-axis direction along the fifth track T25 of the M2 layer. In addition, the second AOI22 cell C32 may include four input pins A0', A1', B0', and B1' and one output pin Y'. As shown in FIG. 3 , the two input pins A1 ′ and B0 ′ may extend in the X-axis direction along the third track T13 of the M1 layer, and the other two input pins A0 ′, B1 . ') may extend in the X-axis direction along the fifth track T15 of the M1 layer, and the output pin Y' may extend in the Y-axis direction along the twelfth track T32 of the M2 layer.

제1 및 제2 AOI22 셀(31, 32)과 같이, 제한된 면적에서 다수의 핀들을 포함하는 셀을 라우팅하기 위하여 핀 액세스 포인트들의 수가 중요할 수 있다. 도 3에서 속이 찬(solid) 원(●)으로 표시된 바와 같이, 제1 AOI22 셀(C31)에서 입력 핀(A0)은 3개의 핀 액세스 포인트들을 가질 수 있고, 다른 입력 핀들(A1, B0, B1) 각각은 2개의 핀 액세스 포인트들을 각각 가질 수 있다. 유사하게, 제2 AOI22 셀(C32)에서 입력 핀(A0')은 3개의 핀 액세스 포인트들을 가질 수 있고, 다른 입력 핀들(A1, B0, B1) 각각은 2개의 핀 액세스 포인트들을 가질 수 있다.The number of pin access points may be important for routing a cell comprising a large number of pins in a limited area, such as the first and second AOI22 cells 31 , 32 . As indicated by a solid circle (●) in FIG. 3 , the input pin A0 in the first AOI22 cell C31 may have three pin access points, and other input pins A1, B0, B1 ) each may have two pin access points each. Similarly, in the second AOI22 cell C32, the input pin A0' may have three pin access points, and each of the other input pins A1, B0, B1 may have two pin access points.

M1 층의 제3 트랙(T13)에서 연장되는 제1 AOI22 셀(C31)의 입력 핀(B0) 및 제2 AOI22 셀(C32)의 입력 핀(B0')은 제1 및 제2 AOI22 셀(C31, C32)의 경계(E)를 중심으로 거리(D)만큼 상호 이격될 수 있다. 또한, M1 층의 제5 트랙(T15)에서 연장되는 제1 AOI22 셀(C31)의 입력 핀(B1) 및 제2 AOI22 셀(C32)의 입력 핀(B1') 역시 경계(E)를 중심으로 거리(D)만큼 상호 이격될 수 있다. 일부 실시예들에서, 거리(D)는 M1 층의 동일 트랙내 최소 이격 거리 이상일 수 있다.The input pin B0 of the first AOI22 cell C31 and the input pin B0' of the second AOI22 cell C32 extending from the third track T13 of the M1 layer are connected to the first and second AOI22 cells C31 , C32 may be spaced apart from each other by a distance D around the boundary E of the C32. In addition, the input pin B1 of the first AOI22 cell C31 and the input pin B1' of the second AOI22 cell C32 extending from the fifth track T15 of the M1 layer are also centered on the boundary E. They may be spaced apart from each other by a distance (D). In some embodiments, the distance D may be greater than or equal to the minimum separation distance within the same track of the M1 layer.

도 2를 참조하여 전술된 바와 같이, 핀에서 충분한 비아 오버랩을 제공하지 아니하는 지점은 핀 액세스 포인트로 사용이 불가능할 수 있다. 예를 들면, 도 3에서 속이 빈(empty) 원(○)으로 표시된 바와 같이, 제1 AOI22 셀(C31)의 입력 핀들(B0, B1)이 M2 층의 제8 트랙(T28)과 교차하는 지점들은 핀 액세스 포인트들로서 사용이 불가능할 수 있다. 또한, 제2 AOI22 셀(C32)의 입력 핀들(B0', B1')이 M2 층의 제9 트랙(T29)과 교차하는 지점들은 핀 액세스 포인트들로서 사용이 불가능할 수 있다. 이에 따라, M2 층의 제8 및 제9 트랙(T28, T29)을 따라 연장되는 상위 패턴들이 제1 및 제2 AOI22 셀(C31, C32)의 핀들을 라우팅하는데 사용되지 아니할 수 있고, 라우팅 자유도가 제한될 수 있다.As described above with reference to FIG. 2 , a point in a pin that does not provide sufficient via overlap may not be used as a pin access point. For example, as indicated by an empty circle (○) in FIG. 3 , the input pins B0 and B1 of the first AOI22 cell C31 intersect the eighth track T28 of the M2 layer. They may not be usable as pin access points. Also, points where the input pins B0 ′ and B1 ′ of the second AOI22 cell C32 intersect the ninth track T29 of the M2 layer may not be used as pin access points. Accordingly, upper patterns extending along the eighth and ninth tracks T28 and T29 of the M2 layer may not be used for routing pins of the first and second AOI22 cells C31 and C32, and routing freedom is reduced. may be limited.

일부 실시예들에서, M1 층의 하위 패턴들은 멀티-패터닝에 의해서 형성될 수 있고, 이에 따라 하위 패턴들의 피치가 감소할 수 있다. 예를 들면, M1 층의 제1 트랙(T11), 제3 트랙(T13) 및 제5 트랙(T15)을 따라 연장되는 제1 그룹의 하위 패턴들이 동시에 형성될 수 있고, M1 층의 제2 트랙(T12) 및 제4 트랙(T14)을 따라 연장되는 제2 그룹의 하위 패턴들이 동시에 형성될 수 있다.In some embodiments, the sub-patterns of the M1 layer may be formed by multi-patterning, and thus the pitch of the sub-patterns may be reduced. For example, the first group of sub-patterns extending along the first track T11, the third track T13, and the fifth track T15 of the M1 layer may be simultaneously formed, and the second track of the M1 layer The second group of sub-patterns extending along the ( T12 ) and the fourth track ( T14 ) may be simultaneously formed.

일부 실시예들에서, M1 컷은 하위 패턴의 복수의 그룹들 중 일부 그룹의 하위 패턴들을 분리하기 위하여 사용될 수 있고, 이에 따라 집적 회로를 제조하기 위한 공정이 단순화될 수 있다. 예를 들면, 제1 그룹의 하위 패턴들인 제1 AOI22 셀(C31)의 입력 핀(B0) 및 제2 AOI22 셀(C32)의 입력 핀들(B0')은 하나의 하위 패턴으로 형성된 후 M1 컷에 의해 상호 분리될 수 있고, 이에 따라 도 3에 도시된 바와 같이 거리(D)만큼 각각 상호 이격될 수 있다. 다른 한편으로, 제2 그룹의 하위 패턴들인 제1 AOI22 셀(C31)의 하위 패턴들(M12, M13) 및 제2 AOI22 셀(C32)의 하위 패턴들(M15, M16) 각각은 적어도 하나의 하위 공정에 의해서 동시에 형성될 수 있고, 이에 따라 도 3에 도시된 바와 같이 거리(D)보다 멀리 상호 이격될 수 있다.In some embodiments, the M1 cut may be used to separate sub-patterns of some of a plurality of groups of sub-patterns, thereby simplifying a process for manufacturing an integrated circuit. For example, the input pins B0 of the first AOI22 cell C31 and the input pins B0' of the second AOI22 cell C32, which are sub-patterns of the first group, are formed as one sub-pattern and then in the M1 cut. can be separated from each other, and thus can be separated from each other by a distance D as shown in FIG. 3 . On the other hand, each of the sub-patterns M12 and M13 of the first AOI22 cell C31 and the sub-patterns M15 and M16 of the second AOI22 cell C32, which are sub-patterns of the second group, includes at least one sub-pattern They may be simultaneously formed by the process, and thus may be spaced apart from each other further than the distance D as shown in FIG. 3 .

일부 실시예들에서, 동일한 그룹의 2이상의 하위 패턴들은 동일한 M1 컷, 즉 Y축 방향으로 연장되는 형상의 M1 컷에 의해서 공통으로 각각 분리될 수 있다. 예를 들면, 제1 그룹에 포함되는, 제1 AOI22 셀(C31)의 입력 핀들(B0, B1) 및 하위 패턴(M11) 및 제2 AOI22 셀(C32)의 입력 핀들(B0', B1') 및 하위 패턴(M14)을 위하여, 경계(E)를 따라 Y축 방향으로 연장되는 하나의 M1 컷이 사용될 수 있다.In some embodiments, two or more sub-patterns of the same group may be separated from each other by the same M1 cut, ie, an M1 cut having a shape extending in the Y-axis direction. For example, the input pins B0 and B1 and the lower pattern M11 of the first AOI22 cell C31 and the input pins B0' and B1' of the second AOI22 cell C32 included in the first group And for the lower pattern M14, one M1 cut extending in the Y-axis direction along the boundary E may be used.

도 4a 및 도 4b는 본 개시의 예시적 실시예들에 따른 집적 회로의 레이아웃의 예시들을 나타내는 단면도들이다. 구체적으로, 도 4a 및 도 4b의 단면도들은 도 3의 집적 회로를 Y1-Y1'을 따라 다른 단면의 예시들을 나타낸다. 비록 도 4a 및 도 4b에 도시되지 아니하였으나, 게이트 전극의 측면에 게이트 스페이서가 형성될 수 있고, 게이트 전극 및 게이트 스페이서 사이 그리고 게이트 전극의 하면 상에 게이트 유전막이 형성될 수 있다. 또한, 컨택 및/또는 비아의 표면에 베리어 막이 형성될 수 있다. 이하에서, 도 4a 및 도 4b에 대한 상호 중복되는 내용은 생략될 것이다.4A and 4B are cross-sectional views illustrating examples of a layout of an integrated circuit according to exemplary embodiments of the present disclosure. Specifically, the cross-sectional views of FIGS. 4A and 4B show examples of another cross-section of the integrated circuit of FIG. 3 along Y1-Y1'. Although not shown in FIGS. 4A and 4B , a gate spacer may be formed on a side surface of the gate electrode, and a gate dielectric layer may be formed between the gate electrode and the gate spacer and on the lower surface of the gate electrode. In addition, a barrier film may be formed on the surface of the contact and/or via. Hereinafter, content overlapping with each other with respect to FIGS. 4A and 4B will be omitted.

일부 실시예들에서, 집적 회로는 핀펫(FinFET)을 포함할 수 있다. 도 4a를 참조하면, 기판(10)은 벌크 실리콘 또는 SOI(silicon-on-insulator)일 수 있고, 비제한적인 예시로서 SiGe, SGOI(silicon germanium on insulator), InSb, PbTe 화합물, InAs, 인화물, GaAs 또는 GaSb 등을 포함할 수도 있다. 기판(10) 상에 필드 절연막(20)이 형성될 수 있다. 필드 절연막(20)은, 비제한적인 예시로서 SiO2, SiN, SiON, SiOCN 또는 이들 중 2이상의 조합을 포함할 수 있다. 일부 실시예들에서, 필드 절연막(20)은 도 4a에 도시된 바와 같이, 활성 패턴, 즉 핀의 측면들 중 일부를 둘러쌀 수 있다. 필드 절연막(20) 상에 제1 내지 제4 층간 절연막(31 내지 34)이 형성될 수 있다.In some embodiments, the integrated circuit may include a FinFET. Referring to Figure 4a, the substrate 10 may be bulk silicon or silicon-on-insulator (SOI), as non-limiting examples, SiGe, silicon germanium on insulator (SGOI), InSb, PbTe compound, InAs, phosphide, GaAs or GaSb may be included. A field insulating layer 20 may be formed on the substrate 10 . The field insulating layer 20 may include, as a non-limiting example, SiO 2 , SiN, SiON, SiOCN, or a combination of two or more thereof. In some embodiments, the field insulating layer 20 may surround some of the side surfaces of the active pattern, that is, the fin, as shown in FIG. 4A . First to fourth interlayer insulating layers 31 to 34 may be formed on the field insulating layer 20 .

제1 내지 제4 핀(F1 내지 F4)이 필드 절연막(20)에서 X축 방향으로 연장될 수 있다. 제1 및 제2 핀(F1, F2) 및 제3 핀 및 제4 핀(F3, F4) 사이에서 소자 분리막(ISO)이 X축 방향으로 연장될 수 있고, 소자 분리막(ISO)에 의해서 제1 및 제2 활성 영역(RX1, RX2)이 분리될 수 있다. 제1 내지 제4 핀(F1 내지 F4)은 필드 절연막(20)을 관통할 수 있고, Y축 방향으로 연장되는 게이트 전극(G)과 교차할 수 있다. 일부 실시예들에서, 집적 회로는 도 4a에 도시된 바와 상이한 수의 핀들을 포함할 수 있다.The first to fourth fins F1 to F4 may extend in the X-axis direction from the field insulating layer 20 . A device isolation layer ISO may extend in the X-axis direction between the first and second fins F1 and F2 and the third and fourth fins F3 and F4, and the device isolation layer ISO allows the first and second active regions RX1 and RX2 may be separated. The first to fourth fins F1 to F4 may pass through the field insulating layer 20 and cross the gate electrode G extending in the Y-axis direction. In some embodiments, the integrated circuit may include a different number of pins than shown in FIG. 4A .

게이트 전극(G)은, 비제한적인 예시로서 Ti, Ta, W, Al, Co 또는 이들 중 2이상의 조합을 포함할 수도 있고, 금속이 아닌 Si 또는 SiGe 등을 포함할 수도 있다. 또한, 게이트 전극(G)은 2이상의 전도성 물질들이 적층되어 형성될 수도 있고, 예컨대 TiN, TaN, TiC, TaC, TiAlC 또는 이들 중 2이상의 조합을 포함하는 일함수 조절막, 및 W 또는 Al 등을 포함하는 필링 도전막을 포함할 수 있다. 게이트 컨택(CB)은 제2 층간 절연막(32)을 관통하여 게이트 전극(G)에 연결될 수 있고, 게이트 비아(VB)는 제3 층간 절연막(33)을 관통하여 게이트 컨택(CB) 및 입력핀(A1)과 연결될 수 있다. 이에 따라, 입력핀(A1)은 게이트 비아(VB) 및 게이트 컨택(CB)을 통해서 게이트 전극(G)과 전기적으로 연결될 수 있다. 일부 실시예뜰에서, 도 4a에 도시된 바와 상이하게, 게이트 컨택(CB)이 생략될 수 있고, 입력핀(A1)은 제2 및 제3 층간 절연막(32, 33)을 관통하는 게이트 비아를 통해서 게이트 전극(G)과 전기적으로 연결될 수 있다.As a non-limiting example, the gate electrode G may include Ti, Ta, W, Al, Co, or a combination of two or more thereof, and may include Si or SiGe other than metal. In addition, the gate electrode G may be formed by stacking two or more conductive materials, for example, a work function control film including TiN, TaN, TiC, TaC, TiAlC, or a combination of two or more thereof, and W or Al. It may include a peeling conductive film including. The gate contact CB may pass through the second interlayer insulating layer 32 to be connected to the gate electrode G, and the gate via VB may pass through the third interlayer insulating layer 33 to provide the gate contact CB and the input pin. (A1) can be connected. Accordingly, the input pin A1 may be electrically connected to the gate electrode G through the gate via VB and the gate contact CB. In some embodiments, differently as shown in FIG. 4A , the gate contact CB may be omitted, and the input pin A1 may be connected through a gate via penetrating the second and third interlayer insulating layers 32 and 33 . It may be electrically connected to the gate electrode G.

일부 실시예들에서, 집적 회로는 나노와이어 및/또는 나노시트가 게이트 전극에 의해서 포위되는 GAA(gate all around) 구조의 트랜지스터를 포함할 수 있다. 예를 들면, 도 4b에 도시된 바와 같이, 제1 활성 영역(RX1)에서 3개의 나노시트들(NS11, NS12, NS13)을 포함하는 제1 나노시트 스택(NS1)가 게이트 전극(G)을 관통하여 X축 방향으로 연장될 수 있다. 제1 나노시트 스택(NS1)은 N형 불순물로 도핑될 수 있고, PFET를 형성할 수 있다. 또한, 제2 활성 영역(RX2)에서 3개의 나노시트들(NS21, NS22, NS23)을 포함하는 제2 나노시트 스택(NS2)가 게이트 전극(G)을 관통하여 X축 방향으로 연장될 수 있다. 제2 나노시트 스택(NS2)은 P형 불순물로 도핑될 수 있고, NFET를 형성할 수 있다. 일부 실시예들에서, 나노시트 스택은 도 4b에 도시된 바와 상이한 수의 나노시트들을 포함할 수 있다.In some embodiments, the integrated circuit may include a transistor in a gate all around (GAA) structure in which nanowires and/or nanosheets are surrounded by a gate electrode. For example, as shown in FIG. 4B , the first nanosheet stack NS1 including three nanosheets NS11 , NS12 , and NS13 in the first active region RX1 connects the gate electrode G to the first nanosheet stack NS1 . It may pass through and extend in the X-axis direction. The first nanosheet stack NS1 may be doped with an N-type impurity to form a PFET. In addition, in the second active region RX2 , a second nanosheet stack NS2 including three nanosheets NS21 , NS22 , and NS23 may extend through the gate electrode G in the X-axis direction. . The second nanosheet stack NS2 may be doped with a P-type impurity to form an NFET. In some embodiments, the nanosheet stack may include a different number of nanosheets as shown in FIG. 4B .

도 5a 및 도 5b는 본 개시의 예시적 실시예들에 따른 집적 회로의 레이아웃의 예시들을 나타내는 평면도들이다. 구체적으로, 도 5a의 평면도는 상호 인접한 제1 및 제2 AOI22 셀(C41, C42)을 포함하는 레이아웃을 나타내고, 도 5b의 평면도는 도 5a의 제1 및 제2 AOI22 셀(C41, C42) 상에 상위 패턴들이 배치된 레이아웃을 나타낸다. 도 5a 및 도 5b에 도시된 바와 같이, 하위 패턴들은 M1 층의 제1 내지 제5 트랙(T11 내지 T15)을 따라서 X축 방향으로 연장될 수 있고, 상위 패턴들은 M2 층의 제1 내지 제36 트랙(T21 내지 T36)을 따라서 Y축 방향으로 연장될 수 있다. 이하에서, 도 5a 및 도 5b에 대한 설명 중 도 3에 대한 설명과 중복되는 내용은 생략될 것이다.5A and 5B are plan views illustrating examples of a layout of an integrated circuit according to exemplary embodiments of the present disclosure. Specifically, the plan view of FIG. 5A shows a layout including first and second AOI22 cells C41 and C42 adjacent to each other, and the plan view of FIG. 5B shows the first and second AOI22 cells C41 and C42 of FIG. 5A It shows the layout in which the upper patterns are arranged. 5A and 5B , the lower patterns may extend in the X-axis direction along the first to fifth tracks T11 to T15 of the M1 layer, and the upper patterns include first to 36th tracks of the M2 layer. It may extend in the Y-axis direction along the tracks T21 to T36. Hereinafter, content that overlaps with the description of FIG. 3 among the descriptions of FIGS. 5A and 5B will be omitted.

일부 실시예들에서, 셀의 핀은 연장될 수 있고, 핀의 연장된 부분은 핀 액세스 포인트를 가질 수 있다. 예를 들면, 도 5a에 도시된 바와 같이, 제1 AOI22 셀(C41)의 입력 핀(B0)은 X축 방향으로 단축될 수 있고, 이에 따라 경계(E)로부터 도 3의 입력 핀(B0)보다 멀리 이격될 수 있다. 제2 AOI22 셀(C42)의 입력 핀(B0')은, 제1 AOI22 셀(C41)의 입력 핀(B0)으로부터 제1 거리(D1)만큼 이격되도록, X축 방향으로 연장될 수 있고, 이에 따라 경계(E)에 도 3의 입력 핀(B0')보다 근접할 수 있다. 일부 실시예들에서, 제1 거리(D1)는 M1 층의 동일 트랙내 최소 이격 거리 이상일 수 있다. 결과적으로, 제1 AOI22 셀(C41)의 입력 핀(B0)은 제2 AOI22 셀(C42)의 입력 핀(B0')보다 경계(E)로부터 멀리 이격될 수 있다. 일부 실시예들에서, 제2 AOI22 셀(C42)의 입력 핀(B0')은, 도 5a에 도시된 바와 상이하게, 경계(E)와 교차하지 아니할 수 있다. 제2 AOI22 셀(C42)의 입력 핀(B0')의 연장에 기인하여, 제2 AOI22 셀(C42)의 입력 핀(B0') 및 M2 층의 제9 트랙(T29)이 교차하는 지점이 핀 액세스 포인트로서 사용될 수 있고, 이에 따라 제2 AOI22 셀(C42)의 입력 핀(B0')은 3개의 핀 액세스 포인트들을 가질 수 있고, 도 3의 예시와 상이하게, 도 5a에서 M2 층의 제9 트랙(T29)을 따라 연장되는 상위 패턴이 제2 AOI22 셀(C42)의 입력 핀(B0')을 라우팅하는데 사용될 수 있다. 또한, 제1 AOI22 셀(C41)의 입력 핀(B0)은, 도 3의 입력 핀(B0)보다 단축된 길이에도 불구하고, 2개의 핀 액세스 포인트들을 가질 수 있다.In some embodiments, a pin of the cell may extend, and the extended portion of the pin may have a pin access point. For example, as shown in FIG. 5A , the input pin B0 of the first AOI22 cell C41 may be shortened in the X-axis direction, and thus the input pin B0 of FIG. 3 from the boundary E may be further apart. The input pin B0' of the second AOI22 cell C42 may extend in the X-axis direction to be spaced apart from the input pin B0 of the first AOI22 cell C41 by a first distance D1, and thus Accordingly, the boundary E may be closer than the input pin B0' of FIG. 3 . In some embodiments, the first distance D1 may be greater than or equal to the minimum separation distance within the same track of the M1 layer. As a result, the input pin B0 of the first AOI22 cell C41 may be farther apart from the boundary E than the input pin B0' of the second AOI22 cell C42. In some embodiments, the input pin B0' of the second AOI22 cell C42 may not intersect the boundary E, differently as illustrated in FIG. 5A . Due to the extension of the input pin B0' of the second AOI22 cell C42, the intersection of the input pin B0' of the second AOI22 cell C42 and the ninth track T29 of the M2 layer is the pin may be used as an access point, and thus the input pin B0' of the second AOI22 cell C42 may have three pin access points, and different from the example of FIG. 3 , the ninth of the M2 layer in FIG. 5A . An upper pattern extending along track T29 may be used to route input pin B0' of second AOI22 cell C42. Also, the input pin B0 of the first AOI22 cell C41 may have two pin access points despite a shorter length than the input pin B0 of FIG. 3 .

도 5a를 참조하면, 제2 AOI22 셀(C42)의 입력 핀(B1')은 X축 방향으로 단축될 수 있고, 이에 따라 경계(E)로부터 도 3의 입력 핀(B1')보다 멀리 이격될 수 있다. 제1 AOI22 셀(C41)의 입력 핀(B1)은, 제2 AOI22 셀(C42)의 입력 핀(B1')으로부터 제2 거리(D2)만큼 이격되도록, X축 방향으로 연장될 수 있고, 이에 따라 경계(E)에 도 3의 입력 핀(B1)보다 근접할 수 있다. 일부 실시예들에서, 제2 거리(D2)는 M1 층의 동일 트랙내 최소 이격 거리 이상일 수 있다. 결과적으로, 제2 AOI22 셀(C42)의 입력 핀(B1')은 제1 AOI22 셀(C41)의 입력 핀(B1)보다 경계(E)로부터 멀리 이격될 수 있다. 일부 실시예들에서, 제1 AOI22 셀(C41)의 입력 핀(B1)은, 도 5a에 도시된 바와 상이하게, 경계(E)와 교차하지 아니할 수 있다. 제1 AOI22 셀(C41)의 입력 핀(B1)의 연장에 기인하여, 제1 AOI22 셀(C41)의 입력 핀(B1) 및 M2 층의 제8 트랙(T28)이 교차하는 지점이 핀 액세스 포인트로서 사용될 수 있고, 이에 따라 제1 AOI22 셀(C41)의 입력 핀(B1)은 3개의 핀 액세스 포인트들을 가질 수 있고, 도 3의 예시와 상이하게, 도 5a에서 M2 층의 제8 트랙(T28)을 따라 연장되는 상위 패턴이 제1 AOI22 셀(C41)의 입력 핀(B1)을 라우팅하는데 사용될 수 있다. 또한, 제2 AOI22 셀(C42)의 입력 핀(B1')은, 도 3의 입력 핀(B1')보다 단축된 길이에도 불구하고, 2개의 핀 액세스 포인트들을 가질 수 있다. Referring to FIG. 5A , the input pin B1' of the second AOI22 cell C42 may be shortened in the X-axis direction, and thus the input pin B1' of FIG. 3 may be spaced apart from the boundary E. can The input pin B1 of the first AOI22 cell C41 may extend in the X-axis direction to be spaced apart from the input pin B1' of the second AOI22 cell C42 by a second distance D2, and thus Accordingly, the boundary E may be closer than the input pin B1 of FIG. 3 . In some embodiments, the second distance D2 may be greater than or equal to the minimum separation distance within the same track of the M1 layer. As a result, the input pin B1 ′ of the second AOI22 cell C42 may be farther apart from the boundary E than the input pin B1 of the first AOI22 cell C41 . In some embodiments, the input pin B1 of the first AOI22 cell C41 may not intersect the boundary E, differently as illustrated in FIG. 5A . Due to the extension of the input pin B1 of the first AOI22 cell C41, the point where the input pin B1 of the first AOI22 cell C41 and the eighth track T28 of the M2 layer intersect is a pin access point can be used as , and thus the input pin B1 of the first AOI22 cell C41 may have three pin access points, and different from the example of FIG. 3 , the eighth track T28 of the M2 layer in FIG. 5A . ) may be used to route the input pin B1 of the first AOI22 cell C41. In addition, the input pin B1 ′ of the second AOI22 cell C42 may have two pin access points despite a shorter length than the input pin B1 ′ of FIG. 3 .

도 5b를 참조하면, M2 층의 제1 내지 제6 트랙(T21 내지 T36)을 따라 상위 패턴들이 Y축 방향으로 연장될 수 있다. 일부 실시예들에서, 도 5b의 상위 패턴들 중 적어도 일부는 도 5b에 도시된 바와 상이한 길이를 가질 수 있다. 전술된 바와 같이, 제2 AOI22 셀(C42)의 입력 핀(B0') 및 M2 층의 제9 트랙(T29)이 교차하는 지점이 핀 액세스 포인트로 사용될 수 있고, 이에 따라 제2 AOI22 셀(C42)의 입력 핀(B0') 및 제1 상위 패턴(M21)을 연결하는 V1 층의 비아가 배치될 수 있다. 또한, 제1 AOI22 셀(C41)의 입력 핀(B1) 및 M2 층의 제8 트랙(T28)이 교차하는 지점이 핀 액세스 포인트로 사용될 수 있고, 이에 따라 제1 AOI22 셀(C41)의 입력 핀(B1) 및 제2 상위 패턴(M22)을 연결하는 V1 층의 비아가 배치될 수 있다.Referring to FIG. 5B , upper patterns may extend in the Y-axis direction along the first to sixth tracks T21 to T36 of the M2 layer. In some embodiments, at least some of the upper patterns of FIG. 5B may have a different length than that shown in FIG. 5B . As described above, a point where the input pin B0' of the second AOI22 cell C42 and the ninth track T29 of the M2 layer intersect may be used as a pin access point, and thus the second AOI22 cell C42 ), a via of the V1 layer connecting the input pin B0 ′ and the first upper pattern M21 may be disposed. Also, a point where the input pin B1 of the first AOI22 cell C41 and the eighth track T28 of the M2 layer intersect may be used as a pin access point, and accordingly, the input pin of the first AOI22 cell C41 may be used as a pin access point. A via of the V1 layer connecting ( B1 ) and the second upper pattern M22 may be disposed.

도 6는 본 개시의 예시적 실시예에 따른 집적 회로(50)의 레이아웃을 나타내는 평면도이다. 도 6에 도시된 바와 같이, 집적 회로(50)는 제1 내지 제3 행(R1 내지 R3)에 배치된 복수의 셀들(C01 내지 C12)을 포함할 수 있고,도 6 단일 높이 셀뿐만 아니라, 다중 높이 셀(즉, C08)을 포함할 수 있다. 제1 내지 제3 행(R1 내지 R3)의 경계들을 따라 파워 레일들이 X축 방향으로 연장될 수 있다. 제1 내지 제3 행(R1 내지 R3)은 제1 내지 제3 높이(H1 내지 H3)를 가질 수 있다. 일부 실시예들에서, 제1 내지 제3 높이(H1 내지 H3)는 동일할 수도 있고, 제1 내지 제3 높이(H1 내지 H3) 중 적어도 2개의 높이들은 상이할 수도 있다.6 is a plan view illustrating a layout of an integrated circuit 50 according to an exemplary embodiment of the present disclosure. As shown in FIG. 6 , the integrated circuit 50 may include a plurality of cells C01 to C12 arranged in first to third rows R1 to R3, and not only the single height cell of FIG. 6 , It may include a multi-height cell (ie, C08). Power rails may extend along the boundaries of the first to third rows R1 to R3 in the X-axis direction. The first to third rows R1 to R3 may have first to third heights H1 to H3 . In some embodiments, the first to third heights H1 to H3 may be the same, and at least two heights of the first to third heights H1 to H3 may be different.

일부 실시예들에서, 집적 회로(50)는, 핀을 포함하고 미리 정의된 기능을 수행하는 셀, 즉 기능 셀뿐만 아니라 필러(filler) 셀을 포함할 수 있다. 도 3 등을 참조하여 전술된 바와 같이, 제한된 영역에서 다수의 핀들을 가지는 셀들, 즉 높은 핀 밀도를 가지는 셀들이 인접하게 배치되는 경우, 추가적인 핀 액세스 포인트의 확보를 위하여 필러 셀이 배치될 수 있다. 예를 들면, 도 6에 도시된 바와 같이, 제1 행(R1)에서 2개의 셀들(C02, C03) 사이에 필러 셀이 배치될 수 있고, 2개의 셀들(C04, C05) 사이에 필러 셀이 배치될 수 있다. 또한, 제2 행(R2)에서 2개의 셀들(C08, C09) 사이에 필러 셀이 배치될 수 있고, 제3 행(R3)에서 2개의 셀들(C11, C08) 사이에 필러 셀이 배치될 수 있다. 일부 실시예들에서, 필러 셀은 게이트 전극들의 제1 피치(P1), 즉 1 CPP의 폭(즉, X축 방향의 길이)을 가질 수 있다.In some embodiments, the integrated circuit 50 may include a cell that includes a pin and performs a predefined function, ie, a function cell as well as a filler cell. As described above with reference to FIG. 3 and the like, when cells having a large number of pins, that is, cells having a high pin density, are disposed adjacent to each other in a limited area, a filler cell may be disposed to secure an additional pin access point. . For example, as shown in FIG. 6 , a filler cell may be disposed between the two cells C02 and C03 in the first row R1 , and a filler cell may be disposed between the two cells C04 and C05 , in the first row R1 . can be placed. In addition, a filler cell may be disposed between the two cells C08 and C09 in the second row R2 , and a filler cell may be disposed between the two cells C11 and C08 in the third row R3 . have. In some embodiments, the filler cell may have a first pitch P1 of the gate electrodes, that is, a width (ie, a length in the X-axis direction) of 1 CPP.

일부 실시예들에서, 필러 셀의 내부로 필러 셀에 인접한 기능 셀의 핀이 연장될 수 있고, 연장된 부분은 핀 액세스 포인트를 가질 수 있다. 도 1a 내지 도 1d를 참조하여 전술된 바와 같이, 게이트 전극들 사이 제1 피치(P1) 및 상위 패턴들 사이 제2 피치(P2)가 상이할 수 있고, 이에 따라 도 7a 및 도 7b를 참조하여 후술되는 바와 같이, 보다 많은 핀 액세스 포인트들을 제공하도록 필러 셀이 배치될 수 있다.In some embodiments, a pin of a functional cell adjacent to the filler cell may extend into the interior of the filler cell, and the extended portion may have a pin access point. As described above with reference to FIGS. 1A to 1D , the first pitch P1 between the gate electrodes and the second pitch P2 between the upper patterns may be different, and accordingly, referring to FIGS. 7A and 7B , As described below, a filler cell may be deployed to provide more pin access points.

도 7a 내지 도 7c는 본 개시의 예시적 실시예들에 따른 집적 회로의 레이아웃의 예시들을 나타내는 평면도들이다. 구체적으로, 도 7a의 평면도는 필러 셀(C61) 및 AOI22 셀(C62)을 포함하는 레이아웃을 나타내고, 도 7b의 평면도는 AOI22 셀(C63) 및 필러 셀(C64)을 포함하는 레이아웃을 나타내며, 도 7c의 평면도는 도 7b의 AOI22 셀(C63) 및 필러 셀(C64) 상에 상위 패턴들이 배치된 레이아웃을 나타낸다. 도 7a의 AOI22 셀(C62) 및 도 7b의 AOI22 셀(C63)은, 도 3의 제1 AOI22 셀(C31)에서 연장된 핀들을 포함하는 구조들을 각각 가질 수 있다. 이하에서, 도 7a 내지 도 7c는 도 3을 참조하여 설명될 것이다.7A to 7C are plan views illustrating examples of layouts of integrated circuits according to exemplary embodiments of the present disclosure. Specifically, the plan view of FIG. 7A shows a layout including the filler cell C61 and the AOI22 cell C62, and the plan view of FIG. 7B shows the layout including the AOI22 cell C63 and the filler cell C64, and FIG. A plan view of 7c shows a layout in which upper patterns are disposed on the AOI22 cell C63 and the filler cell C64 of FIG. 7b . The AOI22 cell C62 of FIG. 7A and the AOI22 cell C63 of FIG. 7B may each have structures including fins extending from the first AOI22 cell C31 of FIG. 3 . Hereinafter, FIGS. 7A to 7C will be described with reference to FIG. 3 .

도 7a를 참조하면, 하위 패턴들은 M1 층의 제1 내지 제5 트랙(T11 내지 T15)을 따라서 X축 방향으로 연장될 수 있고, 상위 패턴들은 M2 층의 제1 내지 제9 트랙(T21 내지 T29)을 따라서 Y축 방향으로 연장될 수 있다. 도 7a에 도시된 바와 같이, 필러 셀(C61)은 AOI22 셀(C62)의 좌측에 배치될 수 있다. AOI22 셀(C62)의 입력 핀들(A0, A1)은 경계(E)를 가로질러 필러 셀(C61) 내부로 연장될 수 있고, 이에 따라 도 7a에서 속이 찬 원(●)으로 표시된 바와 같이, 연장된 입력 핀들(A0, A1) 및 M2 층의 제2 트랙(T22)(또는 경계(E))이 교차하는 지점들에 2개의 핀 액세스 포인트들이 추가적으로 발생할 수 있다. 그러나, 연장된 입력 핀들(A0, A1) 및 M2 층의 제1 트랙(T21)이 교차하는 지점들은 비아 오버랩이 부족할 수 있고, 이에 따라 도 7a에서 속이 빈 원(○)으로 표시된 바와 같이, 연장된 입력 핀들(A0, A1) 및 M2 층의 제2 트랙(T22)이 교차하는 지점들은 핀 액세스 포인트들로 사용이 불가능할 수 있다.Referring to FIG. 7A , the lower patterns may extend in the X-axis direction along the first to fifth tracks T11 to T15 of the M1 layer, and the upper patterns include the first to ninth tracks T21 to T29 of the M2 layer. ) along the Y-axis direction. As shown in FIG. 7A , the filler cell C61 may be disposed on the left side of the AOI22 cell C62 . The input pins A0 and A1 of the AOI22 cell C62 may extend into the filler cell C61 across the boundary E, thus extending, as indicated by a solid circle ? in FIG. 7A . Two pin access points may additionally occur at points where the input pins A0 and A1 and the second track T22 (or boundary E) of the M2 layer intersect. However, the points where the extended input pins A0 and A1 and the first track T21 of the M2 layer intersect may lack via overlap, and thus, as indicated by a hollow circle (○) in FIG. 7A , the extended Points at which the input pins A0 and A1 and the second track T22 of the M2 layer intersect may not be used as pin access points.

도 7b를 참조하면, 하위 패턴들은 M1 층의 제1 내지 제5 트랙(T11 내지 T15)을 따라서 X축 방향으로 연장될 수 있고, 상위 패턴들은 M2 층의 제2 내지 제11 트랙(T22 내지 T31)을 따라서 Y축 방향으로 연장될 수 있다. 도 7b에 도시된 바와 같이, 필러 셀(C64)은 AOI22 셀(C63)의 우측에 배치될 수 있다. AOI22 셀(C63)의 입력 핀들(B0, B1)은 경계(E)를 가로질러 필러 셀(C64)의 내부로 연장될 수 있고, 이에 따라 도 7b에서 속이 찬 원(●)으로 표시된 바와 같이, 연장된 입력 핀들(B0, B1) 및 M2 층의 제9 및 제10 트랙(T29, T30)이 교차하는 지점들에 4개의 핀 액세스 포인트들이 추가적으로 발생할 수 있다. 이에 따라, 도 7b의 AOI22 셀(C63)은 도 7a의 AOI22 셀(C62)보다 많은 핀 액세스 포인트들을 가질 수 있고, 보다 높은 라우팅 자유도를 제공할 수 있다.Referring to FIG. 7B , the lower patterns may extend in the X-axis direction along the first to fifth tracks T11 to T15 of the M1 layer, and the upper patterns may include the second to eleventh tracks T22 to T31 of the M2 layer. ) along the Y-axis direction. As shown in FIG. 7B , the filler cell C64 may be disposed on the right side of the AOI22 cell C63 . The input pins B0, B1 of the AOI22 cell C63 may extend into the interior of the filler cell C64 across the boundary E, thus, as indicated by a solid circle ? in FIG. 7B , Four pin access points may be additionally generated at points where the extended input pins B0 and B1 and the ninth and tenth tracks T29 and T30 of the M2 layer intersect. Accordingly, the AOI22 cell C63 of FIG. 7B may have more pin access points than the AOI22 cell C62 of FIG. 7A , and may provide a higher degree of routing freedom.

도 7b의 필러 셀(C64)과 같이, 기능 셀의 양측에 배치가능한 2개의 필러 셀들 중, 보다 많은 추가적인 핀 액세스 포인트들을 제공하는 필러 셀이 집적 회로의 설계 과정에서 선택될 수 있고, 선택된 필러 셀이 기능 셀의 일측에 배치될 수 있다. 예를 들면, 2개의 필러 셀들 중 필러 셀의 내부에서 추가적인 핀 액세스 포인트들이 발생하는 필러 셀이 기능 셀에 인접하게 배치될 수 있다. Like the filler cell C64 of FIG. 7B , among the two filler cells that can be disposed on both sides of the functional cell, a filler cell that provides more additional pin access points may be selected during the design process of the integrated circuit, and the selected filler cell It may be disposed on one side of the functional cell. For example, among the two filler cells, a filler cell in which additional pin access points are generated may be disposed adjacent to the functional cell.

도 7c를 참조하면, M2 층의 제2 내지 제11 트랙(T22 내지 T31)을 따라 상위 패턴들이 Y축 방향으로 연장될 수 있다. 일부 실시예들에서, 도 7c의 상위 패턴들 중 적어도 일부는 도 7c에 도시된 바와 상이한 길이를 가질 수 있다. 전술된 바와 같이, AOI22 셀(C63)의 입력 핀(B1) 및 M2 층의 제9 트랙(T29)이 교차하는 지점이 핀 액세스 포인트로 사용될 수 있고, 이에 따라 AOI22 셀(C63)의 입력 핀(B1) 및 M2 층의 제1 상위 패턴(M21)을 연결하는 V1 층의 비아가 배치될 수 있다. 또한, AOI22 셀(C63)의 입력 핀(B0) 및 M2 층의 제10 트랙(T30)이 교차하는 지점이 핀 액세스 포인트로 사용될 수 있고, 이에 따라 AOI22 셀(C63)의 입력 핀(B0) 및 M2 층의 제2 상위 패턴(M22)을 연결하는 V1 층의 비아가 배치될 수 있다.Referring to FIG. 7C , upper patterns may extend in the Y-axis direction along second to eleventh tracks T22 to T31 of the M2 layer. In some embodiments, at least some of the upper patterns of FIG. 7C may have a different length than that shown in FIG. 7C . As described above, the intersection of the input pin B1 of the AOI22 cell C63 and the ninth track T29 of the M2 layer can be used as a pin access point, and accordingly, the input pin B1 of the AOI22 cell C63 A via of the layer V1 connecting the first upper pattern M21 of the layer B1) and the layer M2 may be disposed. In addition, a point where the input pin B0 of the AOI22 cell C63 and the tenth track T30 of the M2 layer intersect may be used as a pin access point, and accordingly, the input pin B0 of the AOI22 cell C63 and A via of the V1 layer connecting the second upper pattern M22 of the M2 layer may be disposed.

도 8a 및 도 8b는 본 개시의 예시적 실시예들에 따른 집적 회로의 레이아웃의 예시들을 나타내는 평면도들이다. 구체적으로, 도 8a는 AOI22 셀(C7)을 포함하는 레이아웃을 나타내고, 도 8b의 평면도는 도 8a의 AOI22 셀(C7) 상에 상위 패턴들이 배치된 레이아웃을 나타낸다. 도 8a 및 도 8b에 도시된 바와 같이, 하위 패턴들은 M1 층의 제1 내지 제5 트랙(T11 내지 T15)을 따라서 X축 방향으로 연장될 수 있고, 상위 패턴들은 M2 층의 제1 내지 제8 트랙(T21 내지 T28)을 따라서 Y축 방향으로 연장될 수 있다.8A and 8B are plan views illustrating examples of a layout of an integrated circuit according to exemplary embodiments of the present disclosure. Specifically, FIG. 8A shows a layout including the AOI22 cell C7, and the plan view of FIG. 8B shows a layout in which upper patterns are disposed on the AOI22 cell C7 of FIG. 8A. 8A and 8B , the lower patterns may extend in the X-axis direction along the first to fifth tracks T11 to T15 of the M1 layer, and the upper patterns may include the first to eighth tracks of the M2 layer. It may extend in the Y-axis direction along the tracks T21 to T28.

도 8a를 참조하면, AOI22 셀(C7)은 4개의 입력 핀들(A0, A1, B0, B1) 및 1개의 출력 핀(Y)을 포함할 수 있다. 도 8a에 도시된 바와 같이, 입력 핀(A0)은 M1 층의 제3 트랙(T13)을 따라 X축 방향으로 연장될 수 있고, 3개의 핀 액세스 포인트들을 가질 수 있다. 입력 핀(B1)은 M1 층의 제3 트랙(T13)을 따라 X축 방향으로 연장될 수 있고, 2개의 핀 액세스 포인트들을 가질 수 있다. 입력 핀(B0)은 M1 층의 제4 트랙(T14)을 따라 X축 방향으로 연장될 수 있고, 2개의 핀 액세스 포인트들을 가질 수 있다. 입력 핀(A1)은 제5 트랙(T15)을 따라 X축 방향으로 연장될 수 있고, 2개의 핀 액세스 포인트들을 가질 수 있다. 또한, 출력 핀(Y)은 M2 층의 제5 트랙(T25)을 따라 Y축 방향으로 연장될 수 있다. AOI22 셀(C7)의 입력 신호들 및 출력 신호와 상이한, 내부 신호를 위한 제1 하위 패턴(M11)이 M1 층의 제1 트랙(T11)을 따라 X축 방향으로 연장될 수 있다.Referring to FIG. 8A , the AOI22 cell C7 may include four input pins A0, A1, B0, B1 and one output pin Y. Referring to FIG. As shown in FIG. 8A , the input pin A0 may extend in the X-axis direction along the third track T13 of the M1 layer, and may have three pin access points. The input pin B1 may extend in the X-axis direction along the third track T13 of the M1 layer, and may have two pin access points. The input pin B0 may extend in the X-axis direction along the fourth track T14 of the M1 layer, and may have two pin access points. The input pin A1 may extend in the X-axis direction along the fifth track T15 and may have two pin access points. Also, the output pin Y may extend in the Y-axis direction along the fifth track T25 of the M2 layer. A first sub-pattern M11 for an internal signal that is different from the input signals and output signals of the AOI22 cell C7 may extend in the X-axis direction along the first track T11 of the M1 layer.

도 8b를 참조하면, M2 층의 제1 내지 제8 트랙(T21 내지 T28)을 따라 상위 패턴들이 Y축 방향으로 연장될 수 있다. 일부 실시예들에서, 도 8b의 상위 패턴들 중 적어도 일부는 도 8b에 도시된 바와 상이한 길이를 가질 수 있다. 도 8b에 도시된 바와 같이, 도 8a의 핀 액세스 포인트들 중 일부에 비아들이 배치될 수 있고, 핀들은 비아들을 통해서 상위 패턴들과 연결될 수 있다. 예를 들면, 입력 핀(A1)은 M2 층의 제2 트랙(T22)과 교차하는 지점에 배치된 비아를 통해서 제1 상위 패턴(M21)과 연결될 수 있고, 입력 핀(A0)은 M2 층의 제3 트랙(T23)과 교차하는 지점에 배치된 비아를 통해서 제2 상위 패턴(M22)과 연결될 수 있다.Referring to FIG. 8B , upper patterns may extend in the Y-axis direction along the first to eighth tracks T21 to T28 of the M2 layer. In some embodiments, at least some of the upper patterns of FIG. 8B may have a different length than that shown in FIG. 8B . As shown in FIG. 8B , vias may be disposed in some of the pin access points of FIG. 8A , and the pins may be connected to upper patterns through vias. For example, the input pin A1 may be connected to the first upper pattern M21 through a via disposed at a point intersecting the second track T22 of the M2 layer, and the input pin A0 may be connected to the M2 layer. It may be connected to the second upper pattern M22 through a via disposed at a point intersecting the third track T23 .

핀 액세스 포인트들이 도 8a에 도시된 바와 같이, M1 층의 상호 인접한 트랙들(예컨대, M1 층의 제3 내지 제5 트랙(T13 내지 T15))에 밀집된 경우, M2 층의 하나의 트랙과 교차하는 핀 액세스 포인트들 중 하나의 핀 액세스 포인트에만 비아가 배치될 수 있다. 다른 한편으로, 도 9a 및 도 9b를 참조하여 후술되는 바와 같이, 핀 액세스 포인트들이 상호 이격된 트랙들에 퍼져 있는 경우, M2 층의 하나의 트랙과 교차하는 핀 액세스 포인트들 중 2이상의 핀 액세스 포인트들에 비아들이 배치될 수 있다.When the pin access points are clustered in mutually adjacent tracks of the M1 layer (eg, the third to fifth tracks (T13 to T15) of the M1 layer), as shown in FIG. 8A , it intersects with one track of the M2 layer A via may be disposed in only one of the pin access points. On the other hand, as described below with reference to FIGS. 9A and 9B , when the pin access points are spread on mutually spaced tracks, two or more of the pin access points intersect one track in the M2 layer. Vias may be placed in the fields.

도 9a 및 도 9b는 본 개시의 예시적 실시예들에 따른 집적 회로의 레이아웃의 예시들을 나타내는 평면도들이다. 구체적으로, 도 9a는 AOI22 셀(C8)을 포함하는 레이아웃을 나타내고, 도 9b의 평면도는 도 9a의 AOI22 셀(C8) 상에 상위 패턴들이 배치된 레이아웃을 나타낸다. 도 9a 및 도 9b에 도시된 바와 같이, 하위 패턴들은 M1 층의 제1 내지 제5 트랙(T11 내지 T15)을 따라서 X축 방향으로 연장될 수 있고, 상위 패턴들은 M2 층의 제1 내지 제8 트랙(T21 내지 T28)을 따라서 Y축 방향으로 연장될 수 있다.9A and 9B are plan views illustrating examples of a layout of an integrated circuit according to exemplary embodiments of the present disclosure. Specifically, FIG. 9A shows a layout including the AOI22 cell C8, and the plan view of FIG. 9B shows a layout in which upper patterns are disposed on the AOI22 cell C8 of FIG. 9A. 9A and 9B , the lower patterns may extend in the X-axis direction along the first to fifth tracks T11 to T15 of the M1 layer, and the upper patterns include the first to eighth tracks of the M2 layer. It may extend in the Y-axis direction along the tracks T21 to T28.

도 9a를 참조하면, AOI22 셀(C8)은 4개의 입력 핀들(A0, A1, B0, B1) 및 1개의 출력 핀(Y)을 포함할 수 있다. 도 9a에 도시된 바와 같이, 입력 핀(A0)은 M1 층의 제1 트랙(T11)을 따라 X축 방향으로 연장될 수 있고, 3개의 핀 액세스 포인트들을 가질 수 있다. 입력 핀(B1)은 M1 층의 제3 트랙(T13)을 따라 X축 방향으로 연장될 수 있고, 2개의 핀 액세스 포인트들을 가질 수 있다. 입력 핀(B0)은 M1 층의 제4 트랙(T14)을 따라 X축 방향으로 연장될 수 있고, 2개의 핀 액세스 포인트들을 가질 수 있다. 입력 핀(A1)은 M1 층의 제5 트랙(T15)을 따라 X축 방향으로 연장될 수 있고, 2개의 핀 액세스 포인트들을 가질 수 있다.Referring to FIG. 9A , the AOI22 cell C8 may include four input pins A0, A1, B0, and B1 and one output pin Y. As shown in FIG. 9A , the input pin A0 may extend in the X-axis direction along the first track T11 of the M1 layer, and may have three pin access points. The input pin B1 may extend in the X-axis direction along the third track T13 of the M1 layer, and may have two pin access points. The input pin B0 may extend in the X-axis direction along the fourth track T14 of the M1 layer, and may have two pin access points. The input pin A1 may extend in the X-axis direction along the fifth track T15 of the M1 layer, and may have two pin access points.

도 9a의 AOI22 셀(C8)에서 내부 신호를 위한 제1 하위 패턴(M11)이 M1 층의 제2 트랙(T12)을 따라 X축 방향으로 연장될 수 있고, 입력 핀(A0)이 M1 층의 제1 트랙(T11)을 따라 X축 방향으로 연장될 수 있다. 이에 따라, M2 층의 제2 및 제3 트랙(T22, T23)과 교차되는 지점들에서 핀 액세스 포인트들을 가지는 입력 핀들(A0, A1)은, 도 8a의 입력 핀들(A0, A1)보다 Y축 방향으로 멀리 이격될 수 있다.In the AOI22 cell C8 of FIG. 9A , the first sub-pattern M11 for the internal signal may extend in the X-axis direction along the second track T12 of the M1 layer, and the input pin A0 is the M1 layer It may extend in the X-axis direction along the first track T11. Accordingly, the input pins A0 and A1 having pin access points at the points intersecting the second and third tracks T22 and T23 of the M2 layer have a higher Y axis than the input pins A0 and A1 of FIG. 8A . direction may be spaced apart.

도 9b를 참조하면, M2 층의 제1 내지 제8 트랙(T21 내지 T28)을 따라 상위 패턴들이 Y축 방향으로 연장될 수 있다. 일부 실시예들에서, 도 9b의 상위 패턴들 중 적어도 일부는 도 9b에 도시된 바와 상이한 길이를 가질 수 있다. 도 9b에 도시된 바와 같이, 도 9a의 핀 액세스 포인트들 중 일부에 비아들이 배치될 수 있고, 핀들은 비아들을 통해서 상위 패턴들과 연결될 수 있다. 예를 들면, 입력 핀(A0)은 M2 층의 제2 트랙(T22)과 교차하는 지점에 배치된 비아를 통해서 제3 상위 패턴(M23)과 연결될 수 있고, 입력 핀(A1)은 M2 층의 제2 트랙(T22)과 교차하는 지점에 배치된 비아를 통해서 제4 상위 패턴(M24)과 연결될 수 있다. M2 층의 동일한 트랙, 즉 제2 트랙(T22) 상에 있는 제3 및 제4 상위 패턴(M23, M24)은 Y축 방향으로 제3 거리(D3)만큼 이격될 수 있고, 제3 거리(D3)는 M2 층의 동일 트랙내 최소 이격 거리 이상일 수 있다. 일부 실시예들에서, 제3 및 제4 상위 패턴(M23, M24)은 M2 층의 제2 트랙(T22)을 따라 연장되는 하나의 사위 패턴을 M2 컷(cut)을 통해 분리함으로써 형성될 수 있고, M2 층의 동일 트랙내 최소 이격 거리만큼 상호 이격될 수 있다.Referring to FIG. 9B , upper patterns may extend in the Y-axis direction along the first to eighth tracks T21 to T28 of the M2 layer. In some embodiments, at least some of the upper patterns of FIG. 9B may have a different length than that shown in FIG. 9B . As shown in FIG. 9B , vias may be disposed in some of the pin access points of FIG. 9A , and the pins may be connected to upper patterns through vias. For example, the input pin A0 may be connected to the third upper pattern M23 through a via disposed at a point intersecting the second track T22 of the M2 layer, and the input pin A1 of the M2 layer It may be connected to the fourth upper pattern M24 through a via disposed at a point crossing the second track T22 . The third and fourth upper patterns M23 and M24 on the same track of the M2 layer, that is, on the second track T22 may be spaced apart by a third distance D3 in the Y-axis direction, and the third distance D3 ) may be greater than or equal to the minimum separation distance within the same track of the M2 layer. In some embodiments, the third and fourth upper patterns M23 and M24 may be formed by separating one oblique pattern extending along the second track T22 of the M2 layer through an M2 cut, and , may be spaced apart from each other by the minimum separation distance within the same track of the M2 layer.

도 9b에 도시된 바와 같이, M2 층의 제2 트랙(T22) 상에서 2개의 입력 핀들(A0, A1)을 위한 비아들이 될 수 있고, 이에 따라 M2 층의 다른 트랙을 따라 연장되는 상위 패턴이 다른 신호의 라우팅을 위해서 사용될 수 있다. 예를 들면, 도7b에서 M2 층의 제2 트랙(T22)을 따라 연장되는 제2 상위 패턴(M22)은 AOI22 셀(C7)의 입력 핀(A0)의 라우팅을 위하여 사용될 수 있는 한편, 도 9b에서 M2 층의 제2 트랙(T22)을 따라 연장되는 제5 상위 패턴(M25)은 AOI22 셀(C8)에 독립적으로 신호의 라우팅을 위하여 사용될 수 있다. 이에 따라, 라우팅 자유도가 향상될 수 있고, 라우팅 혼잡이 감소할 수 있다.As shown in FIG. 9B , the vias for the two input pins A0 and A1 may be on the second track T22 of the M2 layer, so that the upper pattern extending along the other track of the M2 layer is different. It can be used for signal routing. For example, the second upper pattern M22 extending along the second track T22 of the M2 layer in FIG. 7B may be used for routing of the input pin A0 of the AOI22 cell C7, while FIG. 9B . The fifth upper pattern M25 extending along the second track T22 of the M2 layer may be used for signal routing independently of the AOI22 cell C8. Accordingly, routing freedom may be improved, and routing congestion may be reduced.

도 10는 본 개시의 예시적 실시예에 따라 집적 회로(IC)를 제조하기 위한 방법을 나타내는 순서도이다. 구체적으로, 도 10의 순서도는 증가된 핀 액세스 포인트들을 제공하는 집적 회로(IC)를 제조하기 위한 방법의 예시를 나타낸다. 도 10에 도시된 바와 같이, 집적 회로(IC)를 제조하기 위한 방법은 복수의 단계들(S10, S30, S50, S70, S90)을 포함할 수 있다.10 is a flowchart illustrating a method for manufacturing an integrated circuit (IC) in accordance with an exemplary embodiment of the present disclosure. Specifically, the flowchart of FIG. 10 represents an example of a method for manufacturing an integrated circuit (IC) that provides increased pin access points. As shown in FIG. 10 , a method for manufacturing an integrated circuit (IC) may include a plurality of steps S10 , S30 , S50 , S70 , and S90 .

셀 라이브러리(또는 표준 셀 라이브러리)(D12)는 셀들에 관한 정보, 예컨대 기능 정보, 특성 정보, 레이아웃 정보 등을 포함할 수 있다. 일부 실시예들에서, 셀 라이브러리(D12)는 도 9a를 참조하여 전술된 바와 같이, 하위 층의 트랙들 중 최외곽 트랙들을 따라 연장되는 핀들을 포함하는 셀들을 정의할 수 있다. 일부 실시예들에서, 셀 라이브러리(D12)는 도 12을 참조하여 후술되는 바와 같이, 단축된 길이를 가지는 하위 패턴을 포함하는 셀들을 정의할 수 있다. 설계 규칙(D14)은 집적 회로(IC)의 레이아웃이 준수해야 할 요건들을 포함할 수 있다. 예를 들면, 설계 규칙(D14)은 패턴들 사이 거리(space), 패턴의 최소 폭, 배선층의 라우팅 방향 등에 대한 요건들을 포함할 수 있다. 일부 실시예들에서, 설계 규칙(D14)은 배선층의 동일 트랙내 최소 이격 거리를 정의할 수 있다.The cell library (or standard cell library) D12 may include information about cells, for example, function information, characteristic information, layout information, and the like. In some embodiments, the cell library D12 may define cells including fins extending along the outermost one of the tracks of the lower layer, as described above with reference to FIG. 9A . In some embodiments, the cell library D12 may define cells including a sub-pattern having a shortened length, as described below with reference to FIG. 12 . The design rule D14 may include requirements that the layout of the integrated circuit (IC) must comply with. For example, the design rule D14 may include requirements for a space between patterns, a minimum width of a pattern, a routing direction of a wiring layer, and the like. In some embodiments, design rule D14 may define a minimum separation distance within the same track of an interconnect layer.

단계 S10에서, RTL 데이터(D11)로부터 네트리스트(D13)를 생성하는 논리 합성 동작이 수행될 수 있다. 예를 들면, 반도체 설계 툴(예컨대, 논리 합성 툴)은 VHDL(VHSIC Hardware Description Language) 및 Verilog와 같은 HDL(Hardware Description Language)로서 작성된 RTL 데이터(D11)로부터 셀 라이브러리(D12)를 참조하여 논리 합성을 수행함으로써, 비트스트림(bitstream) 또는 네트리스트를 포함하는 네트리스트(D13)를 생성할 수 있다. 네트리스트(D13)는 후술되는 배치 및 라우팅(place and routing)의 입력에 대응할 수 있고, 본 명세서에서 입력 데이터로서 지칭될 수 있다.In step S10 , a logic synthesis operation for generating a netlist D13 from the RTL data D11 may be performed. For example, a semiconductor design tool (eg, a logic synthesis tool) performs logic synthesis with reference to the cell library D12 from RTL data D11 created as VHDL (VHSIC Hardware Description Language) and HDL (Hardware Description Language) such as Verilog. By performing , it is possible to generate a bitstream or a netlist D13 including a netlist. The netlist D13 may correspond to an input of place and routing, which will be described later, and may be referred to herein as input data.

단계 S30에서, 셀들이 배치될 수 있다. 예를 들면, 반도체 설계 툴(예컨대, P&R 툴)은 네트리스트(D13)에서 사용된 셀들을 셀 라이브러리(D12)를 참조하여 배치할 수 있다. 일부 실시예들에서, 반도체 설계 툴은 네트리스트(D13)에서 사용된 셀들뿐만 아니라 추가적인 셀(예컨대, 필러 셀)을 배치할 수 있다. 단계 S30의 예시가 도 15를 참조하여 후술될 것이다.In step S30, cells may be deployed. For example, a semiconductor design tool (eg, a P&R tool) may arrange cells used in the netlist D13 with reference to the cell library D12. In some embodiments, the semiconductor design tool may place additional cells (eg, pillar cells) in addition to the cells used in netlist D13 . An example of step S30 will be described later with reference to FIG. 15 .

단계 S50에서, 셀들의 핀들이 라우팅될 수 있다. 예를 들면, 반도체 설계 툴은 배치된 셀들의 출력핀들 및 입력핀들을 전기적으로 연결하는 상호연결(interconnection)들을 생성할 수 있고, 배치된 셀들 및 생성된 상호연결들을 정의하는 레이아웃 데이터(D15)를 생성할 수 있다. 상호연결은 비아 층의 비아 및/또는 배선층의 패턴을 포함할 수 있다. 레이아웃 데이터(D15)는, 예컨대 GDSII와 같은 포맷을 가질 수 있고, 셀들 및 상호연결들의 기하학적 정보를 포함할 수 있다. 반도체 설계 툴은 셀들의 핀들을 라우팅하는 동안 설계 규칙(D14)을 참조할 수 있다. 레이아웃 데이터(D15)는 배치 및 라우팅의 출력에 대응할 수 있고, 본 명세서에서 출력 데이터로서 지칭될 수 있다. 단계 S40 단독으로, 또는 단계 S20 및 단계 S40이 총괄적으로, 집적 회로를 설계하는 방법으로서 지칭될 수 있고, 단계 S50의 예시들이 도 11 및 도 15를 참조하여 후술될 것이다.In step S50, the pins of the cells may be routed. For example, the semiconductor design tool may generate interconnections that electrically connect the output pins and input pins of the disposed cells, and generate layout data D15 defining the disposed cells and the generated interconnections. can create The interconnects may include vias in a via layer and/or a pattern in an interconnect layer. The layout data D15 may have a format such as, for example, GDSII, and may include geometric information of cells and interconnections. The semiconductor design tool may refer to the design rule D14 while routing the pins of the cells. The layout data D15 may correspond to the output of placement and routing, and may be referred to herein as output data. Step S40 alone, or steps S20 and S40 collectively, may be referred to as a method of designing an integrated circuit, and examples of step S50 will be described below with reference to FIGS. 11 and 15 .

단계 S70에서, 마스크를 제작(manufacturing)하는 동작이 수행될 수 있다. 예를 들면, 포토리소그래피(photolithography)에서 빛의 특성에 기인하는 굴절 등의 왜곡 현상을 보정하기 위한 OPC(optical proximity correction)가 레이아웃 데이터(D15)에 이 적용될 수 있다. OPC가 적용된 데이터에 기초하여 복수의 층들에 배치되는 패턴들을 형성하기 위하여 마스크상의 패턴들이 정의될 수 있고, 복수의 층들 각각의 패턴들을 형성하기 위한 적어도 하나의 마스크(또는, 포토마스크)가 제작될 수 있다. 일부 실시예들에서, 집적 회로(IC)의 레이아웃은 단계 S60에서 제한적으로 변형될 수 있고, 단계 S70에서 집적 회로(IC)의 제한적으로 변형하는 것은 집적 회로(IC)의 구조를 최적화하기 위한 후처리로서, 디자인 폴리싱(design polishing)으로 지칭될 수 있다.In step S70, an operation of manufacturing a mask may be performed. For example, in photolithography, optical proximity correction (OPC) for correcting distortion such as refraction caused by characteristics of light may be applied to the layout data D15. Patterns on the mask may be defined to form patterns disposed on a plurality of layers based on the data to which OPC is applied, and at least one mask (or photomask) for forming patterns of each of the plurality of layers may be manufactured. can In some embodiments, the layout of the integrated circuit (IC) may be limitedly modified in step S60, and the limited modification of the integrated circuit (IC) in step S70 is performed after optimizing the structure of the integrated circuit (IC). As a process, it may be referred to as design polishing.

단계 S90에서, 집적 회로(IC)를 제조(fabricating)하는 동작이 수행될 수 있다. 예를 들면, 단계 S70에서 제작된 적어도 하나의 마스크를 사용하여 복수의 층들이 패터닝됨으로써 집적 회로(IC)가 제조될 수 있다. FEOL(front-end-of-line) 은, 예컨대 웨이퍼를 평탄화(planarization)하고 세정(cleaning)하는 단계, 트랜치(trench)를 형성하는 단계, 웰(well)을 형성하는 단계, 게이트(gate) 전극을 형성하는 단계, 소스 및 드레인을 형성하는 단계를 포함할 수 있고, FEOL에 의해서, 개별 소자들, 예컨대 트랜지스터, 캐패시터, 저항 등이 기판에 형성될 수 있다. 또한, BEOL(back-end-of-line)은, 예컨대 게이트, 소스 및 드레인 영역을 실리사이드화(silicidation)하는 단계, 유전체를 부가하는 단계, 평탄화 단계, 홀을 형성하는 단계, 금속층을 부가하는 단계, 비아를 형성하는 단계, 패시베이션(passivation)층을 형성하는 단계 등을 포함할 수 있고, BEOL에 의해서, 개별 소자들, 예컨대 트랜지스터, 캐패시터, 저항 등이 상호연결될 수 있다. 일부 실시예들에서, FEOL 및 BEOL 사이에 MOL(middle-of line)이 수행될 수 있고, 개별 소자들 상에 컨택들이 형성될 수 있다. 그 다음에, 집적 회로(IC)는 반도체 패키지에 패키징될 수 있고, 다양한 어플리케이션들의 부품으로서 사용될 수 있다. In step S90, an operation of fabricating an integrated circuit (IC) may be performed. For example, an integrated circuit (IC) may be manufactured by patterning a plurality of layers using the at least one mask manufactured in operation S70 . FEOL (front-end-of-line) includes, for example, planarization and cleaning of a wafer, forming trenches, forming wells, gate electrodes and forming a source and a drain, and by FEOL, individual devices, such as transistors, capacitors, resistors, and the like, can be formed on a substrate. Also, back-end-of-line (BEOL) can include, for example, silicidation of the gate, source and drain regions, adding a dielectric, planarizing, forming holes, adding a metal layer. , forming a via, forming a passivation layer, and the like, and by BEOL, individual devices such as transistors, capacitors, resistors, etc. may be interconnected. In some embodiments, a middle-of line (MOL) may be performed between the FEOL and the BEOL, and contacts may be formed on the individual devices. The integrated circuit (IC) can then be packaged in a semiconductor package and used as a component in various applications.

도 11은 본 개시의 예시적 실시예에 따른 집적 회로를 설계하는 방법을 나타내는 순서도이다. 구체적으로 도 11의 순서도는 도 10의 단계 S50의 예시를 나타낸다. 도 10를 참조하여 전술된 바와 같이, 도 11의 단계 S50'에서 셀들의 핀들이 라우팅될 수 있다. 도 11에 도시된 바와 같이, 단계 S50'은 복수의 단계들(S52, S54, S56)을 포함할 수 있다.11 is a flowchart illustrating a method of designing an integrated circuit according to an exemplary embodiment of the present disclosure. Specifically, the flowchart of FIG. 11 shows an example of step S50 of FIG. 10 . As described above with reference to FIG. 10 , pins of cells may be routed in step S50 ′ of FIG. 11 . 11 , step S50 ′ may include a plurality of steps S52 , S54 , and S56 .

도 11을 참조하면, 단계 S52에서 하위 패턴이 연장될 수 있다. 일부 실시예들에서, 도 5a, 도 7a 및 도 7b를 참조하여 전술된 바와 같이, 셀의 핀이 인접 셀과의 경계에 근접하도록 X축 방향으로 연장될 수 있다. 일부 실시예들에서, 핀은 동일한 트랙에서 연장되는 인접 셀의 하위 패턴과 설계 규칙에 의한 최소 거리 이상 이격되도록 연장될 수 있다. 일부 실시예들에서, 핀은 인접 셀의 내부로 연장될 수 있고, 셀들 사이 경계와 교차할 수 있다. 단계 S52의 예시가 도 13를 참조하여 후술될 것이다.Referring to FIG. 11 , the lower pattern may be extended in step S52. In some embodiments, as described above with reference to FIGS. 5A , 7A and 7B , a fin of a cell may extend in the X-axis direction to proximate a boundary with an adjacent cell. In some embodiments, the fin may extend to be spaced apart from a sub-pattern of an adjacent cell extending in the same track by at least a minimum distance according to a design rule. In some embodiments, the fin may extend into the interior of an adjacent cell and may intersect a boundary between cells. An example of step S52 will be described later with reference to FIG. 13 .

단계 S54에서, 복수의 핀 액세스 포인트들 중 하나에 비아가 배치될 수 있다. 셀에 포함된 핀들은 적어도 하나의 핀 액세스 포인트를 가질 수 있다. 또한, 단계 S52에서 연장된 핀은 연장된 부분에서 적어도 하나의 핀 액세스 포인트를 추가적으로 가질 수 있다. 이에 따라, 연장된 부분을 포함하는 복수의 핀 액세스 포인트들 중 하나에 비아가 배치될 수 있다. 그 다음에 단계 S56에서, 상위 패턴이 생성될 수 있다. 예를 들면, 단계 S54에서 배치된 비아에 연결되고 Y축 방향으로 연장되는 상위 패턴이 생성될 수 있다.In operation S54, a via may be disposed in one of the plurality of pin access points. Pins included in the cell may have at least one pin access point. In addition, the extended pin in step S52 may additionally have at least one pin access point in the extended portion. Accordingly, the via may be disposed in one of the plurality of pin access points including the extended portion. Then, in step S56, a higher-order pattern may be generated. For example, an upper pattern connected to the via disposed in step S54 and extending in the Y-axis direction may be generated.

도 12은 본 개시의 예시적 실시예에 따른 집적 회로의 레이아웃을 나타내는 평면도이다. 구체적으로, 도 12의 평면도는 상호 인접한 제1 및 제2 AOI22 셀(C111, C112)을 포함하는 레이아웃을 나타낸다. 도 12에 도시된 바와 같이, 제1 및 제2 AOI22 셀(C111, C112)은 동일한 행에서 상호 인접하게 배치될 수 있다. 도 12에 도시된 바와 같이, 하위 패턴들은 M1 층의 제1 내지 제5 트랙(T11 내지 T15)을 따라서 X축 방향으로 연장될 수 있고, 상위 패턴들은 M2 층의 제1 내지 제36 트랙(T21 내지 T36)을 따라서 Y축 방향으로 연장될 수 있다.12 is a plan view illustrating a layout of an integrated circuit according to an exemplary embodiment of the present disclosure. Specifically, the plan view of FIG. 12 shows a layout including first and second AOI22 cells C111 and C112 adjacent to each other. 12 , the first and second AOI22 cells C111 and C112 may be disposed adjacent to each other in the same row. 12 , the lower patterns may extend in the X-axis direction along the first to fifth tracks T11 to T15 of the M1 layer, and the upper patterns may include the first to 36th tracks T21 of the M2 layer. to T36) in the Y-axis direction.

제1 및 제2 AOI22 셀(C111, C112)은 단축된 핀을 포함할 수 있다. 예를 들면, 제1 AOI22 셀(C111)은 도 3의 입력 핀(B0)보다 단축된 입력 핀(B0)을 포함할 수 있고, 도 12의 입력 핀(B0)에서 2개의 핀 액세스 포인트들이 유지될 수 있다. 또한, 제2 AOI22 셀(C112)은 도 3의 입력 핀(B0')보다 단축된 입력 핀(B0')을 포함할 수 있고, 도 12의 입력 핀(B0')에서 2개의 핀 액세스 포인트들이 유지될 수 있다. 이에 따라, 입력 핀(B0)은 경계(E)로부터 제4 거리(D4)만큼 이격될 수 있고, 입력 핀(B0') 역시 경계(E)로부터 제4 거리(D4)만큼 이격될 수 있다.The first and second AOI22 cells C111 and C112 may include shortened pins. For example, the first AOI22 cell C111 may include an input pin B0 shorter than the input pin B0 of FIG. 3 , and two pin access points are maintained at the input pin B0 of FIG. 12 . can be In addition, the second AOI22 cell C112 may include an input pin B0' shorter than the input pin B0' of FIG. 3, and two pin access points at the input pin B0' of FIG. can be maintained Accordingly, the input pin B0 may be spaced apart from the boundary E by a fourth distance D4, and the input pin B0' may also be spaced apart from the boundary E by a fourth distance D4.

도 11을 참조하여 전술된 바와 같이, 추가적인 핀 액세스 포인트의 확보를 위하여 핀이 연장될 수 있고, 셀은 인접 셀의 핀의 연장을 방해하지 아니하도록 단축된 핀을 포함할 수 있다. 예를 들면, 도 10의 단계 S30에서 도 12에 도시된 바와 같이, 제1 및 제2 AOI22 셀(C111, C112)이 배치될 수 있고, 제1 및 제2 AOI22 셀(C111, C112)은 도 11의 단계 S52에서 도 12의 입력 핀들(B0', B1)이 연장됨으로써, 도 5a의 제1 및 제2 AOI22 셀(C41, C42)과 같이 변형될 수 있다.As described above with reference to FIG. 11 , the pin may be extended to secure an additional pin access point, and the cell may include a shortened pin so as not to interfere with the extension of the pin of an adjacent cell. For example, as shown in FIG. 12 in step S30 of FIG. 10 , the first and second AOI22 cells C111 and C112 may be disposed, and the first and second AOI22 cells C111 and C112 are illustrated in FIG. By extending the input pins B0 ′ and B1 of FIG. 12 in step S52 of FIG. 11 , they may be modified like the first and second AOI22 cells C41 and C42 of FIG. 5A .

도 13는 본 개시의 예시적 실시예에 따른 집적 회로를 설계하는 방법을 나타내는 순서도이다. 구체적으로, 도 13의 순서도는 도 11의 단계 S52의 예시를 나타낸다. 도 11을 참조하여 전술된 바와 같이, 도 13의 단계 S52'에서 하위 패턴이 연장될 수 있다. 도 13에 도시된 바와 같이, 단계 S52'는 단계 S52_2 및 단계 S52_4를 포함할 수 있다.13 is a flowchart illustrating a method of designing an integrated circuit according to an exemplary embodiment of the present disclosure. Specifically, the flowchart of FIG. 13 shows an example of step S52 of FIG. 11 . As described above with reference to FIG. 11 , the sub-pattern may be extended in step S52 ′ of FIG. 13 . 13 , step S52' may include steps S52_2 and S52_4.

도 13를 참조하면, 단계 S52_2에서 하위 패턴들이 병합될 수 있다. 예를 들면, 인접하게 배치된 셀들에 각각 포함되고 동일한 트랙 상에서 연장되는 하위 패턴들이 연장됨으로써 병합될 수 있다.Referring to FIG. 13 , sub-patterns may be merged in step S52_2. For example, sub-patterns each included in cells arranged adjacently and extending on the same track may be merged by extending.

단계 S52_4에서, 병합된 하위 패턴에 컷(cut)이 배치될 수 있다. 컷은 병합된 하위 패턴을 분리할 수 있고, 컷에 의해서 분리된 하위 패턴들은 하위 배선층, 즉 M1 층의 동일 트랙내 최소 이격 거리만큼 상호 이격될 수 있다.In step S52_4, a cut may be arranged in the merged sub-pattern. The cut may separate the merged sub-patterns, and the sub-patterns separated by the cut may be spaced apart from each other by a minimum separation distance within the same track of the lower wiring layer, that is, the M1 layer.

도 14a 및 도 14b는 본 개시의 예시적 실시예들에 따른 집적 회로의 레이아웃의 예시들을 나타내는 평면도이다. 구체적으로, 도 14a의 평면도는 상호 인접한 제1 및 제2 AOI22 셀(C131, C132)에서 도 13의 단계 S52'가 수행되는 동작을 나타내고, 도 14b의 평면도는 상호 인접한 제1 및 제2 AOI22 셀(C133, C134)에서 도 13의 단계 S52'가 수행되는 동작을 나타낸다. 이하에서, 도 14a 및 도 14b에 대한 설명 중 상호 중복되는 내용은 생략될 것이다.14A and 14B are plan views illustrating examples of a layout of an integrated circuit according to exemplary embodiments of the present disclosure. Specifically, the plan view of FIG. 14A shows the operation in which step S52' of FIG. 13 is performed in first and second AOI22 cells C131 and C132 adjacent to each other, and the plan view of FIG. 14B shows first and second AOI22 cells C131 and C132 adjacent to each other. In steps C133 and C134, operation S52' of FIG. 13 is performed. Hereinafter, content overlapping with each other in the description of FIGS. 14A and 14B will be omitted.

도 14a를 참조하면, 제1 및 제2 AOI22 셀(C131, C132)은 동일한 행에서 상호 인접하게 배치될 수 있다. 도 14a에 도시된 바와 같이, 하위 패턴들은 M1 층의 제1 내지 제5 트랙(T11 내지 T15)을 따라서 X축 방향으로 연장될 수 있고, 상위 패턴들은 M2 층의 제1 내지 제36 트랙(T21 내지 T36)을 따라서 Y축 방향으로 연장될 수 있다.Referring to FIG. 14A , the first and second AOI22 cells C131 and C132 may be disposed adjacent to each other in the same row. As shown in FIG. 14A , the lower patterns may extend in the X-axis direction along the first to fifth tracks T11 to T15 of the M1 layer, and the upper patterns may include the first to 36th tracks T21 of the M2 layer. to T36) in the Y-axis direction.

제1 및 제2 AOI22 셀(C131, C132)이 배치된 후, 반도체 설계 툴은 제1 및 제2 AOI22 셀(C131, C132)의 하위 패턴들을 연장함으로써 병합할 수 있다. 예를 들면, 도 14a에 도시된 바와 같이, 제1 AOI22 셀(C131)의 입력 핀(B0) 및/또는 제2 AOI22 셀(C132)의 입력 핀(B0')이 연장될 수 있고, 제1 AOI22 셀(C131)의 입력 핀(B0) 및 제2 AOI22 셀(C132)의 입력 핀(B0')이 병합될 수 있다. 또한, 제1 AOI22 셀(C131)의 입력 핀(B1) 및/또는 제2 AOI22 셀(C132)의 입력 핀(B1')이 연장될 수 있고, 제1 AOI22 셀(C131)의 입력 핀(B1) 및 제2 AOI22 셀(C132)의 입력 핀(B1')이 병합될 수 있다.After the first and second AOI22 cells C131 and C132 are disposed, the semiconductor design tool may merge sub-patterns of the first and second AOI22 cells C131 and C132 by extending them. For example, as shown in FIG. 14A , the input pin B0 of the first AOI22 cell C131 and/or the input pin B0' of the second AOI22 cell C132 may extend, and the first The input pin B0 of the AOI22 cell C131 and the input pin B0' of the second AOI22 cell C132 may be merged. Also, the input pin B1 of the first AOI22 cell C131 and/or the input pin B1′ of the second AOI22 cell C132 may extend, and the input pin B1 of the first AOI22 cell C131 may be extended. ) and the input pin B1' of the second AOI22 cell C132 may be merged.

일부 실시예들에서, 하위 배선층의 컷이 병합된 하위 패턴에 배치될 수 있다. 예를 들면, 도 14a에 도시된 바와 같이, 제1 AOI22 셀(C131)의 입력 핀(B0) 및 제2 AOI22 셀(C132)의 입력 핀(B0')이 병합된 하위 패턴에서 제1 컷(X1)이 배치될 수 있고, 제1 AOI22 셀(C131)의 입력 핀(B1) 및 제2 AOI22 셀(C132)의 입력 핀(B1')이 병합된 하위 패턴에서 제2 컷(X2)이 배치될 수 있다. 일부 실시예들에서, 컷은 경계(E)에 정렬되지 아니할 수 있다. 예를 들면, 도 14a에 도시된 바와 같이, 제1 컷(X1)은 중심점이 경계(E)의 좌측에 있도록 배치될 수 있고, 이에 따라 제2 AOI22 셀(C132)의 입력 핀(B0')은 M2 층의 제9 트랙(T29)과 교차하는 지점에서 추가적인 핀 액세스 포인트를 가질 수 있다. 또한, 제2 컷(X2)은 중심점이 경계(E)의 우측에 있도록 배치될 수 있다. 이에 따라 제1 AOI22 셀(C131)의 입력 핀(B1)은 M2 층의 제8 트랙(T28)과 교차하는 지점에서 추가적인 핀 액세스 포인트를 가질 수 있다. 도 14a에 도시된 바와 같이, 제1 및 제2 컷(X1, X2)에 의해서 분리된 하위 패턴들은 제5 거리(D5)만큼 상호 이격될 수 있고, 제5 거리는 M1 층의 동일 트랙내 최소 이격 거리에 대응할 수 있다.In some embodiments, a cut of the lower wiring layer may be disposed in the merged lower pattern. For example, as shown in FIG. 14A , the first cut ( X1) may be disposed, and a second cut X2 is disposed in a lower pattern in which the input pin B1 of the first AOI22 cell C131 and the input pin B1' of the second AOI22 cell C132 are merged. can be In some embodiments, the cut may not be aligned with the boundary E. For example, as shown in FIG. 14A , the first cut X1 may be disposed such that the center point is to the left of the boundary E, and accordingly, the input pin B0' of the second AOI22 cell C132. may have an additional pin access point at a point that intersects the ninth track T29 of the M2 layer. In addition, the second cut X2 may be disposed so that the center point is on the right side of the boundary E. Accordingly, the input pin B1 of the first AOI22 cell C131 may have an additional pin access point at a point crossing the eighth track T28 of the M2 layer. As shown in FIG. 14A , the sub-patterns separated by the first and second cuts X1 and X2 may be spaced apart from each other by a fifth distance D5, and the fifth distance is the minimum spaced apart within the same track of the M1 layer. It can respond to distance.

도 14b를 참조하면, 제1 및 제2 AOI22 셀(C133, C134)은 동일한 행에서 상호 인접하게 배치될 수 있다. 일부 실시예들에서, 하위 배선층의 컷은 2이상의 병합된 하위 패턴들에 배치될 수 있다. 예를 들면, 도 14b에 도시된 바와 같이, 제1 AOI22 셀(C133)의 입력 핀(B0) 및 제2 AOI22 셀(C134)의 입력 핀(B0')이 병합된 하위 패턴, 그리고 제1 AOI22 셀(C133)의 입력 핀(B1) 및 제2 AOI22 셀(C134)의 입력 핀(B1')이 병합된 하위 패턴에서 제3 컷(X3)이 배치될 수 있다. 도 14b에 도시된 바와 같이, 제3 컷(X3)은 Y축 방향으로 연장될 수 있고, M1 층의 제3 및 제5 트랙(T13, T15)에서 연장되는 하위 패턴들을 분리할 수 있다. 도 14a에 도시된 바와 같이, 제3 컷(X3)에 의해서 분리된 하위 패턴들은 제6 거리(D6)만큼 상호 이격될 수 있고, 일부 실시예들에서 제6 거리(D6)는 도 14a의 제5 거리(D5)와 동일할 수 있다. 일부 실시예들에서, 반도체 설계 툴은, 제1 AOI22 셀(C133)의 입력 핀들(B0, B1)의 라우팅은 용이한 반면 제2 AOI22 셀(C134)의 입력 핀들(B0', B1')의 라우팅이 용이하지 아니한 경우, 도 14b에 도시된 바와 같이, 제3 컷(X3)을 통해 제2 AOI22 셀(C134)의 입력 핀들(B0', B1')의 핀 액세스 포인트들을 증가시킬 수 있다.Referring to FIG. 14B , the first and second AOI22 cells C133 and C134 may be disposed adjacent to each other in the same row. In some embodiments, the cut of the lower wiring layer may be disposed in two or more merged lower patterns. For example, as shown in FIG. 14B , a sub-pattern in which the input pin B0 of the first AOI22 cell C133 and the input pin B0' of the second AOI22 cell C134 are merged, and the first AOI22 A third cut X3 may be disposed in a lower pattern in which the input pin B1 of the cell C133 and the input pin B1 ′ of the second AOI22 cell C134 are merged. As shown in FIG. 14B , the third cut X3 may extend in the Y-axis direction, and may separate sub-patterns extending from the third and fifth tracks T13 and T15 of the M1 layer. As shown in FIG. 14A , the sub-patterns separated by the third cut X3 may be spaced apart from each other by a sixth distance D6, and in some embodiments, the sixth distance D6 may be the sixth distance D6 of FIG. 14A . 5 may be equal to the distance D5. In some embodiments, the semiconductor design tool is configured to facilitate routing of the input pins B0, B1 of the first AOI22 cell C133, while routing the input pins B0', B1' of the second AOI22 cell C134 When routing is not easy, as shown in FIG. 14B , pin access points of the input pins B0' and B1' of the second AOI22 cell C134 may be increased through the third cut X3.

도 15는 본 개시의 예시적 실시예에 따른 집적 회로를 설계하는 방법을 나타내는 순서도이다. 구체적으로, 도 15의 순서도는 도 10의 단계 S30 및 단계 S50의 예시를 나타낸다. 도 10를 참조하여 전술된 바와 같이, 도 15의 단계 S30'에서 셀들이 배치될 수 있고, 도 15의 단계 S50"에서 셀들의 핀들이 라우팅될 수 있다. 도 15에 도시된 바와 같이, 단계 S30'은 단계 S32 및 단계 S34를 포함할 수 있고, 단계 S50"은 단계 S52', 단계 S54' 및 단계 S56'을 포함할 수 있다.15 is a flowchart illustrating a method of designing an integrated circuit according to an exemplary embodiment of the present disclosure. Specifically, the flowchart of FIG. 15 shows examples of steps S30 and S50 of FIG. 10 . As described above with reference to Fig. 10, the cells may be placed in step S30' of Fig. 15, and the pins of the cells may be routed at step S50'' of Fig. 15. As shown in Fig. 15, the cells may be routed at step S30 ' may include steps S32 and S34, and step S50" may include steps S52', S54' and S56'.

도 15를 참조하면 단계 S32에서, 기능 셀이 배치될 수 있다. 기능 셀은 도 10의 네트리스트(D13)에서 사용되는 셀을 지칭할 수 있다. 반도체 설계 툴은, 일련의 행들 중 하나에 단일 높이 셀을 배치할 수 있고, 연속적인 2이상의 행들에 다중 높이 셀을 배치할 수 있다.Referring to FIG. 15 , in step S32, a function cell may be disposed. The functional cell may refer to a cell used in the netlist D13 of FIG. 10 . A semiconductor design tool may place a single height cell in one of a series of rows and a multi-height cell in two or more consecutive rows.

단계 S34에서, 필러 셀이 기능 셀에 인접하게 배치될 수 있다. 도 6 등을 참조하여 전술된 바와 같이, 기능 셀의 핀들을 라우팅하기 위하여 필러 셀이 기능 셀들 사이에 삽입될 수 있다. 도 7a 내지 도 7c를 참조하여 전술된 바와 같이, 기능 셀의 양측에 배치가능한 필러 셀들 중 라우팅에 보다 유리한 필러 셀이 배치될 수 있다. 단계 S34의 예시가 도 16를 참조하여 후술될 것이다.In step S34, a filler cell may be disposed adjacent to the functional cell. As described above with reference to FIG. 6 and the like, a filler cell may be inserted between the functional cells to route the pins of the functional cell. As described above with reference to FIGS. 7A to 7C , a filler cell more advantageous for routing may be disposed among the deployable filler cells on both sides of the functional cell. An example of step S34 will be described later with reference to FIG. 16 .

단계 S52'에서, 하위 패턴이 필러 셀의 내부로 연장될 수 있다. 예를 들면, 반도체 설계 툴은 기능 셀의 적어도 하나의 핀을 필러 셀의 내부로 연장할 수 있고, 연장된 핀은 기능 셀 및 필러 셀의 경계를 통과할 수 있다.In step S52', the sub-pattern may extend into the filler cell. For example, the semiconductor design tool may extend at least one fin of the functional cell into the interior of the filler cell, and the extended fin may pass through a boundary between the functional cell and the filler cell.

단계 S54'에서, 복수의 핀 액세스 포인트들 중 하나에 비아가 배치될 수 있다. 셀에 포함된 핀들은 적어도 하나의 핀 액세스 포인트를 가질 수 있다. 또한, 단계 S52'에서 연장된 핀은 연장된 부분에서 적어도 하나의 핀 액세스 포인트를 추가적으로 가질 수 있다. 이에 따라, 연장된 부분을 포함하는 복수의 핀 액세스 포인트들 중 하나에 비아가 배치될 수 있다. 그 다음에 단계 S56'에서, 상위 패턴이 생성될 수 있다. 예를 들면, 단계 S54'에서 배치된 비아에 연결되는 상위 패턴이 생성될 수 있다.In step S54', a via may be disposed in one of the plurality of pin access points. Pins included in the cell may have at least one pin access point. In addition, the extended pin in step S52' may additionally have at least one pin access point in the extended portion. Accordingly, the via may be disposed in one of the plurality of pin access points including the extended portion. Then, in step S56', a higher-order pattern can be generated. For example, an upper pattern connected to the via disposed in step S54' may be generated.

도 16는 본 개시의 예시적 실시예에 따른 집적 회로를 설계하는 방법을 나타내는 순서도이다. 구체적으로, 도 16의 순서도는 도 15의 단계 S34의 예시를 나타낸다. 도 15를 참조하여 전술된 바와 같이, 도 16의 단계 S34'에서 필러 셀이 기능 셀에 인접하게 배치될 수 있다. 도 16에 도시된 바와 같이, 단계 S34'는 단계 S34_2 및 단계 S34_4를 포함할 수 있다. 일부 실시예들에서, 단계 S34'는 단계 S34_2 및 단계 S34_4 중 하나만을 포함할 수도 있다.16 is a flowchart illustrating a method of designing an integrated circuit according to an exemplary embodiment of the present disclosure. Specifically, the flowchart of FIG. 16 shows an example of step S34 of FIG. 15 . As described above with reference to FIG. 15 , a filler cell may be disposed adjacent to the functional cell in step S34 ′ of FIG. 16 . As shown in FIG. 16 , step S34' may include steps S34_2 and S34_4. In some embodiments, step S34' may include only one of steps S34_2 and S34_4.

도 16를 참조하면 단계 S34_2에서, 보다 많은 핀 액세스 포인트들을 제공하는 필러 셀이 배치될 수 있다. 예를 들면, 도 7a 내지 도 7c를 참조하여 전술된 바와 같이, 기능 셀의 양측에 배치가능한 2개의 필러 셀들은 상이한 수의 추가적인 핀 액세스 포인트들을 제공할 수 있다. 반도체 설계 툴은 2개의 필러 셀들 중 보다 많은 핀 액세스 포인트들을 제공하는 필러 셀을 판정할 수 있고, 판정된 필러 셀을 기능 셀에 인접하게 배치할 수 있다. 일부 실시예들에서, 반도체 설계 툴은 배치시 내부에서 추가적인 핀 액세스 포인트를 발생시키는 필러 셀을 판정하고 배치할 수 있다.Referring to FIG. 16 , in step S34_2, a filler cell providing more pin access points may be disposed. For example, as described above with reference to FIGS. 7A-7C , two pillar cells deployable on either side of a functional cell may provide a different number of additional pin access points. The semiconductor design tool may determine which of the two pillar cells provides more pin access points, and may place the determined pillar cell adjacent to the functional cell. In some embodiments, the semiconductor design tool may determine and place a pillar cell that generates an additional pin access point therein upon placement.

단계 S34_4에서, 기능 셀의 속성에 기초하여 필러 셀이 배치될 수 있다. 예를 들면, 도 7a 내지 도 7c를 참조하여 전술된 바와 같이, 보다 많은 핀 액세스 포인트들을 제공하는 필러 셀은, 기능 셀(또는 기능 셀에 포함된 게이트 전극들) 및 상위 배선층의 트랙들 사이 상대적인 위치에 따라 결정될 수 있고, 이에 따라 보다 많은 핀 액세스 포인트들을 제공하는 필러 셀을 위한 조건이 미리 정의될 수 있다. 예를 들면, 도 7a 및 도 7b에 도시된 바와 같이, 기능 셀의 양 경계들 중 상위 배선층의 트랙과 교차하지 아니하는 경계에 인접하게 배치되는 필러 셀이 유리할 수 있고, 반도체 설계 툴은 미리 정의된 조건을 참조하여 필러 셀을 배치할 수 있다.In step S34_4, a filler cell may be arranged based on the attribute of the functional cell. For example, as described above with reference to FIGS. 7A-7C , a filler cell that provides more pin access points is a function cell (or gate electrodes included in a function cell) and a relative between the tracks of the upper wiring layer. It may be determined according to the location, and thus a condition for a filler cell that provides more pin access points may be predefined. For example, as shown in FIGS. 7A and 7B , a filler cell disposed adjacent to a boundary that does not intersect a track of an upper wiring layer among both boundaries of the functional cell may be advantageous, and the semiconductor design tool may be pre-defined Filler cells can be arranged with reference to the condition.

일부 실시예들에서, 도 7a의 AOI22 셀(C62)과 같이, 기능 셀은 상위 패턴을 포함할 수 있다. 이에 따라 기능 셀 및 상위 배선층의 트랙들 사이 상대적인 위치가 고정될 수 있고, 보다 유리한 필러 셀의 위치 역시 고정될 수 있다. 일부 실시예들에서, 도 10의 셀 라이브러리(D12)는 셀의 속성(예컨대, 명칭 등)에 필러 셀의 위치를 나타내는 정보를 포함할 수 있고, 반도체 설계 툴은 이를 참조하여 필러 셀을 배치할 수 있다. 또한, 일부 실시예들에서, 반도체 설게 툴이 셀 배치시 참조하는 파일은 필러 셀의 위치에 따라 기능 셀들을 그룹핑한 정보를 포함할 수 있다.In some embodiments, such as AOI22 cell C62 of FIG. 7A , the functional cell may include an upper pattern. Accordingly, the relative position between the tracks of the functional cell and the upper wiring layer can be fixed, and the position of the more advantageous filler cell can also be fixed. In some embodiments, the cell library D12 of FIG. 10 may include information indicating the location of the filler cell in a property (eg, name, etc.) of the cell, and the semiconductor design tool may refer to this to place the filler cell. can Also, in some embodiments, the file referenced by the semiconductor design tool when arranging cells may include information on grouping functional cells according to the positions of the filler cells.

도 17은 본 개시의 예시적 실시예에 따른 시스템-온-칩(system on chip; SoC)(160)을 나타내는 블록도이다. 시스템-온-칩(160)은 반도체 장치로서, 본 개시의 예시적 실시예에 따른 집적 회로를 포함할 수 있다. 시스템-온-칩(160)은, 다양한 기능을 수행하는 IP(intellectual property)와 같이 복잡한 기능 블록들을 하나의 칩에 구현한 것으로서, 본 개시의 예시적 실시예들에 따른 집적 회로를 설계하는 방법에 의해서 시스템-온-칩(160)이 설계될 수 있고, 이에 따라 감소된 라우팅 혼잡에 기인하여 높은 성능 및 효율성을 제공하는 시스템-온-칩(160)이 달성될 수 있다. 도 17를 참조하면, 시스템-온-칩(160)은 모뎀(162), 디스플레이 컨트롤러(163), 메모리(164), 외부 메모리 컨트롤러(165), CPU(central processing unit)(166), 트랜잭션 유닛(167), PMIC(168) 및 GPU(graphic processing unit)(169)을 포함할 수 있고, 시스템-온-칩(160)의 각 기능 블록들은 시스템 버스(161)를 통해서 서로 통신할 수 있다.17 is a block diagram illustrating a system on chip (SoC) 160 according to an exemplary embodiment of the present disclosure. The system-on-chip 160 is a semiconductor device and may include an integrated circuit according to an exemplary embodiment of the present disclosure. The system-on-chip 160 implements complex functional blocks such as intellectual property (IP) that perform various functions in one chip, and is a method of designing an integrated circuit according to exemplary embodiments of the present disclosure. Thus, the system-on-chip 160 can be designed, and thus the system-on-chip 160 providing high performance and efficiency due to reduced routing congestion can be achieved. Referring to FIG. 17 , the system-on-chip 160 includes a modem 162 , a display controller 163 , a memory 164 , an external memory controller 165 , a central processing unit (CPU) 166 , and a transaction unit. 167 , a PMIC 168 , and a graphic processing unit (GPU) 169 , and respective functional blocks of the system-on-chip 160 may communicate with each other through a system bus 161 .

시스템-온-칩(160)의 동작을 최상위 계층에서 제어할 수 있는 CPU(166)는 다른 기능 블록들(162 내지 169)의 동작을 제어할 수 있다. 모뎀(162)은 시스템-온-칩(160) 외부로부터 수신되는 신호를 복조(demodulation)하거나, 시스템-온-칩(160) 내부에서 생성된 신호를 변조(modulation)하여 외부로 송신할 수 있다. 외부 메모리 컨트롤러(165)는 시스템-온-칩(160)에 연결된 외부 메모리 장치로부터 데이터를 송수신하는 동작을 제어할 수 있다. 예를 들면, 외부 메모리 장치에 저장된 프로그램 및/또는 데이터는 외부 메모리 컨트롤러(165)의 제어 하에서 CPU(166) 또는 GPU(169)에 제공될 수 있다. GPU(169)는 그래픽 처리와 관련된 프로그램 명령(instruction)들을 실행할 수 있다. GPU(169)는 외부 메모리 컨트롤러(165)를 통해서 그래픽 데이터를 수신할 수도 있고, GPU(169)에 의해서 처리된 그래픽 데이터를 외부 메모리 컨트롤러(165)를 통해서 시스템-온-칩(160) 외부로 전송할 수도 있다. 트랜잭션 유닛(167)은 각 기능 블록들의 데이터 트랜잭션을 모니터링할 수 있고, PMIC(168)는 트랜잭션 유닛(167)의 제어에 따라 각 기능 블록으로 공급되는 전력을 제어할 수 있다. 디스플레이 컨트롤러(163)는 시스템-온-칩(160) 외부의 디스플레이(또는 디스플레이 장치)를 제어함으로써 시스템-온-칩(160) 내부에서 생성된 데이터를 디스플레이에 전송할 수 있다. 메모리(164)는, EEPROM(Electrically Erasable Programmable Read-Only Memory), 플래시 메모리(flash memory) 등과 같은 비휘발성 메모리를 포함할 수도 있고, DRAM(Dynamic Random Access Memory), SRAM(Static Random Access Memory) 등과 같은 휘발성 메모리를 포함할 수도 있다.The CPU 166 capable of controlling the operation of the system-on-chip 160 in the uppermost layer may control the operation of other functional blocks 162 to 169 . The modem 162 may demodulate a signal received from the outside of the system-on-chip 160 or modulate a signal generated inside the system-on-chip 160 and transmit it to the outside. . The external memory controller 165 may control an operation of transmitting and receiving data from an external memory device connected to the system-on-chip 160 . For example, programs and/or data stored in the external memory device may be provided to the CPU 166 or the GPU 169 under the control of the external memory controller 165 . The GPU 169 may execute program instructions related to graphics processing. The GPU 169 may receive graphic data through the external memory controller 165 , and transfer graphic data processed by the GPU 169 to the outside of the system-on-chip 160 through the external memory controller 165 . can also be transmitted. The transaction unit 167 may monitor data transactions of each functional block, and the PMIC 168 may control power supplied to each functional block according to the control of the transaction unit 167 . The display controller 163 may transmit data generated inside the system-on-chip 160 to the display by controlling a display (or a display device) external to the system-on-chip 160 . The memory 164 may include a non-volatile memory such as an electrically erasable programmable read-only memory (EEPROM), a flash memory, and the like, a dynamic random access memory (DRAM), a static random access memory (SRAM), and the like. It may include the same volatile memory.

도 18는 본 개시의 예시적 실시예에 따른 프로그램을 저장하는 메모리를 포함하는 컴퓨팅 시스템(170)을 나타내는 블록도이다. 본 개시의 예시적 실시예들에 따른, 집적 회로를 설계하는 방법, 예컨대 도 10의 방법에 포함된 단계들 중 적어도 일부는 컴퓨팅 시스템(또는 컴퓨터)(170)에서 수행될 수 있다.18 is a block diagram illustrating a computing system 170 including a memory for storing a program according to an exemplary embodiment of the present disclosure. At least some of the steps included in the method of designing an integrated circuit, for example, the method of FIG. 10 according to example embodiments of the present disclosure, may be performed in the computing system (or computer) 170 .

컴퓨팅 시스템(170)은 데스크탑 컴퓨터, 워크스테이션, 서버 등과 같이 고정형 컴퓨팅 시스템일 수도 있고, 랩탑 컴퓨터 등과 같이 휴대형 컴퓨팅 시스템일 수도 있다. 도 18에 도시된 바와 같이, 컴퓨팅 시스템(170)은 프로세서(171), 입출력 장치들(172), 네트워크 인터페이스(173), RAM(random access memory)(174), ROM(read only memory)(175) 및 저장 장치(176)를 포함할 수 있다. 프로세서(171), 입출력 장치들(172), 네트워크 인터페이스(173), RAM(174), ROM(175) 및 저장 장치(176)는 버스(177)에 연결될 수 있고, 버스(177)를 통해서 서로 통신할 수 있다.Computing system 170 may be a stationary computing system, such as a desktop computer, workstation, server, or the like, or a portable computing system, such as a laptop computer. 18 , the computing system 170 includes a processor 171 , input/output devices 172 , a network interface 173 , a random access memory (RAM) 174 , and a read only memory (ROM) 175 . ) and a storage device 176 . The processor 171 , the input/output devices 172 , the network interface 173 , the RAM 174 , the ROM 175 , and the storage device 176 may be coupled to the bus 177 and each other via the bus 177 . can communicate.

프로세서(171)는 프로세싱 유닛으로 지칭될 수 있고, 예컨대 마이크로프로세서(micro-processor), AP(application processor), DSP(digital signal processor), GPU(graphic processing unit)과 같이 임의의 명령어 세트(예컨대, IA-32(Intel Architecture-32), 64 비트 확장 IA-32, x86-64, PowerPC, Sparc, MIPS, ARM, IA-64 등)를 실행할 수 있는 적어도 하나의 코어를 포함할 수 있다. 예를 들면, 프로세서(171)는 버스(177)를 통해서 메모리, 즉 RAM(174) 또는 ROM(175)에 액세스할 수 있고, RAM(174) 또는 ROM(175)에 저장된 명령어들을 실행할 수 있다. The processor 171 may be referred to as a processing unit, and may be any instruction set (eg, a micro-processor), an application processor (AP), a digital signal processor (DSP), or a graphic processing unit (GPU). at least one core capable of running IA-32 (Intel Architecture-32), 64-bit extended IA-32, x86-64, PowerPC, Sparc, MIPS, ARM, IA-64, etc.). For example, processor 171 may access memory, ie, RAM 174 or ROM 175 , via bus 177 , and execute instructions stored in RAM 174 or ROM 175 .

RAM(174)은 본 개시의 예시적 실시예에 따른 집적 회로를 설계하는 방법을 위한 프로그램(174_1) 또는 그것의 적어도 일부를 저장할 수 있고, 프로그램(174_1)은 프로세서(171)로 하여금, 집적 회로를 설계하는 방법, 예컨대 도 10의 방법에 포함되는 단계들 중 적어도 일부를 수행하도록 할 수 있다. 즉, 프로그램(174_1)은 프로세서(171)에 의해서 실행 가능한 복수의 명령어들을 포함할 수 있고, 프로그램(174_1)에 포함된 복수의 명령어들은 프로세서(171)로 하여금, 예컨대 도 10의 순서도에 포함된 단계들 중 적어도 일부를 수행하도록 할 수 있다.The RAM 174 may store a program 174_1 or at least a portion thereof for a method of designing an integrated circuit according to an exemplary embodiment of the present disclosure, and the program 174_1 causes the processor 171 to: At least some of the steps included in the method of designing, for example, the method of FIG. 10 may be performed. That is, the program 174_1 may include a plurality of instructions executable by the processor 171 , and the plurality of instructions included in the program 174_1 causes the processor 171 to, for example, be included in the flowchart of FIG. 10 . at least some of the steps may be performed.

저장 장치(176)는 컴퓨팅 시스템(170)에 공급되는 전력이 차단되더라도 저장된 데이터를 소실하지 아니할 수 있다. 예를 들면, 저장 장치(176)는 비휘발성 메모리 장치를 포함할 수도 있고, 자기 테이프, 광학 디스크, 자기 디스크와 같은 저장 매체를 포함할 수도 있다. 또한, 저장 장치(176)는 컴퓨팅 시스템(170)으로부터 탈착 가능할 수도 있다. 저장 장치(176)는 본 개시의 예시적 실시예에 따른 프로그램(174_1)을 저장할 수도 있으며, 프로그램(174_1)이 프로세서(171)에 의해서 실행되기 이전에 저장 장치(176)로부터 프로그램(174_1) 또는 그것의 적어도 일부가 RAM(174)으로 로딩될 수 있다. 다르게는, 저장 장치(176)는 프로그램 언어로 작성된 파일을 저장할 수 있고, 파일로부터 컴파일러 등에 의해서 생성된 프로그램(174_1) 또는 그것의 적어도 일부가 RAM(174)으로 로딩될 수 있다. 또한, 도 18에 도시된 바와 같이, 저장 장치(176)는 데이터베이스(176_1)를 저장할 수 있고, 데이터베이스(176_1)는 집적 회로를 설계하는데 필요한 정보, 예컨대 도 10의 셀 라이브러리(D12) 및/또는 설계 규칙(D14)을 포함할 수 있다.The storage device 176 may not lose stored data even if the power supplied to the computing system 170 is cut off. For example, the storage device 176 may include a non-volatile memory device, and may include a storage medium such as a magnetic tape, an optical disk, or a magnetic disk. Also, the storage device 176 may be removable from the computing system 170 . The storage device 176 may store the program 174_1 according to an exemplary embodiment of the present disclosure, and the program 174_1 or the program 174_1 from the storage device 176 before the program 174_1 is executed by the processor 171 . At least a portion of it may be loaded into RAM 174 . Alternatively, the storage device 176 may store a file written in a programming language, and the program 174_1 generated from the file by a compiler or the like or at least a part thereof may be loaded into the RAM 174 . Also, as shown in FIG. 18 , the storage device 176 may store a database 176_1 , and the database 176_1 includes information necessary for designing an integrated circuit, such as the cell library D12 and/or the cell library D12 of FIG. 10 . It may include a design rule (D14).

저장 장치(176)는 프로세서(171)에 의해서 처리될 데이터 또는 프로세서(171)에 의해서 처리된 데이터를 저장할 수도 있다. 즉, 프로세서(171)는 프로그램(174_1)에 따라, 저장 장치(176)에 저장된 데이터를 처리함으로써 데이터를 생성할 수 있고, 생성된 데이터를 저장 장치(176)에 저장할 수도 있다. 예를 들면, 저장 장치(176)는, 도 10의 RTL 데이터(D11), 네트리스트(D13) 및/또는 레이아웃 데이터(D15)를 저장할 수 있다.The storage device 176 may store data to be processed by the processor 171 or data processed by the processor 171 . That is, the processor 171 may generate data by processing data stored in the storage device 176 according to the program 174_1 , and may store the generated data in the storage device 176 . For example, the storage device 176 may store the RTL data D11, the netlist D13, and/or the layout data D15 of FIG. 10 .

입출력 장치들(172)은 키보드, 포인팅 장치 등과 같은 입력 장치를 포함할 수 있고, 디스플레이 장치, 프린터 등과 같은 출력 장치를 포함할 수 있다. 예를 들면, 사용자는 입출력 장치들(172)을 통해서, 프로세서(171)에 의해 프로그램(174_1)의 실행을 트리거할 수도 있고, 도 10의 RTL 데이터(D11) 및/또는 네트리스트(D13)를 입력할 수도 있으며, 도 10의 레이아웃 데이터(D15)를 확인할 수도 있다.The input/output devices 172 may include an input device such as a keyboard and a pointing device, and may include an output device such as a display device and a printer. For example, the user may trigger the execution of the program 174_1 by the processor 171 through the input/output devices 172 , and read the RTL data D11 and/or the netlist D13 of FIG. 10 . It may be input or the layout data D15 of FIG. 10 may be checked.

네트워크 인터페이스(173)는 컴퓨팅 시스템(170) 외부의 네트워크에 대한 액세스를 제공할 수 있다. 예를 들면, 네트워크는 다수의 컴퓨팅 시스템들 및 통신 링크들을 포함할 수 있고, 통신 링크들은 유선 링크들, 광학 링크들, 무선 링크들 또는 임의의 다른 형태의 링크들을 포함할 수 있다.Network interface 173 may provide access to a network external to computing system 170 . For example, a network may include a number of computing systems and communication links, and the communication links may include wired links, optical links, wireless links, or any other type of links.

이상에서와 같이 도면과 명세서에서 예시적인 실시예들이 개시되었다. 본 명세서에서 특정한 용어를 사용하여 실시예들을 설명되었으나, 이는 단지 본 개시의 기술적 사상을 설명하기 위한 목적에서 사용된 것이지 의미 한정이나 특허청구범위에 기재된 본 개시의 범위를 제한하기 위하여 사용된 것은 아니다. 그러므로 본 기술분야의 통상의 지식을 가진 자라면 이로부터 다양한 변형 및 균등한 타 실시예가 가능하다는 점을 이해할 것이다.Exemplary embodiments have been disclosed in the drawings and specification as described above. Although the embodiments have been described using specific terms in the present specification, these are used only for the purpose of explaining the technical idea of the present disclosure and not used to limit the meaning or the scope of the present disclosure described in the claims. . Therefore, it will be understood by those skilled in the art that various modifications and equivalent other embodiments are possible therefrom.

Claims (20)

제1 배선층에서 제1 트랙을 따라 제1 방향으로 연장되는 제1 하위 패턴을 포함하는 제1 셀; 및
상기 제1 배선층에서 상기 제1 트랙을 따라 상기 제1 방향으로 연장되고 상기 제1 하위 패턴으로부터 상기 제1 배선층의 최소 이격 거리 이상 이격된, 제2 하위 패턴을 포함하는 제2 셀을 포함하고,
상기 제1 하위 패턴은, 상기 제1 셀의 핀에 대응하고,
상기 제2 하위 패턴은, 상기 제1 셀 및 상기 제2 셀의 경계로부터 상기 제1 하위 패턴 보다 멀리 이격된 것을 특징으로 하는 집적 회로.
a first cell including a first sub-pattern extending in a first direction along a first track in the first wiring layer; and
a second cell including a second sub-pattern extending from the first wiring layer in the first direction along the first track and spaced apart from the first sub-pattern by at least a minimum separation distance of the first wiring layer;
The first sub-pattern corresponds to a pin of the first cell,
The second sub-pattern is spaced apart from a boundary between the first cell and the second cell further than the first sub-pattern.
청구항 1에 있어서,
상기 제2 하위 패턴은, 상기 제2 셀의 핀에 대응하는 것을 특징으로 하는 집적 회로.
The method according to claim 1,
The second sub-pattern corresponds to a pin of the second cell.
청구항 1에 있어서,
상기 제1 하위 패턴은, 상기 경계와 접하는 것을 특징으로 하는 집적 회로.
The method according to claim 1,
The first sub-pattern is in contact with the boundary.
청구항 1에 있어서,
상기 제1 셀은, 상기 제1 배선층에서 제2 트랙을 따라 상기 제1 방향으로 연장되는 제3 하위 패턴을 더 포함하고,
상기 제2 셀은, 상기 제1 배선층에서 상기 제2 트랙을 따라 상기 제1 방향으로 연장되고 상기 제3 하위 패턴으로부터 상기 최소 이격 거리 이상 이격된, 제4 하위 패턴을 더 포함하고,
상기 제4 하위 패턴은, 상기 제2 셀의 핀에 대응하고,
상기 제3 하위 패턴은, 상기 경계로부터 상기 제4 하위 패턴보다 멀리 이격된 것을 특징으로 하는 집적 회로.
The method according to claim 1,
The first cell further includes a third sub-pattern extending in the first direction along a second track in the first wiring layer;
The second cell further includes a fourth sub-pattern extending from the first wiring layer in the first direction along the second track and spaced apart from the third sub-pattern by at least the minimum separation distance,
The fourth lower pattern corresponds to the fin of the second cell,
The third sub-pattern is spaced apart from the boundary further than the fourth sub-pattern.
청구항 4에 있어서,
상기 제1 트랙 및 상기 제2 트랙 중 적어도 하나는, 상기 제1 배선층의 트랙들 중 최외곽 트랙인 것을 특징으로 하는 집적 회로.
5. The method according to claim 4,
and at least one of the first track and the second track is an outermost track among the tracks of the first wiring layer.
청구항 1에 있어서,
상기 제1 셀은, 제1 피치(pitch)로 상기 제1 방향에 수직한 제2 방향으로 연장되는 적어도 하나의 게이트 전극을 더 포함하고,
상기 집적 회로는, 제2 배선층에서 제2 피치로 상기 제2 방향으로 상기 제1 셀 상에서 연장되는 복수의 상위 패턴들을 포함하고,
상기 제2 피치는, 상기 제1 피치보다 작은 것을 특징으로 하는 집적 회로.
The method according to claim 1,
The first cell further includes at least one gate electrode extending in a second direction perpendicular to the first direction at a first pitch,
the integrated circuit includes a plurality of upper patterns extending on the first cell in the second direction at a second pitch in a second wiring layer;
The second pitch is smaller than the first pitch.
청구항 6에 있어서,
상기 복수의 상위 패턴들은, 상기 적어도 하나의 게이트 전극 중 제1 게이트 전극에 정렬된 제1 상위 패턴을 포함하는 것을 특징으로 하는 집적 회로.
7. The method of claim 6,
The plurality of upper patterns includes a first upper pattern aligned with a first gate electrode of the at least one gate electrode.
청구항 7에 있어서,
상기 복수의 상위 패턴들은, 상기 제1 게이트 전극에 인접한 제2 상위 패턴을 포함하고,
상기 제1 하위 패턴 및 상기 제2 상위 패턴을 연결하는 제1 비아를 더 포함하는 집적 회로.
8. The method of claim 7,
The plurality of upper patterns includes a second upper pattern adjacent to the first gate electrode,
The integrated circuit further comprising a first via connecting the first lower pattern and the second upper pattern.
청구항 6에 있어서,
상기 제1 셀은, 상기 적어도 하나의 게이트 전극 사이에서 상기 제2 방향으로 연장되는 적어도 하나의 컨택을 더 포함하고,
상기 복수의 상위 패턴들은, 상기 적어도 하나의 컨택 중 하나에 정렬된 제3 상위 패턴을 포함하는 것을 특징으로 하는 집적 회로.
7. The method of claim 6,
The first cell further includes at least one contact extending in the second direction between the at least one gate electrode,
and the plurality of upper patterns includes a third upper pattern aligned with one of the at least one contact.
청구항 9에 있어서,
상기 복수의 상위 하위 패턴들은, 상기 제3 상위 패턴에 인접한 제4 상위 패턴 및 상기 제4 상위 패턴에 인접한 제5 상위 패턴을 포함하고,
상기 제1 하위 패턴 및 상기 제5 상위 패턴을 연결하는 제2 비아를 더 포함하는 집적 회로.
10. The method of claim 9,
The plurality of upper lower patterns include a fourth upper pattern adjacent to the third upper pattern and a fifth upper pattern adjacent to the fourth upper pattern,
The integrated circuit further comprising a second via connecting the first lower pattern and the fifth upper pattern.
제1 배선층에서 제1 트랙 및 제2 트랙을 따라 제1 방향으로 각각 연장되는 제1 하위 패턴 및 제2 하위 패턴을 포함하는 제1 셀; 및
상기 제1 배선층에서 상기 제1 트랙 및 상기 제2 트랙을 따라 상기 제1 방향으로 연장되는 제3 하위 패턴 및 제4 하위 패턴을 포함하는 제2 셀을 포함하고,
상기 제1 하위 패턴은, 상기 제3 하위 패턴과 상기 제1 배선층의 최소 이격 거리 이상 이격되고, 상기 제1 셀 및 상기 제2 셀의 경계로부터 제1 거리 이상 이격되고,
상기 제2 하위 패턴은, 상기 제4 하위 패턴과 상기 최소 이격 거리 이상 이격되고, 상기 경계로부터 제2 거리 이상 이격되고,
상기 제1 거리 및 상기 제2 거리는, 상이한 것을 특징으로 하는 집적 회로.
a first cell including a first sub-pattern and a second sub-pattern respectively extending in a first direction along the first track and the second track in the first wiring layer; and
a second cell including a third sub-pattern and a fourth sub-pattern extending in the first direction along the first track and the second track in the first wiring layer;
The first sub-pattern is spaced apart by at least a minimum separation distance between the third sub-pattern and the first wiring layer, and is spaced apart by a first distance or more from a boundary between the first cell and the second cell,
The second sub-pattern is spaced apart from the fourth sub-pattern by the minimum separation distance or more, and is spaced apart from the boundary by a second distance or more,
and the first distance and the second distance are different.
청구항 11에 있어서,
상기 제1 거리는, 상기 제2 거리보다 짧고,
상기 제1 하위 패턴은, 상기 제1 셀의 핀에 대응하고,
상기 제4 하위 패턴은, 상기 제2 셀의 핀에 대응하는 것을 특징으로 하는 집적 회로.
12. The method of claim 11,
The first distance is shorter than the second distance,
The first sub-pattern corresponds to a pin of the first cell,
The fourth sub-pattern corresponds to a pin of the second cell.
청구항 12에 있어서,
상기 제1 하위 패턴 및 상기 제4 하위 패턴은, 상기 경계에 접하는 것을 특징으로 하는 집적 회로.
13. The method of claim 12,
The first sub-pattern and the fourth sub-pattern are in contact with the boundary.
청구항 12에 있어서,
상기 제2 하위 패턴은, 상기 제1 셀의 핀에 대응하고,
상기 제3 하위 패턴은, 상기 제2 셀의 핀에 대응하는 것을 특징으로 하는 집적 회로.
13. The method of claim 12,
The second lower pattern corresponds to the pin of the first cell,
The third sub-pattern corresponds to a pin of the second cell.
집적 회로를 설계하기 위한 방법으로서,
복수의 셀들 및 상기 복수의 셀들 사이 연결들을 정의하는 입력 데이터를 획득하는 단계;
상기 입력 데이터에 기초하여, 상기 복수의 셀들을 배치하는 단계;
상기 입력 데이터에 기초하여, 배치된 상기 복수의 셀들의 복수의 핀들을 라우팅하는 단계; 및
상기 집적 회로의 레이아웃을 정의하는 출력 데이터를 생성하는 단계를 포함하고,
상기 핀들을 라우팅하는 단계는,
제1 셀의 핀에 대응하고 제1 배선층에서 제1 방향으로 연장되는, 제1 하위 패턴을 연장하는 단계;
상기 제1 하위 패턴의 연장된 부분의 핀 액세스 포인트를 포함하는 복수의 핀 액세스 포인트들 중 하나에 비아를 배치하는 단계; 및
상기 비아에 연결되고 제2 배선층에서 상기 제1 방향에 수직한 제2 방향으로 연장되는 제1 상위 패턴을 생성하는 단계를 포함하는 것을 특징으로 하는 방법.
A method for designing an integrated circuit comprising:
obtaining input data defining a plurality of cells and connections between the plurality of cells;
arranging the plurality of cells based on the input data;
routing a plurality of pins of the disposed plurality of cells based on the input data; and
generating output data defining a layout of the integrated circuit;
Routing the pins comprises:
extending a first sub-pattern corresponding to the fin of the first cell and extending in a first direction in the first wiring layer;
disposing a via in one of a plurality of pin access points including a pin access point of the extended portion of the first sub-pattern; and
and generating a first upper pattern connected to the via and extending in a second direction perpendicular to the first direction in a second wiring layer.
청구항 15에 있어서,
상기 제1 하위 패턴을 연장하는 단계는,
상기 제1 셀에 인접한 제2 셀에 포함되고 상기 제1 배선층에서 상기 제1 하위 패턴과 동일한 트랙을 따라 상기 제1 방향으로 연장되는, 제2 하위 패턴과 상기 제1 하위 패턴을 병합하는 단계; 및
병합된 상기 제1 하위 패턴 및 상기 제2 하위 패턴에 상기 제1 배선층의 컷(cut)을 배치하는 단계를 포함하는 것을 특징으로 하는 방법.
16. The method of claim 15,
The step of extending the first sub-pattern,
merging the first sub-pattern with a second sub-pattern included in a second cell adjacent to the first cell and extending in the first direction along the same track as the first sub-pattern in the first wiring layer; and
and disposing a cut of the first wiring layer in the merged first sub-pattern and the second sub-pattern.
청구항 15에 있어서,
상기 복수의 셀들을 배치하는 단계는,
상기 제1 셀을 배치하는 단계; 및
상기 제1 셀에 인접하게 필러 셀을 배치하는 단계를 포함하고,
상기 제1 하위 패턴을 연장하는 단계는, 상기 제1 하위 패턴을 상기 필러 셀의 내부로 연장하는 단계를 포함하는 것을 특징으로 하는 방법.
16. The method of claim 15,
The step of disposing the plurality of cells comprises:
disposing the first cell; and
disposing a filler cell adjacent the first cell;
The method of claim 1 , wherein extending the first sub-pattern comprises extending the first sub-pattern into the interior of the filler cell.
청구항 17에 있어서,
상기 제1 셀은, 제1 피치(pitch)로 상기 제2 방향으로 연장되는 적어도 하나의 게이트 전극을 포함하고,
복수의 상위 패턴들은, 상기 제1 피치보다 작은 제2 피치로 상기 제2 배선층에서 상기 제2 방향으로 연장되고,
상기 필러 셀을 배치하는 단계는, 상기 제1 셀의 양측에 각각 배치가능한 2개의 필러 셀들 중, 상기 제1 하위 패턴의 연장된 부분에서 보다 많은 핀 액세스 포인트들을 제공하는 필러 셀을 배치하는 단계를 포함하는 것을 특징으로 하는 방법.
18. The method of claim 17,
The first cell includes at least one gate electrode extending in the second direction at a first pitch,
the plurality of upper patterns extend in the second direction from the second wiring layer at a second pitch smaller than the first pitch;
The disposing of the pillar cell may include disposing a pillar cell providing more pin access points in an extended portion of the first sub-pattern, among two pillar cells, each of which can be disposed on both sides of the first cell. A method comprising:
청구항 17에 있어서,
상기 제1 셀은, 상기 제2 배선층에서 상기 제2 방향으로 연장되는 제2 상위 패턴을 포함하고,
상기 필러 셀을 배치하는 단계는, 상기 제1 셀의 속성을 식별하고, 식별된 상기 속성에 기초하여 상기 제1 셀의 양측 중 하나에 상기 필러 셀을 배치하는 단계를 포함하는 것을 특징으로 하는 방법.
18. The method of claim 17,
The first cell includes a second upper pattern extending in the second direction from the second wiring layer,
The method of claim 1, wherein disposing the filler cell includes identifying a property of the first cell and placing the filler cell on one of both sides of the first cell based on the identified property. .
청구항 15에 있어서,
상기 집적 회로의 레이아웃을 정의하는 출력 데이터를 생성하는 단계;
상기 출력 데이터에 기초하여 마스크를 제작하는 단계; 및
상기 마스크에 기초하여 상기 집적 회로를 제조하는 단계를 더 포함하는 방법.
16. The method of claim 15,
generating output data defining a layout of the integrated circuit;
manufacturing a mask based on the output data; and
and fabricating the integrated circuit based on the mask.
KR1020210066340A 2021-03-18 2021-05-24 Integrated circuit providing increased pin access points and method for designing the same KR20220130547A (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
US17/669,631 US20220300693A1 (en) 2021-03-18 2022-02-11 Integrated circuit providing increased pin access points and method of designing the same
CN202210130051.0A CN115117052A (en) 2021-03-18 2022-02-11 Integrated circuit providing increased pin access point and method of designing the same
TW111109586A TW202247027A (en) 2021-03-18 2022-03-16 Integrated circuit providing increased pin access points and method of designing the same

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR20210035386 2021-03-18
KR1020210035386 2021-03-18

Publications (1)

Publication Number Publication Date
KR20220130547A true KR20220130547A (en) 2022-09-27

Family

ID=83451975

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020210066340A KR20220130547A (en) 2021-03-18 2021-05-24 Integrated circuit providing increased pin access points and method for designing the same

Country Status (1)

Country Link
KR (1) KR20220130547A (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN116976272A (en) * 2023-09-21 2023-10-31 华芯巨数(杭州)微电子有限公司 Integrated circuit design optimization wiring method, electronic equipment and storage medium

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN116976272A (en) * 2023-09-21 2023-10-31 华芯巨数(杭州)微电子有限公司 Integrated circuit design optimization wiring method, electronic equipment and storage medium
CN116976272B (en) * 2023-09-21 2023-12-22 华芯巨数(杭州)微电子有限公司 Integrated circuit design optimization wiring method, electronic equipment and storage medium

Similar Documents

Publication Publication Date Title
US11727184B2 (en) Integrated circuit including cells of different heights and method of designing the integrated circuit
US20190355749A1 (en) Integrated circuit including multiple height cell and method of fabricating the integrated circuit
US11101267B2 (en) Integrated circuit including multiple-height cell and method of manufacturing the integrated circuit
US11755809B2 (en) Integrated circuit including asymmetric power line and method of designing the same
US11776950B2 (en) Integrated circuit including cells with different heights and method of designing the same
US11557584B2 (en) Integrated circuit including simple cell interconnection and method of designing the same
KR20220130547A (en) Integrated circuit providing increased pin access points and method for designing the same
TW202247027A (en) Integrated circuit providing increased pin access points and method of designing the same
US20220262785A1 (en) Integrated circuit including signal line and power line and method of designing the same
KR20220003363A (en) Integrated circuit including a multiple height cell and method for designing the same
US20220189945A1 (en) Integrated circuit including connection line
CN115132722A (en) Integrated circuit comprising standard cells and filler cells
US20230290784A1 (en) Integrated circuit including active pattern having variable width and method of designing the same
US20240105710A1 (en) Integrated circuit including standard cells and method of designing the same
US20230307436A1 (en) Integrated circuit including standard cells and methodof designing the same
US20230297752A1 (en) Integrated circuits including abutted blocks and methods of designing layouts of the integrated circuits
US20230378156A1 (en) Integrated circuit including multi-height cells and method of designing the same
KR20240096315A (en) Integrated circuit including standard cell
US20240128164A1 (en) Integrated circuit including through-silicon via and method of designing the integrated circuit
KR20220082708A (en) Integrated circuit including connection line
TW202416171A (en) Integrated circuit including standard cells
KR20240073729A (en) Integrated circuit including standard cells and method of designing the same
KR20230133161A (en) Integrated circuit including heterogeneous devices and method for designing the same
KR20220015914A (en) Integrated circuit including a memory cell and method of designating the same
CN116741776A (en) Integrated circuit having heterogeneous devices therein and method of designing the same

Legal Events

Date Code Title Description
A201 Request for examination