KR20230173904A - Semiconductor integrated circuit design method and apparatus - Google Patents

Semiconductor integrated circuit design method and apparatus Download PDF

Info

Publication number
KR20230173904A
KR20230173904A KR1020220074725A KR20220074725A KR20230173904A KR 20230173904 A KR20230173904 A KR 20230173904A KR 1020220074725 A KR1020220074725 A KR 1020220074725A KR 20220074725 A KR20220074725 A KR 20220074725A KR 20230173904 A KR20230173904 A KR 20230173904A
Authority
KR
South Korea
Prior art keywords
cut layer
cell area
area
integrated circuit
metals
Prior art date
Application number
KR1020220074725A
Other languages
Korean (ko)
Inventor
한재덕
이동준
신태호
성개륜
김동휘
Original Assignee
한양대학교 산학협력단
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 한양대학교 산학협력단 filed Critical 한양대학교 산학협력단
Priority to KR1020220074725A priority Critical patent/KR20230173904A/en
Publication of KR20230173904A publication Critical patent/KR20230173904A/en

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/392Floor-planning or layout, e.g. partitioning or placement
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2111/00Details relating to CAD techniques
    • G06F2111/04Constraint-based CAD
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2117/00Details relating to the type or aim of the circuit design
    • G06F2117/12Sizing, e.g. of transistors or gates

Abstract

일 실시예에 따른 반도체 집적 회로 설계 방법은, 표준 셀을 포함하는 설계 영역 준비 단계, 상기 설계 영역을 상위 셀 영역과 하위 셀 영역으로 구분하는 셀 영역 구분 단계, 상기 하위 셀 영역에서의 하위 메탈들의 위치 및 이격 거리를 기초로 상기 하위 메탈들 사이에 컷 레이어를 배치하는 하위 컷 레이어 배치 단계 및 상기 하위 셀 영역에서 배치된 상기 컷 레이어를 배치 위치를 기초로 상기 상위 셀 영역에서의 메탈들 사이에 컷 레이어를 배치하는 상위 컷 레이어 배치 단계를 포함할 수 있다.A semiconductor integrated circuit design method according to an embodiment includes a design area preparation step including a standard cell, a cell area division step of dividing the design area into an upper cell area and a lower cell area, and a cell area division step of dividing the design area into an upper cell area and a lower cell area, A lower cut layer placement step of arranging a cut layer between the lower metals based on position and separation distance, and placing the cut layer placed in the lower cell area between metals in the upper cell area based on the placement position. It may include a top cut layer placement step for placing the cut layer.

Description

반도체 집적 회로 설계 방법 및 장치{Semiconductor integrated circuit design method and apparatus}Semiconductor integrated circuit design method and apparatus}

본 발명은 반도체 집적 회로 설계 방법 및 장치에 관한 발명으로서, 보다 상세하게는 반도체 집적 회로를 설계함에 있어서, 서로 인접하는 메탈 레이어 사이의 간격을 고려해야 하는 레이아웃 설계 규칙에 위배되지 않도록 컷 레이어를 자동으로 생성하는 기술에 관한 발명이다.The present invention relates to a semiconductor integrated circuit design method and device. More specifically, when designing a semiconductor integrated circuit, the cut layer is automatically cut so as not to violate layout design rules that require consideration of the spacing between adjacent metal layers. It is an invention related to the technology to create.

반도체 집적 회로의 설계는, 반도체 시스템으로부터 얻고자 하는 동작을 기술하는 칩에 대한 행위(behavior) 모델을, 필요한 구성 요소들 간의 연결을 기술하는 구체적인 구조 모델로 변환하는 작업이다. 이러한 반도체 집적 회로의 설계 과정에서 반도체 집적 회로에 포함되는 셀들에 대한 라이브러리(library)를 생성하고, 생성된 라이브러리를 이용하여 반도체 집적 회로를 구현하는 경우 반도체 집적 회로의 설계 및 구현에 소요되는 시간과 비용을 줄일 수 있는 장점이 있다.The design of a semiconductor integrated circuit is the task of converting a behavior model for a chip that describes the operation desired from a semiconductor system into a specific structural model that describes the connections between necessary components. In the process of designing such a semiconductor integrated circuit, a library for the cells included in the semiconductor integrated circuit is created, and when the semiconductor integrated circuit is implemented using the generated library, the time required to design and implement the semiconductor integrated circuit is reduced. It has the advantage of reducing costs.

집적회로를 제작할 때 다양한 층(레이어)로 구성된 메탈 인터커넥트를 이용하여 트랜지스터를 상호 연결을 한다. 이때 메탈 인터커넥트의 구조는 레이아웃이라는 형태로 기술 및 설계하게 되는데, 메탈의 레이아웃은 해당 공정에서 안정적인 수율을 보장하는 규칙인 디자인 룰(Design Rule)을 만족하도록 제작되어야 한다.When manufacturing an integrated circuit, transistors are interconnected using metal interconnects composed of various layers. At this time, the structure of the metal interconnect is described and designed in the form of a layout, and the metal layout must be manufactured to satisfy the design rule, which is a rule that guarantees stable yield in the process.

그런데, 기술이 발전함에 따라 반도체 공정이 매우 미세화 됨에 따라 메탈 인터커넥트의 크기와 메탈 인터커넥트의 간격이 점점 줄어들게 되자, 집적회로가 요구하는 메탈 인터커넥트간 간격은 통상적인 제작 방식에 따라서는 디자인 룰을 만족시키지 못하는 문제가 발생한다.However, as technology develops and semiconductor processes become very fine, the size of metal interconnects and the spacing between metal interconnects gradually decreases, so the spacing between metal interconnects required by integrated circuits does not satisfy the design rules according to conventional manufacturing methods. Problems arise that make it impossible to do so.

이를 해결하기 위해 디자인 룰을 만족해야 하는 간격에 컷 레이어(CUT Layer)을 배치하는 방식이 제안되고 있다. 컷영역 레이어는 하나의 인터커넥트를 두개로 절단함으로써, 고밀도의 인터커넥트를 구현하는 방법을 의미하는데 구체적으로, 도 1에 도시된 바와 같이 레이아웃을 설계할 때, 메탈 사이의 이격 거리(B)가 디자인 룰이 요구하는 메탈 사이의 최소 이격거리(Space min)보다 작을 경우 메탈을 그 이격거리만큼 제거하는 레이어를 의미한다.To solve this problem, a method of placing cut layers at intervals that must satisfy design rules has been proposed. The cut area layer refers to a method of implementing high-density interconnects by cutting one interconnect into two. Specifically, when designing the layout as shown in Figure 1, the separation distance (B) between metals is a design rule. If it is smaller than the required minimum separation distance between metals (Space min), it refers to a layer that removes metal by the distance.

그러나 이러한 방법 또한 컷 영역을 설계자가 간격들을 하나하나 측정하고 수동으로 배치하여야 하기 때문에 전체 디자인 시간이 오래 소요되는 문제가 발생하였다 .However, this method also had the problem of taking a long time for the overall design because the designer had to measure each spacing and manually place the cut area.

또한, 종래 방식에 따라 컷 레이어를 측정하고 배치하는 경우 배치하고자 하는 컷 레이어 또한 자체적인 설계 규칙이 있기 때문에 회로를 설계할 때 복잡도가 크게 증가하여 결국 회로설계 생산성 하락으로 이어지는 문제점이 존재한다. In addition, when measuring and placing the cut layer according to the conventional method, the cut layer to be placed also has its own design rules, so there is a problem that complexity increases significantly when designing the circuit, which ultimately leads to a decrease in circuit design productivity.

대한민국 공개특허 출원번호 10-2013-00110961 A (반도체 집적 회로 및 그 설계 방법)Republic of Korea Open Patent Application No. 10-2013-00110961 A (Semiconductor integrated circuit and design method thereof)

따라서, 일 실시예에 따른 반도체 집적 회로 설계 방법 및 장치는 상기 설명한 문제점을 해결하기 위해 고안된 발명으로서, 종래기술과 달리 컷 영역에 필요한 지점을 자동으로 계산하고 배치하는 자동화 설계 방법을 제공하고 이에 따라, 레이아웃 설계 과정을 단축하여 전체 반도체 설계 공정의 생산성을 증가시키는데 그 목적이 존재한다. Accordingly, the semiconductor integrated circuit design method and device according to one embodiment are inventions designed to solve the problems described above, and, unlike the prior art, provide an automated design method that automatically calculates and places necessary points in the cut area, and accordingly , the purpose is to increase the productivity of the entire semiconductor design process by shortening the layout design process.

보다 구체적으로는, 기준 셀 영역을 상위 셀 영역과 하위 셀 영역으로 나눈 후, 하위 셀 영역에 대한 컷 레이어를 완료한 후, 완료된 하위 셀 영역에 대한 컷 레이어를 기초로 상위 셀 영역에 대한 컷 레이어를 진행하는 방식으로 컷 레이어를 오름차순으로 진행하여, 보다 용이하고 효과적으로 컷 레이어를 배치할 수 있는 방법을 제공하는데 그 목적이 있다. More specifically, after dividing the standard cell area into an upper cell area and a lower cell area, completing the cut layer for the lower cell area, and then creating a cut layer for the upper cell area based on the cut layer for the completed lower cell area. The purpose is to provide a method to place cut layers more easily and effectively by proceeding with the cut layers in ascending order.

본 발명이 해결하려는 과제는 상기 과제에 제한되지 않으며, 언급되지 않은 또 다른 과제는 아래의 기재로부터 통상의 기술자에게 명확하게 이해될 수 있을 것이다.The problem to be solved by the present invention is not limited to the above problem, and other problems not mentioned will be clearly understood by those skilled in the art from the description below.

일 실시예에 따른 반도체 집적 회로 설계 방법은, 표준 셀을 포함하는 설계 영역 준비 단계, 상기 설계 영역을 상위 셀 영역과 하위 셀 영역으로 구분하는 셀 영역 구분 단계, 상기 하위 셀 영역에서의 하위 메탈들의 위치 및 이격 거리를 기초로 상기 하위 메탈들 사이에 컷 레이어를 배치하는 하위 컷 레이어 배치 단계 및 상기 하위 셀 영역에서 배치된 상기 컷 레이어를 배치 위치를 기초로 상기 상위 셀 영역에서의 메탈들 사이에 컷 레이어를 배치하는 상위 컷 레이어 배치 단계를 포함할 수 있다.A semiconductor integrated circuit design method according to an embodiment includes a design area preparation step including a standard cell, a cell area division step of dividing the design area into an upper cell area and a lower cell area, and a cell area division step of dividing the design area into an upper cell area and a lower cell area, A lower cut layer placement step of arranging a cut layer between the lower metals based on position and separation distance, and placing the cut layer placed in the lower cell area between metals in the upper cell area based on the placement position. It may include a top cut layer placement step for placing the cut layer.

기 하위 컷 레이어 배치 단계는, 상기 하위 셀 영역의 외곽 라인과 상위 하위 메탈들 사이의 제1이격 거리가 미리 설정된 거리보다 작은 경우, 상기 제1이격 거리에 컷 레이어를 배치하는 단계를 포함할 수 있다.The lower cut layer arranging step may include arranging the cut layer at the first separation distance when the first separation distance between the outer line of the lower cell area and the upper lower metals is less than a preset distance. there is.

상기 하위 컷 레이어 배치 단계는, 상기 하위 셀 영역의 외곽 라인과 상위 하위 메탈들 사이의 제1이격 거리가 미리 설정된 거리보다 큰 경우, 상기 제1이격 거리에는 컷 레이어를 배치하지 않는 단계를 포함할 수 있다.The lower cut layer arranging step may include not arranging the cut layer at the first separation distance when the first separation distance between the outer line of the lower cell area and the upper lower metals is greater than a preset distance. You can.

상기 하위 컷 레이어 배치 단계는, 상기 하위 셀 영역에서 핀(PIN) 영역에서는 컷 레이어를 배치하지 않는 단계를 포함할 수 있다.The step of arranging the lower cut layer may include not arranging the cut layer in the PIN area of the lower cell area.

상기 하위 컷 레이어 배치 단계는, 상기 표준 셀 내에서 상기 하위 셀 영역이 복수 개 존재하는 경우, 가장 먼저 상기 하위 컷 레이어 배치가 이루어진 하위 셀 영역의 컷 레이어 배치를 다른 하위 셀 영역에도 동일하게 컷 레이어 배치를 진행하는 단계를 포함할 수 있다.In the sub-cut layer arrangement step, if there are a plurality of sub-cell areas within the standard cell, the cut layer of the sub-cell area where the sub-cut layer was placed first is arranged and the cut layer is equally applied to other sub-cell areas. It may include the step of proceeding with placement.

상기 상위 컷 레이어 배치 단계는, 상기 상위 셀 영역의 상위 메탈들 사이의 외곽 라인과 상기 상위 메탈들 사이의 제2이격 거리가 미리 설정된 거리보다 작은 경우, 상기 제2이격 거리에 컷 레이어를 배치하는 단계를 포함할 수 있다.The upper cut layer placement step is to place a cut layer at the second separation distance when the second separation distance between the outer line between the upper metals of the upper cell area and the upper metals is smaller than a preset distance. May include steps.

상기 상위 컷 레이어 배치 단계는, 상기 상위 셀 영역의 상위 메탈들 사이의 외곽 라인과 상기 상위 메탈들 사이의 제2이격 거리가 미리 설정된 거리보다 큰 경우, 상기 제2이격 거리에 컷 레이어를 배치하지 않는 단계를 포함할 수 있다. In the upper cut layer placement step, if the second separation distance between the outer line between the upper metals of the upper cell area and the upper metals is greater than the preset distance, the cut layer is not placed at the second separation distance. It may include steps that do not occur.

일 실시예에 따른 반도체 집적 회로 설계 장치는, 표준 셀을 포함하는 설계 영역을 상위 셀 영역과 하위 셀 영역으로 구분하고, 상기 하위 셀 영역에서의 하위 메탈들의 위치 및 이격 거리를 기초로 상기 하위 메탈들 사이에 컷 레이어를 배치하고, 상기 하위 셀 영역에서 배치된 상기 컷 레이어를 배치 위치를 기초로 상기 상위 셀 영역에서의 메탈들 사이에 컷 레이어를 배치하는 레이아웃 생성 유닛 및 상기 레이아웃에서 생성한 레이아웃을 기초로 마스크를 생성하는 마스크 제조 유닛을 포함할 수 있다. A semiconductor integrated circuit design device according to an embodiment divides a design area including a standard cell into an upper cell area and a lower cell area, and the lower metal is based on the location and separation distance of the lower metals in the lower cell area. a layout creation unit for arranging a cut layer between metals in the lower cell area and arranging a cut layer between metals in the upper cell area based on the placement position of the cut layer placed in the lower cell area; and a layout generated from the layout. It may include a mask manufacturing unit that generates a mask based on .

일 실시예에 따른 반도체 집적 회로 설계 방법은 레이 사용자가 직접 컷 레이어가 필요한 지점을 찾고 배치하는 기존의 종래 기술과 달리 컷 레이어가 필요한 지점을 자동적으로 계산한 후 컷 레이어를 배치하기 때문에, 컷 레이어를 배치하는데 필요한 시간과 비용을 단축시킬 수 있으며, 이에 따라 반도체 공정 전 과정을 보다 효율적으로 진행할 수 있는 장점이 존재한다.The semiconductor integrated circuit design method according to one embodiment automatically calculates the point where the cut layer is needed and then places the cut layer, unlike the existing conventional technology in which the ray user directly finds and places the point where the cut layer is needed. The time and cost required to deploy can be reduced, and thus there is an advantage in that the entire semiconductor process can be carried out more efficiently.

본 발명에 관한 이해를 돕기 위해 상세한 설명의 일부로 포함되는, 첨부 도면은 본 발명에 대한 실시 예를 제공하고, 상세한 설명과 함께 본 발명의 기술적 특징을 설명한다.
도 1은 종래 기술에 따라 컷 영역을 측정하고 컷 레이어를 배치하는 방법을 설명하기 위한 도면이다.
도 2는 본 발명의 일 실시예에 따른 반도체 집적 회로 설계 방법의 공정 순서를 도시한 순서도이다.
도 3은 본 발명의 다른 실시예에 따른 반도체 집적 회로 설계 방법의 공정 순서를 도시한 순서도이다.
도 4는 본 발명의 실시 예에 따라 컷 영역을 배치하는 순서를 도시한 순서도로서, 도 3의 S130 단계를 구체화하여 도시한 순서도이다.
도 5는 본 발명에 따른 컷 레이어 배치 방법을 설명하기 위한 상위 셀 영역 및 하위 셀 영역을 도시한 도면이다.
도 6은 본 발명의 실시 예에 따라 하위 셀 영역에서 컷 레이어를 배치하는 방법을 설명하기 위한 도면이다.
도 7은 본 발명의 실시예에 따라 상위 셀 영역에서 컷 레이어를 배치하는 방법을 설명하기 위한 도면이다.
도 8은 종래 기술에 따라 4개의 영역을 기준으로 컷 레이어를 배치하기 위한 비교 횟수와 컷 레이어 배치 횟수를 도시한 도면이다.
도 9는 종래 기술에 따라 4개의 영역을 기준으로 컷 레이어를 배치하기 위한 비교 횟수와 컷 레이어 배치 횟수를 도시한 도면이다.
도 10은 본 발명에 따라 4개의 영역을 기준으로 컷 레이어를 배치하기 위한 비교 횟수와 컷 레이어 배치 횟수를 도시한 도면이다.
도 11은 본 발명의 실시 예에 따른 반도체 집적 회로 제조 시스템을 개략적으로 나타낸 도면이다.
도 12는 본 발명의 실시 예에 따른 설계 방법을 이용하여 제조된 반도체 집적 회로를 포함하는 반도체 모듈을 개략적으로 나타낸 도면이다.
도 13은 본 발명의 실시 예에 따른 설계 방법을 이용하여 제조된 반도체 집적 회로를 포함하는 전자 시스템을 나타낸 도면이다.
The accompanying drawings, which are included as part of the detailed description to aid understanding of the present invention, provide embodiments of the present invention and explain technical features of the present invention along with the detailed description.
1 is a diagram for explaining a method of measuring a cut area and arranging a cut layer according to the prior art.
Figure 2 is a flowchart showing the process sequence of a semiconductor integrated circuit design method according to an embodiment of the present invention.
Figure 3 is a flowchart showing the process sequence of a semiconductor integrated circuit design method according to another embodiment of the present invention.
Figure 4 is a flowchart showing the order of arranging cut areas according to an embodiment of the present invention, and is a flowchart showing step S130 of Figure 3 in detail.
Figure 5 is a diagram showing an upper cell area and a lower cell area to explain the cut layer arrangement method according to the present invention.
Figure 6 is a diagram for explaining a method of arranging a cut layer in a lower cell area according to an embodiment of the present invention.
Figure 7 is a diagram for explaining a method of arranging a cut layer in an upper cell area according to an embodiment of the present invention.
Figure 8 is a diagram showing the number of comparisons and the number of cut layer arrangement for arranging cut layers based on four areas according to the prior art.
Figure 9 is a diagram showing the number of comparisons and the number of cut layer arrangement for arranging cut layers based on four areas according to the prior art.
Figure 10 is a diagram showing the number of comparisons and the number of cut layer arrangement for arranging cut layers based on four areas according to the present invention.
11 is a diagram schematically showing a semiconductor integrated circuit manufacturing system according to an embodiment of the present invention.
12 is a diagram schematically showing a semiconductor module including a semiconductor integrated circuit manufactured using a design method according to an embodiment of the present invention.
Figure 13 is a diagram showing an electronic system including a semiconductor integrated circuit manufactured using a design method according to an embodiment of the present invention.

본 명세서에 기재된 실시예와 도면에 도시된 구성은 개시된 발명의 바람직한 일 예에 불과할 뿐이며, 본 출원의 출원시점에 있어서 본 명세서의 실시예와 도면을 대체할 수 있는 다양한 변형 예들이 있을 수 있다.The embodiments described in this specification and the configurations shown in the drawings are only preferred examples of the disclosed invention, and at the time of filing this application, there may be various modifications that can replace the embodiments and drawings in this specification.

본 명세서 전체에서, 어떤 부분이 다른 부분과 "연결"되어 있다고 할 때, 이는 직접적으로 연결되어 있는 경우뿐 아니라, 간접적으로 연결되어 있는 경우를 포함하고, 간접적인 연결은 무선 통신망을 통해 연결되는 것을 포함한다.Throughout this specification, when a part is said to be “connected” to another part, this includes not only direct connection but also indirect connection, and indirect connection refers to connection through a wireless communication network. Includes.

또한, 본 명세서에서 사용한 용어는 실시예를 설명하기 위해 사용된 것으로, 개시된 발명을 제한 및/또는 한정하려는 의도가 아니다. 단수의 표현은 문맥상 명백하게 다르게 뜻하지 않는 한, 복수의 표현을 포함한다. 본 명세서에서, "포함하다" 또는 "가지다" 등의 용어는 명세서상에 기재된 특징, 숫자, 단계, 동작, 구성요소, 부품 또는 이들을 조합한 것이 존재함을 지정하려는 것이지, 하나 또는 그 이상의 다른 특징들이나 숫자, 단계, 동작, 구성요소, 부품 또는 이들을 조합한 것들의 존재 또는 부가 가능성을 미리 배제하지 않는다.Additionally, the terms used herein are used to describe embodiments and are not intended to limit and/or limit the disclosed invention. Singular expressions include plural expressions unless the context clearly dictates otherwise. In this specification, terms such as “comprise” or “have” are intended to indicate the presence of features, numbers, steps, operations, components, parts, or combinations thereof described in the specification, but are not intended to indicate the presence of one or more other features. The existence or addition of numbers, steps, operations, components, parts, or combinations thereof is not excluded in advance.

또한, 본 명세서에서 사용한 "제1", "제2" 등과 같이 서수를 포함하는 용어는 다양한 구성요소들을 설명하는데 사용될 수 있지만, 상기 구성요소들은 상기 용어들에 의해 한정되지는 않으며, 상기 용어들은 하나의 구성요소를 다른 구성요소로부터 구별하는 목적으로만 사용된다. 예를 들어, 본 발명의 권리 범위를 벗어나지 않으면서 제1 구성요소는 제2 구성요소로 명명될 수 있고, 유사하게 제2 구성요소도 제1 구성요소로 명명될 수 있다.In addition, terms including ordinal numbers such as “first”, “second”, etc. used in this specification may be used to describe various components, but the components are not limited by the terms, and the terms It is used only for the purpose of distinguishing one component from another. For example, a first component may be named a second component, and similarly, the second component may also be named a first component without departing from the scope of the present invention.

또한, "~부", "~기", "~블록", "~부재", "~모듈" 등의 용어는 적어도 하나의 기능이나 동작을 처리하는 단위를 의미할 수 있다. 예를 들어, 상기 용어들은 FPGA(field-programmable gate array) / ASIC(application specific integrated circuit) 등 적어도 하나의 하드웨어, 메모리에 저장된 적어도 하나의 소프트웨어 또는 프로세서에 의하여 처리되는 적어도 하나의 프로세스를 의미할 수 있다.Additionally, terms such as "~unit", "~unit", "~block", "~member", and "~module" may refer to a unit that processes at least one function or operation. For example, the terms may refer to at least one hardware such as a field-programmable gate array (FPGA) / application specific integrated circuit (ASIC), at least one software stored in memory, or at least one process processed by a processor. there is.

각 단계들에 붙여지는 부호는 각 단계들을 식별하기 위해 사용되는 것으로 이들 부호는 각 단계들 상호 간의 순서를 나타내는 것이 아니며, 각 단계들은 문맥상 명백하게 특정 순서를 기재하지 않는 이상 명기된 순서와 다르게 실시될 수 있다.The codes attached to each step are used to identify each step, and these codes do not indicate the order of each step. Each step is performed differently from the specified order unless a specific order is clearly stated in the context. It can be.

이하에서는 본 발명에 따른 실시예를 첨부된 도면을 참조하여 상세히 설명한다.Hereinafter, embodiments according to the present invention will be described in detail with reference to the attached drawings.

이하에서 후술되는 본 발명의 실시예들에서, 셀 라이브러리는 표준 셀 라이브러리일 수 있다. 표준 셀 방식은, 여러 기능을 갖는 논리회로 블록(또는 셀)을 미리 준비하고, 이 셀을 임의로 조합시켜 고객이나 이용자의 명세에 맞춘 전용의 대규모 집적 회로(LSI)를 설계하는 방식을 의미한다. 셀은 미리 설계와 검증이 행해져서 컴퓨터에 등록되며, 컴퓨터 지원 설계(Computer Aided Design, CAD)를 사용하여 셀을 조합시킨 논리 설계, 배치(placement), 배선(routing)이 행해 진다.In embodiments of the present invention described below, the cell library may be a standard cell library. The standard cell method refers to a method of preparing logic circuit blocks (or cells) with various functions in advance and arbitrarily combining these cells to design a dedicated large-scale integrated circuit (LSI) tailored to the specifications of the customer or user. Cells are designed and verified in advance and registered on a computer, and logical design, placement, and routing of cells are performed using computer-aided design (CAD).

구체적으로, 대규모 집적 회로를 설계/제작하는 경우에 어느 규모의 표준화된 논리회로 블록들(또는 셀)이 이미 라이브러리에 보존되어 있으면, 현재의 설계 목적에 맞는 논리회로 블록을 이 중에서 꺼내어, 이것을 칩 상에 복수 개의 셀 열로서 배치하고, 셀과 셀 사이의 배선 공간에 배선 길이가 가장 짧아지는 최적 배선을 하여 전체 회로를 만들어 나갈 수 있다. 라이브러리에 보존되어 있는 셀의 종류가 풍부할수록 설계에 융통성이 생기고, 그만큼 칩의 최적 설계의 가능성도 커진다.Specifically, when designing/manufacturing a large-scale integrated circuit, if standardized logic circuit blocks (or cells) of a certain size are already preserved in the library, the logic circuit block that suits the current design purpose is taken out of these and used as a chip. The entire circuit can be created by arranging a plurality of cells in rows on a cell and performing optimal wiring with the shortest wiring length in the wiring space between cells. The more types of cells preserved in the library, the more flexibility there is in design, and the greater the possibility of optimal chip design.

이와 같이 표준 셀을 이용한 집적 회로는 반주문형 집적 회로의 한 가지로서, 미리 설계되어 표준 셀 라이브러리에 저장된 표준 셀을 사용하고 이들 간의 배선을 최소화하도록 셀들을 배치하여 구현된다. 따라서, 완전 주문형 집적 회로에 비해 개발 비용이 적고 개발 기간을 단축시킬 수 있다.As such, an integrated circuit using standard cells is a type of semi-custom integrated circuit, and is implemented by using standard cells designed in advance and stored in a standard cell library and arranging the cells to minimize wiring between them. Therefore, development costs are lower and the development period can be shortened compared to fully custom integrated circuits.

도 2는 본 발명의 일 실시예에 따른 반도체 장치의 제조 방법을 나타내는 흐름도이다. Figure 2 is a flowchart showing a method of manufacturing a semiconductor device according to an embodiment of the present invention.

도 2를 참조하면, 본 실시예에 따른 반도체 장치의 제조 방법은 집적 회로의 설계(S10) 및 집적 회로의 제조 공정(S20)으로 구분될 수 있다. Referring to FIG. 2, the semiconductor device manufacturing method according to this embodiment can be divided into an integrated circuit design (S10) and an integrated circuit manufacturing process (S20).

집적 회로의 설계(S10)는 단계 S11 및 S12를 포함하고, 집적 회로에 대한 레이아웃을 디자인하는 단계로서, 집적 회로를 설계하기 위한 툴에서 수행될 수 있다. 이때, 집적 회로를 설계하기 위한 툴은 프로세서에서 수행되는 복수의 명령어들을 포함하는 프로그램일 수 있다. 이에 따라, 집적 회로의 설계(S10)는 집적 회로 설계를 위한 컴퓨터 구현(computer implemented) 방법이라고 지칭할 수 있다. 한편, 집적 회로의 제조 공정(S20)은 디자인된 레이아웃을 기초로 집적 회로에 따른 반도체 장치를 제조하는 단계로서, 반도체 공정 모듈에서 수행될 수 있다.The design (S10) of the integrated circuit includes steps S11 and S12 and is a step of designing a layout for the integrated circuit, which may be performed in a tool for designing the integrated circuit. At this time, a tool for designing an integrated circuit may be a program including a plurality of instructions executed by a processor. Accordingly, the design of the integrated circuit (S10) can be referred to as a computer implemented method for designing the integrated circuit. Meanwhile, the integrated circuit manufacturing process (S20) is a step of manufacturing a semiconductor device according to an integrated circuit based on a designed layout, and may be performed in a semiconductor process module.

S11에서, 표준 셀 라이브러리를 제공한다. 여기서, 표준 셀 라이브러리는 복수의 표준 셀들에 대한 정보를 포함할 수 있고, 컴퓨터로 읽을 수 있는 저장매체에 저장될 수 있다. 표준 셀 라이브러리는 표준 셀의 레이아웃 정보 및 타이밍 정보 등을 포함할 수 있다. 일 실시예에서, 표준 셀 라이브러리를 제공하는 단계는 표준 셀 라이브러리를 생성하는 단계, 더욱 상세하게는, 표준 셀을 설계하는 단계를 포함할 수 있다.In S11, a standard cell library is provided. Here, the standard cell library may include information about a plurality of standard cells and may be stored in a computer-readable storage medium. The standard cell library may include layout information and timing information of standard cells. In one embodiment, providing a standard cell library may include generating a standard cell library, and more specifically, designing a standard cell.

표준 셀 또는 표준 셀에 따라 형성된 반도체 장치는 복수의 레이어들(layers)이 적층된 구조를 포함할 수 있고, 복수의 레이어들 각각은 복수의 패턴들을 포함할 수 있다. 집적 회로의 집적도를 향상시키기 위해, 인접한 패턴들 사이의 간격이 감소될 것이 요구된다. 이에 따라, 일 레이어에에 해당하는 복수의 패턴들은 패터닝 레졸루션(patterning resolution)을 고려하여, 단일 마스크가 아닌 복수의 마스크들을 이용하여 형성될 수 있다.A standard cell or a semiconductor device formed according to a standard cell may include a structure in which a plurality of layers are stacked, and each of the plurality of layers may include a plurality of patterns. To improve the degree of integration of integrated circuits, the spacing between adjacent patterns is required to be reduced. Accordingly, a plurality of patterns corresponding to one layer may be formed using a plurality of masks rather than a single mask, taking patterning resolution into consideration.

이와 같이, 복수의 마스크들을 이용한 패터닝 기술은 멀티 패터닝 기술(Multi Patterning Technology, MPT)이라고 지칭한다. 예를 들어, 두 장의 마스크들을 이용하여 복수의 패턴들을 형성하는 기술은 DPT(Double Patterning Technology)라고 하고, 세 장의 마스크들을 이용하여 복수의 패턴들을 형성하는 기술은 TPT(Triple Patterning Technology)라고 하며, 네 장의 마스크들을 이용하여 복수의 패턴들을 형성하는 기술은 QPT(Quadruple Patterning Technology)라고 한다.In this way, patterning technology using a plurality of masks is referred to as multi-patterning technology (MPT). For example, the technology for forming multiple patterns using two masks is called DPT (Double Patterning Technology), and the technology for forming multiple patterns using three masks is called TPT (Triple Patterning Technology). The technology for forming multiple patterns using four masks is called QPT (Quadruple Patterning Technology).

일 실시예에서, 표준 셀을 설계하는 단계는, 복수의 마스크들에 각각 대응되는 복수의 컬러들을 이용하여 복수의 패턴들을 디자인하는 컬러 디컴포지션(color decomposition) 단계를 포함할 수 있다. 이때, 컬러 디컴포지션 단계는 컬러링(coloring) 단계라고 지칭할 수도 있고, 복수의 패턴들과 복수의 마스크들 사이의 대응 관계를 포함하는 데이터는 컬러링 정보라고 지칭될 수 있다. In one embodiment, designing a standard cell may include a color decomposition step of designing a plurality of patterns using a plurality of colors respectively corresponding to a plurality of masks. At this time, the color decomposition step may be referred to as a coloring step, and data including the correspondence between a plurality of patterns and a plurality of masks may be referred to as coloring information.

구체적으로, 컬러 디컴포지션 단계를 통해, 표준 셀의 일 레이어에 해당하는 복수의 패턴들에 복수의 컬러들을 할당할 수 있다. 이에 따라, 동일한 컬러를 가지는 패턴들은 동일한 마스크를 이용하여 형성될 수 있고, 상이한 컬러를 가지는 패턴들은 상이한 마스크들을 이용하여 형성될 수 있다.Specifically, through the color decomposition step, a plurality of colors can be assigned to a plurality of patterns corresponding to one layer of a standard cell. Accordingly, patterns with the same color can be formed using the same mask, and patterns with different colors can be formed using different masks.

단계 S12에서, 표준 셀 라이브러리를 사용하여 표준 셀들을 배치 및 배선(place and routing, P&R)함으로써 레이아웃을 설계한다. 구체적으로, 먼저, 집적 회로를 정의하는 입력 데이터를 수신한다. 여기서, 입력 데이터는 집적 회로의 동작(behavior)에 대한 추상적 형태, 예컨대 RTL(Register Transfer Level)에서 정의된 데이터로부터, 표준 셀 라이브러리를 이용하여 합성(synthesis)에 의해서 생성된 데이터일 수 있다. 예를 들면, 입력 데이터는 VHDL(VHSIC Hardware Description Language) 및 Verilog와 같은 HDL(Hardware Description Language)로서 정의된 집적 회로가 합성됨으로써 생성된 비트스트림(bitstream) 또는 네트리스트(netlist)일 수 있다.In step S12, the layout is designed by placing and routing (P&R) standard cells using a standard cell library. Specifically, first, input data defining an integrated circuit is received. Here, the input data may be data generated by synthesis using a standard cell library from data defined in an abstract form for the behavior of the integrated circuit, for example, RTL (Register Transfer Level). For example, the input data may be a bitstream or netlist generated by synthesizing an integrated circuit defined as a Hardware Description Language (HDL) such as VHSIC Hardware Description Language (VHDL) and Verilog.

이어서, 표준 셀 라이브러리를 저장하는 저장매체를 액세스하고, 표준 셀 라이브러리에 저장된 복수의 표준 셀들 중 입력 데이터에 따라 선택된 표준 셀들을 배치 및 배선한다. 여기서, 배치 및 배선이란 선택된 표준 셀들을 배치시키고, 배치된 표준 셀들을 연결시키는 작업을 말한다. 배치 및 배선이 완료됨으로써, 집적 회로에 대한 레이아웃이 생성될 수 있다.Next, a storage medium storing the standard cell library is accessed, and standard cells selected according to input data among a plurality of standard cells stored in the standard cell library are arranged and wired. Here, arrangement and wiring refers to the task of placing selected standard cells and connecting the placed standard cells. With placement and wiring complete, a layout for the integrated circuit can be created.

이와 같이, 집적 회로의 설계(S10)는 상술한 단계 S11 및 S12를 포함할 수 있다. 그러나, 본 발명은 이에 한정되지 않고, 표준 셀 라이브러리의 수정, 레이아웃 검증, 포스트 시뮬레이션 등과 같은 일반적인 집적 회로의 설계 방법에 따른 다양한 단계들을 더 포함할 수 있다.As such, the design of the integrated circuit (S10) may include steps S11 and S12 described above. However, the present invention is not limited to this and may further include various steps according to a general integrated circuit design method, such as modification of a standard cell library, layout verification, and post simulation.

단계 S13에서, 레이아웃을 기초로 마스크를 제조한다. 구체적으로, 먼저 레이아웃을 기초로 OPC(Optical Proximity Correction)를 수행할 수 있는데, OPC는 광 근접 효과에 따른 오차를 반영하여 레이아웃을 변경하는 공정을 말한다. 이어서, OPC 수행 결과에 따라 변경된 레이아웃에 따라 마스크를 제조할 수 있다. 이때, OPC를 반영한 레이아웃, 예컨대, OPC가 반영된 그래픽 디자인 시스템(Graphic Design System, GDS)을 이용하여 마스크를 제조할 수 있다. 여기서, 제조된 마스크의 개수는 레이아웃에 포함된 패턴들에 할당된 컬러들의 개수에 대응할 수 있다.In step S13, a mask is manufactured based on the layout. Specifically, first, OPC (Optical Proximity Correction) can be performed based on the layout. OPC refers to a process of changing the layout by reflecting errors due to the optical proximity effect. Subsequently, the mask can be manufactured according to the changed layout according to the OPC performance results. At this time, the mask can be manufactured using a layout that reflects OPC, for example, a graphic design system (GDS) that reflects OPC. Here, the number of masks manufactured may correspond to the number of colors assigned to patterns included in the layout.

단계 S14에서, 제조된 마스크를 이용하여 반도체 장치를 형성한다. 마스크를 이용하여 웨이퍼 등과 같은 반도체 기판 상에 다양한 반도체 공정을 진행하여 반도체 장치를 형성한다. In step S14, a semiconductor device is formed using the manufactured mask. A semiconductor device is formed by performing various semiconductor processes on a semiconductor substrate such as a wafer using a mask.

예를 들어, 마스크를 이용하는 공정은 리소그라피(lithography) 공정을 통한 패터닝 공정을 의미할 수 있다. 이러한 패터닝 공정을 통해 반도체 기판이나 물질층 상에 원하는 패턴을 형성할 수 있다. 한편, 반도체 공정은 증착 공정, 식각 공정, 이온 공정, 세정 공정 등을 포함할 수 있다. 여기서, 증착 공정은 CVD, 스퍼터링, 스핀 코팅 등 다양한 물질층 형성 공정을 포함할 수 있다. For example, a process using a mask may mean a patterning process through a lithography process. Through this patterning process, a desired pattern can be formed on a semiconductor substrate or material layer. Meanwhile, the semiconductor process may include a deposition process, an etching process, an ion process, a cleaning process, etc. Here, the deposition process may include various material layer formation processes such as CVD, sputtering, and spin coating.

이온 공정은 이온 주입, 확산, 열처리 등의 공정을 포함할 수 있다. 또한, 반도체 공정은 반도체 소자를 PCB 상에 실장하고 밀봉재로 밀봉하는 패키징 공정을 포함할 수도 있고, 반도체 소자나 패키지에 대해 테스트를 하는 테스트 공정을 포함할 수도 있다.Ion processes may include processes such as ion implantation, diffusion, and heat treatment. Additionally, the semiconductor process may include a packaging process of mounting a semiconductor device on a PCB and sealing it with a sealing material, and may also include a test process of testing the semiconductor device or package.

도 3은 발명의 실시 예에 따른 반도체 집적 회로의 제조 방법을 나타낸 순서도이다. Figure 3 is a flowchart showing a method of manufacturing a semiconductor integrated circuit according to an embodiment of the invention.

도 3을참조하면, 본 발명의 실시 예에 따른 반도체 집적 회로의 제조 방법은 스키메틱 회로(schematic circuit)를 생성하는 단계(S1), 레이아웃을 생성하는 단계(S2) 및 반도체 집적 회로를 제조하는 단계(S3)를 포함할 수 있다.Referring to FIG. 3, the method of manufacturing a semiconductor integrated circuit according to an embodiment of the present invention includes steps of generating a schematic circuit (S1), creating a layout (S2), and manufacturing a semiconductor integrated circuit. It may include step (S3).

스키메틱 회로는 반도체 집적 회로를 구성하는 여러 회로 소자들의 연결 상태를 나타낼 수 있다. 상기 스키메틱 회로의 설계는 CAD(Computer Aided Design) 와 같은 회로 설계 툴(circuit design tool)에 의해 수행될 수 있다.A schematic circuit can represent the connection state of various circuit elements that make up a semiconductor integrated circuit. The design of the schematic circuit may be performed by a circuit design tool such as CAD (Computer Aided Design).

스키메틱 회로를 생성하는 단계(S1)는 설계된 회로의 동작을 시뮬레이션하는 프리 시뮬레이션 단계를 포함할 수 있다.The step (S1) of generating a schematic circuit may include a pre-simulation step that simulates the operation of the designed circuit.

레이아웃을 생성하는 단계(S2)는 도 1에 도시된 반도체 집적 회로 설계 방법과 동일할 수 있다. 예를 들어, 상기 레이아웃을 생성하는 단계(S2)는 설계 영역을 셀로 분리하는 단계(S110), 셀을 포함하는 설계 영역에 컷 레이어를 생성할 레이아웃 설계하는 단계(S130), 하위셀에서 상위셀로 오름차순으로 진행하면서 컷 레이어를 배치하는 컷 레이어 배치 단계(S140)를 포함할 수 있다. The step of creating a layout (S2) may be the same as the semiconductor integrated circuit design method shown in FIG. 1. For example, the step of creating the layout (S2) includes dividing the design area into cells (S110), designing a layout to create a cut layer in the design area including the cells (S130), and moving from lower cells to upper cells. It may include a cut layer placement step (S140) in which cut layers are placed in ascending order.

상기 반도체 집적 회로를 제조하는 단계(S3)는 포스트 시뮬레이션을 수행하는 단계(S310), OPC(Optical Proximity Correction)를 수행하고 마스크를 제조하는 단계(S230) 및 반도체 집적 회로를 형성하는 단계(S230)를 포함할 수 있다.Manufacturing the semiconductor integrated circuit (S3) includes performing post-simulation (S310), performing optical proximity correction (OPC) and manufacturing a mask (S230), and forming a semiconductor integrated circuit (S230). may include.

포스트 시뮬레이션을 수행하는 단계(S310)는 완성된 레이아웃에 대한 LVS(layout versus schematic)를 수행하는 단계 및 ERC(electic rule check)를 수행하는 단계를 포함할 수 있다. 상기 LVS는 스키메틱 회로와 완성된 레이아웃이 맞는지 확인하는 과정을 의미할 수 있다. 상기 ERC는 완성된 레이아웃에서 회로들 및 배선들이 전기적으로 제대로 연결되었는 지 확인하는 과정을 의미할 수 있다.The step of performing post-simulation (S310) may include performing layout versus schematic (LVS) on the completed layout and performing elective rule check (ERC). The LVS may refer to the process of checking whether the schematic circuit and the completed layout match. The ERC may refer to the process of checking whether circuits and wiring are properly electrically connected in the completed layout.

OPC를 수행하는 단계(S220) 광 근접 효과에 따른 오차를 반영하여 레이아웃을 보정하는 단계를 포함할 수 있고 마스크를 제조하는 단계(S320)는 OPC의 수행에 의해 보정된 레이아웃을 이용하여 마스크를 제작하는 단계를 포함할 수 있다. 한편, 상기 마스크는 컬러링된 레이아웃 별로 제작될 수 있다. 반도체 집적 회로를 형성하는 단계(S250)는 상기 제작된 마스크를 이용한 포토 리소그래피(photo-lithograph) 공정 등을 통해 웨이퍼에 반도체 집적 회로를 형성하는 단계를 포함할 수 있다.The step of performing OPC (S220) may include correcting the layout by reflecting the error due to the optical proximity effect, and the step of manufacturing the mask (S320) may include manufacturing a mask using the layout corrected by performing OPC. It may include steps. Meanwhile, the mask can be manufactured for each colored layout. The step of forming a semiconductor integrated circuit (S250) may include forming a semiconductor integrated circuit on a wafer through a photo-lithography process using the manufactured mask.

도 4는 본 발명의 실시 예에 따라 컷 영역을 배치하는 순서를 도시한 순서도로서, 도 3의 S130 단계를 구체화하여 도시한 순서도이며, 도 5는 본 발명에 따른 컷 레이어 배치 방법을 설명하기 위한 상위 셀 영역 및 하위 셀 영역을 도시한 도면이며, 도 6은 본 발명의 실시 예에 따라 하위 셀 영역에서 컷 레이어를 배치하는 방법을 설명하기 위한 도면이고, 도 7은 본 발명의 실시예에 따라 상위 셀 영역에서 컷 레이어를 배치하는 방법을 설명하기 위한 도면이다.Figure 4 is a flowchart showing the order of arranging cut areas according to an embodiment of the present invention, and is a flowchart showing step S130 of Figure 3 in detail, and Figure 5 is a flowchart for explaining the cut layer arrangement method according to the present invention. It is a diagram illustrating an upper cell area and a lower cell area. FIG. 6 is a diagram illustrating a method of placing a cut layer in a lower cell area according to an embodiment of the present invention, and FIG. 7 is a diagram according to an embodiment of the present invention. This is a diagram to explain how to place a cut layer in the upper cell area.

도 4 내지 도 7의 경우 설명의 편의를 위해 셀을 2개(상위 셀, 하위 셀)를 기준으로 설명하였지만, 본 발명의 실시예가 이로 한정되는 것은 아니고, 본 발명의 원리는 2개 보다 더 많은 셀을 가지고 있는 경우에도 당연히 적용될 수 있다. 4 to 7, for convenience of explanation, the description is based on two cells (upper cell, lower cell), but the embodiment of the present invention is not limited to this, and the principle of the present invention is more than two. Of course, this can also be applied if you have cells.

또한, 본 발명의 경우, 앞서 설명한 바와 같이 하위 셀 영역에서 상위 셀 영역으로 컷 레이어를 순차적으로 배치하는 것에 특징이 존재하는데, 여기서 사 상위와 하위의 개념은 절대적인 개념은 아니고 상대적인 개념을 의미한다. 예를 들어, 셀들이 총 5개(제1셀, 제2셀, 제3셀, 제4셀, 제5셀) 존재하는 경우 5개의 레이 아웃에 대해 컷 레이어를 배치한다면 제1셀이 최하위 영역의 셀이 되고, 제5셀이 최상위 영역의 셀이 되며, 상대적인 개념에 따라 제2셀은 제1셀을 기준으로는 상위 셀이 되나, 제3셀을 기준으로는 제2셀은 하위 셀이 된다. In addition, in the case of the present invention, as described above, there is a feature of sequentially arranging cut layers from the lower cell area to the upper cell area, and here, the concepts of upper and lower are not absolute concepts but relative concepts. For example, if there are a total of 5 cells (1st cell, 2nd cell, 3rd cell, 4th cell, 5th cell) and you place a cut layer for 5 layouts, the 1st cell is the lowest area. cell, and the 5th cell becomes the cell of the highest area. According to the relative concept, the 2nd cell becomes the upper cell based on the 1st cell, but the 2nd cell becomes the lower cell based on the 3rd cell. do.

도 4를 참조하면, S120에 따라 표준 셀을 배치하고 배선 패턴을 생성한 후에는, 배선 패턴이 완료된 레이아웃을 받아 하위 셀영역에서부터 상위 셀 영역으로 올라가면서 계층을 하나씩 선택한다. (S210)Referring to FIG. 4, after arranging standard cells and creating a wiring pattern according to S120, the layout with the wiring pattern completed is received and the layers are selected one by one, moving up from the lower cell area to the upper cell area. (S210)

셀이 선택이 되었으며, 선택된 셀 내부의 배선 위치 정보 및 배선 간 이격 수치 정보 추출한다. (S220)A cell is selected, and information on the wiring location and the numerical spacing between wiring within the selected cell is extracted. (S220)

S220 단계는, 배선의 위치가 이격 거리를 위반하는지 검사하기 위해 위치를 추출하는 것으로서,추출하는 대상들은 하위셀의 핀, 현재 계층의 핀 및 메탈 이며, 셀의 양 옆에는 가상 메탈이 있다고 가정한 후, 배선 위치 정보 및 배선 간 이격 수치 정보를 추출한다. 구체적으로 도 6의 (a)에 따라 위치정보는 추출하는 대상은 외각 위치 2개, 하위셀 메탈 및 핀 위치 3개이므로 총 5개이다.Step S220 extracts the location to check whether the location of the wiring violates the separation distance. The objects to be extracted are the pins of the lower cell, the pins and metal of the current layer, and it is assumed that there is virtual metal on both sides of the cell. Afterwards, wiring position information and numerical spacing information between wires are extracted. Specifically, as shown in (a) of Figure 6, the location information to be extracted is 2 outer positions and 3 subcell metal and pin positions, so there are a total of 5 locations.

정보가 추출되었으면, 추출된 이격 수치 정보가 디자인 룰을 만족하는지 여부를 판단한다. (S230).Once the information has been extracted, it is determined whether the extracted separation value information satisfies the design rules. (S230).

디자인 룰을 만족하지 않는다면 해당 메탈이 해당계층보다 상위셀과 연결 목적인 핀 인지 여부를 외각에 핀이 위치하는지 여부를 기준으로 확인한다 (S240). If the design rule is not satisfied, whether the metal in question is a pin intended to connect to a cell higher than the corresponding layer is checked based on whether the pin is located on the outer side (S240).

구체적으로, 도 6의 (b)에 따라, 컷 레이어를 배치할지 안할지 비교하는 횟수는 총 6번(비교 1번부터 6번)를 하게 된다. 비교 결과 비교 5번과 6번은 충분한 이격 거리가 확보된 상황이라 컷 레이어를 배치하지는 않지만, 비교 1번 내지 4번은 이격 거리가 컷 레이어를 배치해야 하는 미리 설정된 거리보다 작으므로 도 6의 (c) 처럼 4개 영역에 대해 컷 레이어를 배치해야 한다.Specifically, according to (b) of FIG. 6, the number of times to compare whether to place a cut layer or not is performed a total of 6 times (comparisons 1 to 6). As a result of comparison, the cut layer is not placed in comparisons 5 and 6 because a sufficient separation distance is secured, but in comparisons 1 to 4, the separation distance is smaller than the preset distance at which the cut layer must be placed, so (c) in Figure 6 Cut layers must be placed for the four areas as shown.

다만, 비교 3번과 4번에 따른 외곽에 있는 핀 영역으로서, 나중에 다른 셀들과의 연결을 위해 사용될 수 있으므로 컷 레이어를 배치하지 않고, 비교 1번과 비교 2번처럼 핀용도가 아니며 이격거리를 위반한 메탈은 컷 레이어를 배치할 수 있다. (S250) However, as it is a pin area on the outside according to comparisons 3 and 4, a cut layer is not placed because it can be used later for connection with other cells, and it is not for pin use like comparisons 1 and 2, and the separation distance is Violating metal can have a cut layer placed on it. (S250)

S250에서, 비교 1번과 2번과 같은 최외각 영역에서는 다른 셀들이 어떻게 붙여질지 모르기 때문에 컷 레이어를 배치될 수 도 있고, 아닐 수 도 있지만 본 발명에서는 설계의 안정성 및 자동화를 위해, 이러한 경우에는 최악의 상황을 가정해서 컷 레이어를 배치한다. 따라서, 도 6의 (d)에 도시된 바와 같이 하위 셀 영역에서는 컷 레이어가 2번 진행된다.In S250, in the outermost areas such as comparisons 1 and 2, a cut layer may or may not be placed because it is not known how other cells will be attached, but in the present invention, for design stability and automation, in this case Place the cut layer assuming the worst case scenario. Therefore, as shown in (d) of FIG. 6, the cut layer is performed twice in the lower cell area.

이러한 도 6에서의 진행은 배선 간격 하나씩 진행되므로 모든 배선에 대한 검사가 완료 될 때까지 반복한다. (S260) 따라서, 도 6에서처럼 하위 영역 셀에 대한 컷 레이어 배치가 완료되면, 도 7에 따라 상위 셀 영역에 대한 컷 레이어 배치를 진행한다. Since this process in FIG. 6 is performed one by one at each wiring interval, it is repeated until the inspection of all wiring is completed. (S260) Therefore, when the cut layer arrangement for the lower cell area is completed as shown in FIG. 6, the cut layer arrangement for the upper cell area is performed according to FIG. 7.

상위 셀 영역에서의 컷 레이어 배치는 기본적인 프로세서는 도 6과 동일하지만 하위 셀이 포함되어 있으며, 하위 셀 영역에서의 컷 레이어 배치는 이미 완료가 되어 있다고 가정한 상태에서, 상위 셀 들에 대한 컷 레이어 배치만 진행한다. 즉, 도 7에서는 모든 메탈들 사이의 이격 거리를 비교하는 것이 아니라 하위셀-PIN 과 상위셀-PIN, 상위셀-메탈, 셀의 외각만 서로 비교하는 것에 특징이 존재한다.The basic process for placing the cut layer in the upper cell area is the same as in Figure 6, but assuming that lower cells are included and that the cut layer placement in the lower cell area has already been completed, the cut layer for the upper cells is Only placement is done. That is, in Figure 7, the separation distance between all metals is not compared, but only the lower cell-PIN, upper cell-PIN, upper cell-metal, and outer shell of the cell are compared.

구체적으로, 도 7의 (a)에 도시된 바와 같이 상위 셀 영역에서의 비교 대상은 하위 셀의 핀(3번 핀~7번 핀), 상위 셀의 메탈(8번 메탈 및 9번 메탈), 상위 셀의 핀(10번 필), 외각 영역(1번 및 2번) 을 포함하여 총 10개의 대상이 비교를 위해 선택된다. Specifically, as shown in (a) of FIG. 7, the objects of comparison in the upper cell area are the pins of the lower cell (pins 3 to 7), the metal of the upper cell (metal 8 and metal 9), A total of 10 targets are selected for comparison, including the pins of the upper cells (fill 10) and the outer regions (numbers 1 and 2).

그 후 도 7의 (b)에 도시한 바와 같이 메탈들 사이의 간격을 비교하여 11번(7번 비교 ~17번 비교)의 비교 횟수를 거치게 된다. (1번~6번의 비교 횟수는 하위 영역에서 이루어지는 비교 횟수로 도 6에서 이미 완료한 상 태이다) Afterwards, as shown in (b) of FIG. 7, the spacing between metals is compared and the number of comparisons is 11 (from 7 comparisons to 17 comparisons). (The number of comparisons 1 to 6 is the number of comparisons made in the lower area and has already been completed in Figure 6)

그러나 핀 영역과 셀의 외각 영역에서는 컷 레이어를 배치하지 않으므로 도 7의 (c)에 도시된 바와 같이 한번의 컷 레이어 배치 단계만을 거치게 된다. 따라서 최종적으로 본 발명에 따를 경우 17번의 비교와 3번의 배치 과정을 거치게 된다. 이하 이러한 특징을 종래 기술과 비교하여 설명하도록 한다. However, since the cut layer is not placed in the pin area and the outer area of the cell, only one cut layer placement step is required, as shown in (c) of FIG. 7. Therefore, ultimately, according to the present invention, 17 comparisons and 3 placement processes are performed. Below, these features will be described in comparison with the prior art.

도 8 내지 도 11은 종래 기술에 따라 컷 레이어를 배치하기 위해 비교가 필요한 횟수와 컷 레이어 배치 횟수를 비교 도시한 도면으로서, 도 8은 종래 기술에 따라 하나의 영역을 기준으로 컷 레이어를 배치하기 위한 비교 횟수와 컷 레이어 배치 횟수를 도시한 도면이고, 도 9는 종래 기술에 따라 4개의 영역을 기준으로 컷 레이어를 배치하기 위한 비교 횟수와 컷 레이어 배치 횟수를 도시한 도면이다. 도 10은 본 발명에 따라 하나의 영역을 기준으로 컷 레이어를 배치하기 위한 비교 횟수와 컷 레이어 배치 횟수를 도시한 도면이고, 도 11은 본 발명에 따라 4개의 영역을 기준으로 컷 레이어를 배치하기 위한 비교 횟수와 컷 레이어 배치 횟수를 도시한 도면이다. Figures 8 to 11 are diagrams comparing the number of times required to be compared to place a cut layer according to the prior art and the number of times the cut layer is placed. Figure 8 shows the number of times a cut layer is placed based on one area according to the prior art. Figure 9 is a diagram showing the number of comparisons and the number of cut layer arrangements for arranging cut layers based on four areas according to the prior art. Figure 10 is a diagram showing the number of comparisons and the number of cut layer arrangements for arranging cut layers based on one area according to the present invention, and Figure 11 is a diagram showing the number of comparisons for arranging cut layers based on four areas according to the present invention. This is a diagram showing the number of comparisons and the number of cut layer placements.

도 8을 참조하면, 종래 기술의 경우 메탈들 사이의 간격을 하나하나 비교를 하여야 했기 때문에, 도 8의 (a)에 도시된 바와 같이 총 13번의 비교를 해야 했고, 이에 따라 도 8의 (b)에 도시된 바와 같이 메탈들 사이의 간격이 최소이격거리가 작은 거리를 가지고 있는 5개의 영역에 컷 레이어를 배치하였음을 알 수 있다. Referring to FIG. 8, in the case of the prior art, since the spacing between metals had to be compared one by one, a total of 13 comparisons had to be made as shown in (a) of FIG. 8, and accordingly, (b) of FIG. 8 ), it can be seen that the cut layers were placed in five areas where the minimum distance between metals is small.

그리고 일반적인 설계 레이아웃은 동일한 영역이 확장되는 특성을 가지고 있기 때문에, 도 9에 도시된 바와 같이 도 8에서의 영역이 4개가 붙어 있는 경우, 총 영역에서의 비교 횟수와 배치 횟수를 비교해 보면, 비교 횟수의 경우 각 영역에서의 13번과 영역 사이의 비교 10번을 더해서 총 62번이 행해진다. 그리고 컷 레이어 배치 횟수의 경우 각 영역에서의 5개가 4번 곱해져 통 20번 행해진다. And since the general design layout has the characteristic of expanding the same area, as shown in Figure 9, when there are four areas in Figure 8, comparing the number of comparisons in the total area and the number of placements, the number of comparisons is In the case of , a total of 62 comparisons are made, including 13 in each area and 10 comparisons between areas. And in the case of the number of cut layer placements, the 5 in each area are multiplied by 4 and performed 20 times in total.

반면, 본 발명에 따라 컷 레이어 영역을 비교하고 배치한 횟수를 보면, 먼저 하위 셀 영역에서의 비교 횟수를 판단하면, 도 10의 (a)에 도시된 바와 하위 셀 영역에서는 총 6번 비교 횟수를 거친다. On the other hand, looking at the number of times the cut layer area is compared and arranged according to the present invention, if the number of comparisons in the lower cell area is first determined, as shown in (a) of Figure 10, the total number of comparisons in the lower cell area is 6 times. It's rough.

그 후, 도면에 도시된 바와 같이 하위 셀 영역에서는 동일한 하위 셀이 5개가 병렬적으로 순차적으로 이어져 있기 때문에 하나의 하위 셀 자체의 내부 영역 안에서는 비교는 더 이상 하지 않고(가장 처음 비교를 한 하위 셀 정보를 그대로 사용하면 되므로), 하위 셀들 사이의 이격거리를 비교한다. 도면을 기준으로 하면 총 6번(빨간색 7번부터 12번)이 이루어지므로, 하위 셀 이 배치된 영역에서의 총 비교 횟수는 12번이 된다. Afterwards, as shown in the figure, since five identical subcells are sequentially connected in parallel in the subcell area, no further comparisons are made within the internal area of one subcell itself (the subcell that was first compared (since the information can be used as is), compare the separation distances between lower cells. Based on the drawing, a total of 6 comparisons are made (red numbers 7 to 12), so the total number of comparisons in the area where the subcell is placed is 12.

하위 셀 영역에서의 컷 레이어 배치는, 비교 5번과 6번의 경우는 이격 거리가 컷 레이어를 배치해야 하는 미리 설정된 거리보다 긴 거리(이 경우 제2이격 거리라 지칭한다) 로서, 메탈들 사이 이격거리가 충분히 확보된 상태라 컷 레이어를 배치하지 않고, 비교 3번과 비교 4번은 핀 영역이라 컷 레이어를 배치하지 않는다. As for the placement of the cut layer in the subcell area, in the case of comparisons 5 and 6, the separation distance is longer than the preset distance at which the cut layer must be placed (in this case, it is referred to as the second separation distance), and the separation distance between the metals is Because the distance is sufficiently secured, no cut layers are placed, and comparisons 3 and 4 are pin areas, so no cut layers are placed.

비교 1번과 2번과 같은 최외각 영역에서는 다른 셀들이 어떻게 붙여질지 모르기 때문에 컷 레이어를 배치될 수 도 있고, 아닐 수 도 있지만 본 발명에서는 설계의 안정성 및 자동화를 위해, 이러한 경우에는 최악의 상황을 가정해서 컷 레이어를 배치한다. 따라서, 도 10의 (a)에 도시된 바와 같이 하위 셀 영역에서는 컷 레이어가 2번 진행된다.In the outermost areas such as comparisons 1 and 2, a cut layer may or may not be placed because it is not known how other cells will be attached, but in the present invention, for design stability and automation, in this case, the worst case situation Place the cut layer assuming that. Therefore, as shown in (a) of FIG. 10, the cut layer is performed twice in the lower cell area.

그리고 이렇게 하위 셀 영역에서 비교 횟수 및 컷 레이어에 대한 배치가 마무리 되면 도 10의 (b)에 도시된 바와 같이 상위 셀 영역에서의 비교를 총 5번(빨간색 13번부터 17번)을 하는 것으로 상위 셀 영역에서의 비교를 마무리하고, 컷 레이어의 경우 비교 13, 15, 16, 17은 이격 거리가 컷 레이어를 배치해야 하는 미리 설정된 거리보다 긴 이격거리를 가지고 있기 때문에, 컷 레이어를 배치하지 않고, 비교 14번과 같이 메탈들 사이 이격거리가 미리 설정된 거리보다 작은 이격 거리(이 경우 제1이격 거리가 지칭한다)를 가지고 있는 영역에서는 컷 레이어를 배치한다. And when the number of comparisons and the arrangement of the cut layer in the lower cell area are completed, comparisons in the upper cell area are performed a total of 5 times (numbers 13 to 17 in red), as shown in (b) of FIG. 10. Concluding the comparison in the cell area, in the case of cut layers, comparisons 13, 15, 16, and 17 have a separation distance longer than the preset distance at which the cut layer must be placed, so the cut layer is not placed. As in comparison number 14, a cut layer is placed in an area where the separation distance between metals is smaller than the preset distance (in this case, it refers to the first separation distance).

따라서, 총 비교 횟수는 하위 셀 영역에서 6번, 상위 셀 영역에서 11번 을 해서 총 17번의 비교를 하게 되고, 컷 레이어는 총 3번 실행하게 된다. Therefore, the total number of comparisons is 17, with 6 in the lower cell area and 11 in the upper cell area, and the cut layer is executed a total of 3 times.

그리고 도 11에서럼 도 8의 셀들이 총 4개가 붙어 있는 경우(도 8의 셀을 중간 단위의 셀이라 지칭한다) 총 비교 횟수는 도 10 에서의 핀들 의 거리만 비교하면 되기 때문에(이미 중간 단위의 셀에 대해서는 컷 레이어가 완료되었으므로) 비교 18번부터 23번까지 비교를 진행하면 된다. 따라서, 총 비교 횟수는 23번이 되고, 컷 레이어의 경우 이미 중간 단위의 셀 내부는 컷 레이어가 완료된 상태이고, 도 10 에서의 핀들 간격만 판단해서 셀을 배치하면 되는데, 도 11에서의 비교 영역에의 모든 이격 거리는 컷 레이어를 배치해야 하는 이격 거리보다 크므로 컷 레이어를 배치할 필요가 없다. 따라서, 총 컷 레이어 배치 횟수는 3번이 된다. And, as in Figure 11, when a total of 4 cells in Figure 8 are attached (the cells in Figure 8 are referred to as mid-unit cells), the total number of comparisons only needs to compare the distances of the pins in Figure 10 (already in the middle unit). Since the cut layer has been completed for the cells, you can proceed with comparisons 18 to 23. Therefore, the total number of comparisons is 23, and in the case of the cut layer, the cut layer has already been completed inside the cells of the middle unit, and the cells only need to be placed by judging the spacing between the pins in FIG. 10. The comparison area in FIG. 11 All separation distances in are greater than the separation distance at which a cut layer must be placed, so there is no need to place a cut layer. Therefore, the total number of cut layer placements is 3.

따라서, 종래 기술에 따른 도 9에 따른 결과와 본 발명에 따른 도 11에 따른 결과를 종합적으로 비교해보면 본 발명의 경우 동일한 환경에서 종래 기술보다 컷 레이어를 배치하기 위한 비교 횟수 및 배치 횟수가 줄어드는 장점이 존재한다. 따라서, 종래기술 보다 효율적으로 빠르게 컷 레이어를 배치할 수 있는 장점이 존재하며, 이러한 방법은 프로그램 알고리즘으로 구현 할 수 있기 때문에, 종래 기술과 달리 컴퓨터로 자동적으로 반도체 회로를 설계할 수 있는 장점이 존재한다. Therefore, when comprehensively comparing the results according to FIG. 9 according to the prior art and the results according to FIG. 11 according to the present invention, the advantage of the present invention is that the number of comparisons and placement numbers for arranging the cut layer is reduced compared to the prior art in the same environment. This exists. Therefore, there is an advantage of being able to place cut layers more efficiently and quickly than the prior art, and because this method can be implemented with a program algorithm, there is an advantage of being able to automatically design semiconductor circuits with a computer, unlike the prior art. do.

도 12는 본 발명의 실시 예에 따른 반도체 집적 회로 제조 시스템을 개략적으로 나타낸 도면이다.Figure 12 is a diagram schematically showing a semiconductor integrated circuit manufacturing system according to an embodiment of the present invention.

도 12를 참조하면, 본 발명의 실시 예에 따른 반도체 집적 회로의 제조 시스템(100)은 스키메틱 회로 생성 유닛(110), 레이아웃 생성 유닛(120), 마스크 제조 유닛(130) 및 반도체 집적 회로 형성 유닛(140)을 포함할 수 있다. 상기 스키메틱 회로 생성 유닛(110), 상기 레이아웃 생성 유닛(120), 상기 마스크 제조 유닛(130) 및 상기 반도체 집적 회로 형성 유닛(140)은 각각 독립된 장치일 수 있다.Referring to FIG. 12, the semiconductor integrated circuit manufacturing system 100 according to an embodiment of the present invention includes a schematic circuit generation unit 110, a layout generation unit 120, a mask manufacturing unit 130, and a semiconductor integrated circuit formation. It may include unit 140. The schematic circuit generation unit 110, the layout generation unit 120, the mask manufacturing unit 130, and the semiconductor integrated circuit forming unit 140 may each be independent devices.

스키메틱 회로 생성 유닛(110)은 스키메틱 회로를 생성할 수 있다. 스키메틱 회로 생성 유닛(110)에 의해 생성된 스키메틱 회로는 레이아웃 생성 유닛(120)으로 전달될 수 있다.The schematic circuit creation unit 110 may generate a schematic circuit. The schematic circuit generated by the schematic circuit creation unit 110 may be transmitted to the layout creation unit 120.

레이아웃 생성 유닛(120)은 설계 영역 분리 툴(design area separation tool, 1210), 레이아웃 설계 툴(layout design tool, 1220), 레이아웃 분리 툴(layout decomposition tool, 1230) 및 디자인 룰 체크 툴(design rule check tool, 1240)을 포함할 수 있다.The layout creation unit 120 includes a design area separation tool (1210), a layout design tool (1220), a layout decomposition tool (1230), and a design rule check tool (design rule check). tool, 1240).

레이아웃 생성 유닛(120)에 의해 생성된 레이아웃은 마스크 제조 유닛(130)으로 전달될 수 있다.The layout created by the layout creation unit 120 may be transmitted to the mask manufacturing unit 130.

마스크 제조 유닛(130)은 생성된 레이아웃으로 마스크를 제작할 수 있다. 마스크 제조 유닛(130)은 생성된 레이아웃의 OPC를 수행할 수 있다. 마스크 제조 유닛(130)은 생성된 레이아웃의 컬러 별로 마스크를 제작할 수 있다. 마스크 제조 유닛(130)에 의해 제작된 마스크는 상기 반도체 집적 회로 형성 유닛(140)으로 전달될 수 있다.The mask manufacturing unit 130 may manufacture a mask using the generated layout. The mask manufacturing unit 130 may perform OPC of the generated layout. The mask manufacturing unit 130 can manufacture a mask for each color of the generated layout. The mask manufactured by the mask manufacturing unit 130 may be delivered to the semiconductor integrated circuit forming unit 140.

반도체 집적 회로 형성 유닛(140)은 상기 제작된 마스크를 이용한 포토 리소그래피(photo-lithograph) 공정 등을 통해 웨이퍼에 반도체 집적 회로를 형성할 수 있다.The semiconductor integrated circuit forming unit 140 may form a semiconductor integrated circuit on a wafer through a photo-lithography process using the fabricated mask.

도 13은 본 발명의 실시 예에 따른 설계 방법을 이용하여 제조된 반도체 집적 회로를 포함하는 반도체 모듈을 개략적으로 나타낸 도면이다.13 is a diagram schematically showing a semiconductor module including a semiconductor integrated circuit manufactured using a design method according to an embodiment of the present invention.

반도체 모듈(200)은 제어기(210) 및 메모리(220)를 포함할 수 있다. 예를 들어, 상기 반도체 모듈(200)은 메모리 스틱 카드 (memory stick card), 스마트 미디어 카드 (smart media card: SM), 씨큐어 디지털 카드 (secure digital card: SD), 미니-씨큐어 디지털 카드 (minisecuredigital card: 미니 SD) 및 멀티미디어 카드 (multimedia card: MMC) 등과 같은 메모리 카드일 수 있다.The semiconductor module 200 may include a controller 210 and a memory 220. For example, the semiconductor module 200 may be a memory stick card, smart media card (SM), secure digital card (SD), or minisecuredigital card. : It may be a memory card such as a mini SD) and a multimedia card (MMC).

제어기(210)는 메모리(220)와 전기적으로 연결될 수 있다. 메모리(220)는 제어기(210)와 전기 신호를 교환할 수 있다. 예를 들어, 메모리(220)는 제어기(210)의 신호에 따라 데이터를 전송할 수 있다.The controller 210 may be electrically connected to the memory 220. The memory 220 may exchange electrical signals with the controller 210. For example, the memory 220 may transmit data according to a signal from the controller 210.

제어기(210) 및 메모리(22000)는 본 발명의 실시 예에 따른 설계 방법을 이용하여 제조된 반도체 집적 회로를 포함할 수 있다. 이에 따라 본 발명의 실시 예에 따른 반도체 모듈(200)의 생산 효율을 향상될 수 있다.The controller 210 and the memory 22000 may include a semiconductor integrated circuit manufactured using a design method according to an embodiment of the present invention. Accordingly, the production efficiency of the semiconductor module 200 according to an embodiment of the present invention can be improved.

도 14는 본 발명의 실시 예에 따른 설계 방법을 이용하여 제조된 반도체 집적 회로를 포함하는 전자 시스템을 나타낸 도면이다.Figure 14 is a diagram showing an electronic system including a semiconductor integrated circuit manufactured using a design method according to an embodiment of the present invention.

전자 시스템(300)은 프로세서(310), 메모리 장치(320), 저장 장치(330), 파워 서플라이(340) 및 입출력 장치(350)를 포함할 수 있다. 전자 시스템(300)은 비디오 카드, 사운드 카드, 메모리 카드, USB 장치 등과 같은 전자 기기들과 통신할 수 있는 포트(port)들을 더 포함할 수 있다.The electronic system 300 may include a processor 310, a memory device 320, a storage device 330, a power supply 340, and an input/output device 350. The electronic system 300 may further include ports capable of communicating with electronic devices such as a video card, sound card, memory card, USB device, etc.

프로세서(310)는 마이크로프로세서(micro-processor) 또는 중앙 처리 장치(Central Processing Unit; CPU)일 수 있다. 프로세서(310)는 어드레스 버스(address bus), 제어 버스(control bus) 및 데이터 버스(data bus) 등과 같은 버스(2600)를 통하여 메모리 장치(320), 저장 장치(330) 및 상기 입출력 장치(350)와 통신을 수행할 수 있다. 프로세서(310)는 주변 구성요소 상호연결(Peripheral Component Interconnect; PCI) 버스와 같은 확장 버스와 연결될 수 있다.The processor 310 may be a microprocessor or a central processing unit (CPU). The processor 310 connects the memory device 320, the storage device 330, and the input/output device 350 through a bus 2600 such as an address bus, a control bus, and a data bus. ) can communicate with. The processor 310 may be connected to an expansion bus, such as a Peripheral Component Interconnect (PCI) bus.

메모리 장치(320)는 반도체 집적 회로의 제조 시스템(100)의 동작에 필요한 데이터를 저장할 수 있다. 예를 들어, 메모리 장치(320)는 디램(DRAM), 모바일 디램, 에스램(SRAM), 피램(PRAM), 에프램(FRAM), 알램(RRAM) 및 엠램(MRAM) 중 적어도 하나를 포함할 수 있다.The memory device 320 may store data necessary for the operation of the semiconductor integrated circuit manufacturing system 100. For example, the memory device 320 may include at least one of DRAM, mobile DRAM, SRAM, PRAM, FRAM, RRAM, and MRAM. You can.

저장 장치(330)는 솔리드 스테이트 드라이브(solid state drive), 하드 디스크 드라이브(hard disk drive) 및 씨디롬(CD-ROM)과 같은 외부 저장 장치를 포함할 수 있다. 파워 서플라이(340)는 해당 전자 시스템(300)의 동작에 필요한 동작 전압을 공급할 수 있다. 입출력 장치(350)는 키보드, 키패드, 마우스 등과 같은 입력 수단을 포함할 수 있다. 입출력 장치(350)는 프린터, 디스플레이 등과 같은 출력 수단을 포함할 수 있다.The storage device 330 may include an external storage device such as a solid state drive, hard disk drive, and CD-ROM. The power supply 340 may supply the operating voltage necessary for the operation of the corresponding electronic system 300. The input/output device 350 may include input means such as a keyboard, keypad, mouse, etc. The input/output device 350 may include output means such as a printer, display, etc.

프로세서(310), 메모리 장치(320), 저장 장치(330), 파워 서플라이(340) 및 입출력 장치(350)는 본 발명의 실시 예에 따른 설계 방법을 이용하여 제조된 반도체 집적 회로를 포함할 수 있다. 이에 따라 본 발명의 실시 예에 따른 반도체 모듈(200)의 생산 효율을 향상될 수 있다.The processor 310, memory device 320, storage device 330, power supply 340, and input/output device 350 may include a semiconductor integrated circuit manufactured using a design method according to an embodiment of the present invention. there is. Accordingly, the production efficiency of the semiconductor module 200 according to an embodiment of the present invention can be improved.

지금까지 도면을 통해 본 발명에 따른 반도체 회로 설계 방법 및 장치에 대해 알아보았다. So far, we have looked at the semiconductor circuit design method and device according to the present invention through the drawings.

일 실시예에 따른 반도체 집적 회로 설계 방법은 레이 사용자가 직접 컷 레이어가 필요한 지점을 찾고 배치하는 기존의 종래 기술과 달리 컷 레이어가 필요한 지점을 자동적으로 계산한 후 컷 레이어를 배치하기 때문에, 컷 레이어를 배치하는데 필요한 시간과 비용을 단축시킬 수 있으며, 이에 따라 반도체 공정 전 과정을 보다 효율적으로 진행할 수 있는 장점이 존재한다.The semiconductor integrated circuit design method according to one embodiment automatically calculates the point where the cut layer is needed and then places the cut layer, unlike the existing conventional technology in which the ray user directly finds and places the point where the cut layer is needed. The time and cost required to deploy can be reduced, and thus there is an advantage in that the entire semiconductor process can be carried out more efficiently.

이상에서 설명된 장치는 하드웨어 구성요소, 소프트웨어 구성요소, 및/또는 하드웨어 구성요소 및 소프트웨어 구성요소의 조합으로 구현될 수 있다. 예를 들어, 실시예들에서 설명된 장치 및 구성요소는, 예를 들어, 프로세서, 컨트롤러, ALU(arithmetic logic unit), 디지털 신호 프로세서(digital signal processor), 마이크로컴퓨터, FPA(field programmable array), PLU(programmable logic unit), 마이크로프로세서, 또는 명령(instruction)을 실행하고 응답할 수 있는 다른 어떠한 장치와 같이, 하나 이상의 범용 컴퓨터 또는 특수 목적 컴퓨터를 이용하여 구현될 수 있다. 처리 장치는 운영 체제(OS) 및 운영 체제 상에서 수행되는 하나 이상의 소프트웨어 애플리케이션을 수행할 수 있다. 또한, 처리 장치는 소프트웨어의 실행에 응답하여, 데이터를 접근, 저장, 조작, 처리 및 생성할 수도 있다. 이해의 편의를 위하여, 처리 장치는 하나가 사용되는 것으로 설명된 경우도 있지만, 해당 기술분야에서 통상의 지식을 가진 자는, 처리 장치가 복수 개의 처리 요소(processing element) 및/또는 복수 유형의 처리 요소를 포함할 수 있음을 알 수 있다. 예를 들어, 처리 장치는 복수 개의 프로세서 또는 하나의 프로세서 및 하나의 컨트롤러를 포함할 수 있다. 또한, 병렬 프로세서(parallel processor)와 같은, 다른 처리 구성(processing configuration)도 가능하다.The device described above may be implemented with hardware components, software components, and/or a combination of hardware components and software components. For example, devices and components described in embodiments may include, for example, a processor, a controller, an arithmetic logic unit (ALU), a digital signal processor, a microcomputer, a field programmable array (FPA), It may be implemented using one or more general-purpose or special-purpose computers, such as a programmable logic unit (PLU), microprocessor, or any other device capable of executing and responding to instructions. A processing device may perform an operating system (OS) and one or more software applications that run on the operating system. Additionally, a processing device may access, store, manipulate, process, and generate data in response to the execution of software. For ease of understanding, a single processing device may be described as being used; however, those skilled in the art will understand that a processing device includes multiple processing elements and/or multiple types of processing elements. It can be seen that it may include. For example, a processing device may include multiple processors or one processor and one controller. Additionally, other processing configurations, such as parallel processors, are possible.

소프트웨어는 컴퓨터 프로그램(computer program), 코드(code), 명령(instruction), 또는 이들 중 하나 이상의 조합을 포함할 수 있으며, 원하는 대로 동작하도록 처리 장치를 구성하거나 독립적으로 또는 결합적으로(collectively) 처리 장치를 명령할 수 있다. 소프트웨어 및/또는 데이터는, 처리 장치에 의하여 해석되거나 처리 장치에 명령 또는 데이터를 제공하기 위하여, 어떤 유형의 기계, 구성요소(component), 물리적 장치, 가상 장치(virtual equipment), 컴퓨터 저장 매체 또는 장치에 구체화(embody)될 수 있다. 소프트웨어는 네트워크로 연결된 컴퓨터 시스템 상에 분산되어서, 분산된 방법으로 저장되거나 실행될 수도 있다. 소프트웨어 및 데이터는 하나 이상의 컴퓨터 판독 가능 기록 매체에 저장될 수 있다.Software may include a computer program, code, instructions, or a combination of one or more of these, which may configure a processing unit to operate as desired, or may be processed independently or collectively. You can command the device. Software and/or data may be used on any type of machine, component, physical device, virtual equipment, computer storage medium or device to be interpreted by or to provide instructions or data to a processing device. It can be embodied in . Software may be distributed over networked computer systems and stored or executed in a distributed manner. Software and data may be stored on one or more computer-readable recording media.

이상과 같이 실시예들이 비록 한정된 실시예와 도면에 의해 설명되었으나, 해당 기술분야에서 통상의 지식을 가진 자라면 상기의 기재로부터 다양한 수정 및 변형이 가능하다. 예를 들어, 설명된 기술들이 설명된 방법과 다른 순서로 수행되거나, 및/또는 설명된 시스템, 구조, 장치, 회로 등의 구성요소들이 설명된 방법과 다른 형태로 결합 또는 조합되거나, 다른 구성요소 또는 균등물에 의하여 대치되거나 치환되더라도 적절한 결과가 달성될 수 있다. 그러므로, 다른 구현들, 다른 실시예들 및 특허청구범위와 균등한 것들도 후술하는 특허청구범위의 범위에 속한다.As described above, although the embodiments have been described with limited examples and drawings, various modifications and variations can be made by those skilled in the art from the above description. For example, the described techniques are performed in a different order than the described method, and/or components of the described system, structure, device, circuit, etc. are combined or combined in a different form than the described method, or other components are used. Alternatively, appropriate results may be achieved even if substituted or substituted by an equivalent. Therefore, other implementations, other embodiments, and equivalents of the claims also fall within the scope of the claims described below.

100: 반도체 회로 설계 장치
110: 스키메틱 회로 생성 유닛
120: 레이아웃 생성 유닛
130: 마스크 제조 유닛
140: 반도체 집적회로 형성 유닛
100: Semiconductor circuit design device
110: Schematic circuit creation unit
120: Layout creation unit
130: Mask manufacturing unit
140: Semiconductor integrated circuit forming unit

Claims (8)

표준 셀을 포함하는 설계 영역 준비 단계;
상기 설계 영역을 상위 셀 영역과 하위 셀 영역으로 구분하는 셀 영역 구분 단계;
상기 하위 셀 영역에서의 하위 메탈들의 위치 및 이격 거리를 기초로 상기 하위 메탈들 사이에 컷 레이어를 배치하는 하위 컷 레이어 배치 단계;
상기 하위 셀 영역에서 배치된 상기 컷 레이어를 배치 위치를 기초로 상기 상위 셀 영역에서의 메탈들 사이에 컷 레이어를 배치하는 상위 컷 레이어 배치 단계;를 포함하는 것을 특징을 하는,
반도체 집적 회로 설계 방법.
Preparation of design area containing standard cells;
A cell area division step of dividing the design area into an upper cell area and a lower cell area;
A lower cut layer arrangement step of arranging a cut layer between the lower metals based on the positions and separation distances of the lower metals in the lower cell area;
Characterized in that it includes; an upper cut layer placement step of arranging a cut layer between metals in the upper cell area based on the placement position of the cut layer placed in the lower cell area,
Semiconductor integrated circuit design method.
제 1항에 있어서,
상기 하위 컷 레이어 배치 단계는,
상기 하위 셀 영역의 외곽 라인과 상위 하위 메탈들 사이의 제1이격 거리가 미리 설정된 거리보다 작은 경우, 상기 제1이격 거리에 컷 레이어를 배치하는 단계를 포함하는,
반도체 집적 회로 설계 방법.
According to clause 1,
The sub-cut layer placement step is,
When the first separation distance between the outer line of the lower cell area and the upper lower metals is smaller than a preset distance, comprising arranging a cut layer at the first distance,
Semiconductor integrated circuit design method.
제 2항에 있어서,
상기 하위 컷 레이어 배치 단계는,
상기 하위 셀 영역의 외곽 라인과 상위 하위 메탈들 사이의 제1이격 거리가 미리 설정된 거리보다 큰 경우, 상기 제1이격 거리에는 컷 레이어를 배치하지 않는 단계를 포함하는,
According to clause 2,
The sub-cut layer placement step is,
When the first separation distance between the outer line of the lower cell area and the upper lower metals is greater than a preset distance, not arranging a cut layer at the first distance,
제 3항에 있어서,
상기 하위 컷 레이어 배치 단계는,
상기 하위 셀 영역에서 핀(PIN) 영역에서는 컷 레이어를 배치하지 않는 단계를 포함하는 것을 특징으로 하는,
반도체 집적 회로 설계 방법.
According to clause 3,
The sub-cut layer placement step is,
Characterized in that it includes the step of not placing a cut layer in the PIN area in the lower cell area,
Semiconductor integrated circuit design method.
제 4항에 있어서,
상기 하위 컷 레이어 배치 단계는,
상기 표준 셀 내에서 상기 하위 셀 영역이 복수 개 존재하는 경우, 가장 먼저 상기 하위 컷 레이어 배치가 이루어진 하위 셀 영역의 컷 레이어 배치를 다른 하위 셀 영역에도 동일하게 컷 레이어 배치를 진행하는 단계를 포함하는 것을 특징으로 하는,
반도체 집적 회로 설계 방법.
According to clause 4,
The sub-cut layer placement step is,
When a plurality of sub-cell areas exist within the standard cell, arranging the cut layer of the sub-cell area in which the sub-cut layer is placed first, and arranging the cut layer in the same manner to other sub-cell areas, comprising: Characterized by,
Semiconductor integrated circuit design method.
제 5항에 있어서,
상기 상위 컷 레이어 배치 단계는,
상기 상위 셀 영역의 상위 메탈들 사이의 외곽 라인과 상기 상위 메탈들 사이의 제2이격 거리가 미리 설정된 거리보다 작은 경우, 상기 제2이격 거리에 컷 레이어를 배치하는 단계를 포함하는 것을 특징으로 하는,
반도체 집적 회로 설계 방법.
According to clause 5,
The upper cut layer placement step is,
When the second separation distance between the outer line between the upper metals of the upper cell area and the upper metals is smaller than a preset distance, the step of placing a cut layer at the second separation distance. ,
Semiconductor integrated circuit design method.
제 6항에 있어서,
상기 상위 컷 레이어 배치 단계는,
상기 상위 셀 영역의 상위 메탈들 사이의 외곽 라인과 상기 상위 메탈들 사이의 제2이격 거리가 미리 설정된 거리보다 큰 경우, 상기 제2이격 거리에 컷 레이어를 배치하지 않는 단계를 포함하는 것을 특징으로 하는,
반도체 집적 회로 설계 방법.
According to clause 6,
The upper cut layer placement step is,
When the second separation distance between the outer line between the upper metals of the upper cell area and the upper metals is greater than a preset distance, not arranging the cut layer at the second separation distance. doing,
Semiconductor integrated circuit design method.
표준 셀을 포함하는 설계 영역을 상위 셀 영역과 하위 셀 영역으로 구분하고, 상기 하위 셀 영역에서의 하위 메탈들의 위치 및 이격 거리를 기초로 상기 하위 메탈들 사이에 컷 레이어를 배치하고, 상기 하위 셀 영역에서 배치된 상기 컷 레이어를 배치 위치를 기초로 상기 상위 셀 영역에서의 메탈들 사이에 컷 레이어를 배치하는 레이아웃 생성 유닛; 및
상기 레이아웃에서 생성한 레이아웃을 기초로 마스크를 생성하는 마스크 제조 유닛;을 포함하는 것을 특징을 하는, 반도체 회로 설계 장치.
The design area including the standard cell is divided into an upper cell area and a lower cell area, a cut layer is placed between the lower metals based on the position and separation distance of the lower metals in the lower cell area, and the lower cell area is divided into a lower cell area. a layout creation unit that arranges a cut layer between metals in the upper cell area based on the arrangement position of the cut layer arranged in the area; and
A semiconductor circuit design device comprising a mask manufacturing unit that creates a mask based on the layout generated from the layout.
KR1020220074725A 2022-06-20 2022-06-20 Semiconductor integrated circuit design method and apparatus KR20230173904A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020220074725A KR20230173904A (en) 2022-06-20 2022-06-20 Semiconductor integrated circuit design method and apparatus

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020220074725A KR20230173904A (en) 2022-06-20 2022-06-20 Semiconductor integrated circuit design method and apparatus

Publications (1)

Publication Number Publication Date
KR20230173904A true KR20230173904A (en) 2023-12-27

Family

ID=89377861

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020220074725A KR20230173904A (en) 2022-06-20 2022-06-20 Semiconductor integrated circuit design method and apparatus

Country Status (1)

Country Link
KR (1) KR20230173904A (en)

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20130110961A (en) 2012-03-30 2013-10-10 삼성전자주식회사 Semiconductor integrated circuit and method of designing the same

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20130110961A (en) 2012-03-30 2013-10-10 삼성전자주식회사 Semiconductor integrated circuit and method of designing the same

Similar Documents

Publication Publication Date Title
CN108073754B (en) Method for designing layout
US10418354B2 (en) Integrated circuit and computer-implemented method of manufacturing the same
KR102257031B1 (en) Method for designing a semiconductor integrated circuit
US10216883B2 (en) Integrated circuit and method of designing integrated circuit
US8271929B2 (en) Method for clock load alignment during standard cell optimization
KR20070069390A (en) Method for layout design reflecting time delay effect for dummy metal fill in semiconductor device
TWI789911B (en) System, method and storage medium for capacitance extraction
US11574106B2 (en) Method, system, and storage medium of resource planning for designing semiconductor device
US11176306B2 (en) Methods and systems to perform automated Integrated Fan-Out wafer level package routing
TW202002166A (en) Integrated device and method of forming the same
US9734272B2 (en) Techniques for generating physical layouts of in silico multi mode integrated circuits
US8863062B2 (en) Methods and apparatus for floorplanning and routing co-design
US9639647B2 (en) Method of making semiconductor device and system for performing the same
KR20170094744A (en) Integrated circuit and computer-implemented method for manufacturing the same
KR20230173904A (en) Semiconductor integrated circuit design method and apparatus
US20190163863A1 (en) Method and system of forming semiconductor device
JP3193167B2 (en) Logic synthesis system
US20230237236A1 (en) Method of designing layout of semiconductor integrated circuit, method of designing and manufacturing semiconductor integrated circuit using the same, and design system performing same
JP3705737B2 (en) Semiconductor integrated circuit layout method
WO2014106040A1 (en) Pattern-based power-and-ground (pg) routing and via creation
US20230267261A1 (en) Design system, design method and method of manufacture of semiconductor device
JP2008171399A (en) Semiconductor device design method, semiconductor device design system, and computer program
JP2004157627A (en) Layout/wiring program and manufacturing method of semiconductor device
CN114375456A (en) Dielet design techniques