KR20230100832A - Apparatus and Method for treating substrate - Google Patents

Apparatus and Method for treating substrate Download PDF

Info

Publication number
KR20230100832A
KR20230100832A KR1020210190322A KR20210190322A KR20230100832A KR 20230100832 A KR20230100832 A KR 20230100832A KR 1020210190322 A KR1020210190322 A KR 1020210190322A KR 20210190322 A KR20210190322 A KR 20210190322A KR 20230100832 A KR20230100832 A KR 20230100832A
Authority
KR
South Korea
Prior art keywords
substrate
chamber
liner
gas
processing space
Prior art date
Application number
KR1020210190322A
Other languages
Korean (ko)
Inventor
임현민
주윤종
안성표
임영춘
Original Assignee
세메스 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 세메스 주식회사 filed Critical 세메스 주식회사
Priority to KR1020210190322A priority Critical patent/KR20230100832A/en
Publication of KR20230100832A publication Critical patent/KR20230100832A/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting

Abstract

본 발명은 기판을 가스 처리하는 장치를 제공한다.
기판을 처리하는 장치는 내부에 처리 공간을 가지는 챔버; 상기 처리 공간에서 기판을 지지하는 기판 지지 유닛; 상기 처리 공간에 가스를 공급하는 가스 공급 유닛; 상기 처리 공간에 공급되는 가스로부터 플라즈마를 발생시키는 플라즈마 소스; 상기 챔버에 설치되어 상기 처리공간에서 생성된 공정부산물이 상기 챔버의 내측벽에 부착되는 것을 방지하는 라이너 부재를 포함하되; 상기 라이너 부재는 상기 챔버의 내측벽에 장착되는 라이너; 상기 라이너로부터 연장되고, 상기 기판 지지 유닛을 감싸는 링 형상으로 제공되며, 가스가 배기되는 복수의 관통홀이 형성되는 배플 플레이트를 포함할 수 있다.
The present invention provides an apparatus for gassing a substrate.
An apparatus for processing a substrate includes a chamber having a processing space therein; a substrate support unit supporting a substrate in the processing space; a gas supply unit supplying gas to the processing space; a plasma source generating plasma from gas supplied to the processing space; A liner member installed in the chamber to prevent the process by-products generated in the processing space from adhering to the inner wall of the chamber; The liner member may include a liner mounted on an inner wall of the chamber; and a baffle plate extending from the liner, provided in a ring shape surrounding the substrate support unit, and having a plurality of through holes through which gas is exhausted.

Figure P1020210190322
Figure P1020210190322

Description

기판 처리 장치{Apparatus and Method for treating substrate}Substrate treatment device {Apparatus and Method for treating substrate}

본 발명은 기판을 처리하는 장치에 관한 것이다.The present invention relates to an apparatus for processing a substrate.

반도체 소자를 제조하기 위해 플라즈마를 이용하여 기판을 처리하는 공정이 복수 회 이용된다. 플라즈마를 이용하여 기판을 처리하는 공정으로 식각 공정, 증착 공정, 애싱 공정, 또는 어닐 공정 등이 있다. 이와 같은 플라즈마 처리 공정은 공정 챔버 내부에 공급된 공정가스로부터 플라즈마를 발생하고 이들 플라즈마를 기판에 반응시켜 기판을 처리한다.In order to manufacture a semiconductor device, a process of treating a substrate using plasma is used a plurality of times. A process of processing a substrate using plasma includes an etching process, a deposition process, an ashing process, an annealing process, and the like. In such a plasma treatment process, plasma is generated from a process gas supplied into a process chamber and the substrate is treated by reacting the plasma to the substrate.

이러한 플라즈마를 이용한 기판 처리 장치에서 챔버 내 처리 공간으로 공급된 공정가스는 챔버 하부에 위치한 진공펌프에 의해 강제 배기 된다. 지지유닛의 둘레에는 지지유닛을 감싸도록 배플이 제공되며, 배플은 가스가 일정 시간 동안 처리 공간 내에 머무르도록 한다. 공정가스는 지지유닛을 감싸는 배플에 제공된 슬릿을 통해 챔버 내 처리 공간으로 부터 아래로 흐른다. In the substrate processing apparatus using such a plasma, the process gas supplied to the processing space in the chamber is forcibly exhausted by a vacuum pump located in the lower part of the chamber. A baffle is provided around the support unit to surround the support unit, and the baffle allows gas to stay in the processing space for a certain period of time. The processing gas flows downward from the process space in the chamber through a slit provided in a baffle surrounding the support unit.

일반적으로 배플에는, 공정가스의 통로로써 슬릿 형태의 유로가 복수개 제공된다. 이러한 배플은 공정 가스가 배기되는 과정에서 파우더가 흡착 발생된다. In general, a baffle is provided with a plurality of slit-shaped passages as passages for process gas. These baffles adsorb and generate powder in the process of exhausting the process gas.

본 발명은 배플 플레이트에서의 파우더 흡착을 최소화할 수 있는 기판 처리 장치를 제공하는 것을 일 목적으로 한다.An object of the present invention is to provide a substrate processing apparatus capable of minimizing powder adsorption on a baffle plate.

본 발명이 해결하고자 하는 과제가 상술한 과제들로 한정되는 것은 아니며, 언급되지 아니한 과제들은 본 명세서 및 첨부된 도면으로부터 본 발명의 속하는 기술 분야에서 통상의 지식을 가진 자에게 명확하게 이해될 수 있을 것이다.The problem to be solved by the present invention is not limited to the above-mentioned problems, and problems not mentioned can be clearly understood by those skilled in the art from this specification and the accompanying drawings. will be.

본 발명의 일 측면에 따르면, 내부에 처리 공간을 가지는 챔버; 상기 처리 공간에서 기판을 지지하는 기판 지지 유닛; 상기 처리 공간에 가스를 공급하는 가스 공급 유닛; 상기 처리 공간에 공급되는 가스로부터 플라즈마를 발생시키는 플라즈마 소스; 상기 챔버에 설치되어 상기 처리공간에서 생성된 공정부산물이 상기 챔버의 내측벽에 부착되는 것을 방지하는 라이너 부재를 포함하되; 상기 라이너 부재는 상기 챔버의 내측벽에 장착되는 라이너; 상기 라이너로부터 연장되고, 상기 기판 지지 유닛을 감싸는 링 형상으로 제공되며, 가스가 배기되는 복수의 관통홀이 형성되는 배플 플레이트를 포함하는 기판 처리 장치가 제공될 수 있다According to one aspect of the present invention, a chamber having a processing space therein; a substrate support unit supporting a substrate in the processing space; a gas supply unit supplying gas to the processing space; a plasma source generating plasma from gas supplied to the processing space; A liner member installed in the chamber to prevent the process by-products generated in the processing space from adhering to the inner wall of the chamber; The liner member may include a liner mounted on an inner wall of the chamber; A substrate processing apparatus may include a baffle plate extending from the liner, provided in a ring shape surrounding the substrate support unit, and having a plurality of through holes through which gas is exhausted.

또한, 상기 챔버의 내측벽에 설치되어 상기 라이너를 가열하는 가열 부재를 포함할 수 있다. In addition, a heating member installed on an inner wall of the chamber to heat the liner may be included.

본 발명의 실시예에 의하면, 라이너와 배플 플레이트가 일체형으로 라이너 상부의 히터 열이 배플 플레이트까지 전달됨으로써 배플 플레이트에서의 파우더 증착을 최소화할 수 있다. According to an embodiment of the present invention, since the liner and the baffle plate are integrally formed, heat from the heater above the liner is transmitted to the baffle plate, thereby minimizing powder deposition on the baffle plate.

본 발명의 효과가 상술한 효과들로 한정되는 것은 아니며, 언급되지 않은 효과들은 본 명세서 및 첨부된 도면으로부터 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자에게 명확히 이해될 수 있을 것이다.The effects of the present invention are not limited to the above-mentioned effects, and effects not mentioned will be clearly understood by those skilled in the art from this specification and the accompanying drawings.

도 1은 본 발명의 실시 예에 따른 기판 처리 설비를 보여주는 도면이다.
도 2는 본 발명의 실시예에 따른 기판 처리 장치를 보여주는 단면도이다.
도 3 및 도 4는 라이너 부재를 설명하기 위한 도면들이다.
1 is a view showing a substrate processing facility according to an embodiment of the present invention.
2 is a cross-sectional view showing a substrate processing apparatus according to an embodiment of the present invention.
3 and 4 are views for explaining the liner member.

아래에서는 첨부한 도면을 참고로 하여 본 발명의 실시 예에 대하여 본 발명이 속하는 기술 분야에서 통상의 지식을 가진 자가 용이하게 실시할 수 있도록 상세히 설명한다. 그러나 본 발명은 여러 가지 상이한 형태로 구현될 수 있으며 여기에서 설명하는 실시 예에 한정되지 않는다. 또한, 본 발명의 바람직한 실시예를 상세하게 설명함에 있어, 관련된 공지 기능 또는 구성에 대한 구체적인 설명이 본 발명의 요지를 불필요하게 흐릴 수 있다고 판단되는 경우에는 그 상세한 설명을 생략한다. 또한, 유사한 기능 및 작용을 하는 부분에 대해서는 도면 전체에 걸쳐 동일한 부호를 사용한다.Hereinafter, with reference to the accompanying drawings, embodiments of the present invention will be described in detail so that those skilled in the art can easily carry out the present invention. However, the present invention may be implemented in many different forms and is not limited to the embodiments described herein. In addition, in describing preferred embodiments of the present invention in detail, if it is determined that a detailed description of a related known function or configuration may unnecessarily obscure the subject matter of the present invention, the detailed description will be omitted. In addition, the same reference numerals are used throughout the drawings for parts having similar functions and actions.

어떤 구성요소를 '포함'한다는 것은, 특별히 반대되는 기재가 없는 한 다른 구성요소를 제외하는 것이 아니라 다른 구성요소를 더 포함할 수 있다는 것을 의미한다. 구체적으로, "포함하다" 또는 "가지다" 등의 용어는 명세서 상에 기재된 특징, 숫자, 단계, 동작, 구성요소, 부품 또는 이들을 조합한 것이 존재함을 지정하려는 것이지, 하나 또는 그 이상의 다른 특징들이나 숫자, 단계, 동작, 구성요소, 부품 또는 이들을 조합한 것들의 존재 또는 부가 가능성을 미리 배제하지 않는 것으로 이해되어야 한다.'Including' a certain component means that other components may be further included, rather than excluding other components unless otherwise stated. Specifically, terms such as "comprise" or "having" are intended to indicate that there is a feature, number, step, operation, component, part, or combination thereof described in the specification, but one or more other features or It should be understood that the presence or addition of numbers, steps, operations, components, parts, or combinations thereof is not precluded.

단수의 표현은 문맥상 명백하게 다르게 뜻하지 않는 한, 복수의 표현을 포함한다. 또한 도면에서 요소들의 형상 및 크기 등은 보다 명확한 설명을 위해 과장될 수 있다.Singular expressions include plural expressions unless the context clearly dictates otherwise. In addition, shapes and sizes of elements in the drawings may be exaggerated for clearer description.

제1, 제2 등의 용어는 다양한 구성 요소들을 설명하는데 사용될 수 있지만, 상기 구성 요소들은 상기 용어들에 의해 한정되어서는 안 된다. 상기 용어들은 하나의 구성 요소를 다른 구성 요소로부터 구별하는 목적으로 사용될 수 있다. 예를 들어, 본 발명의 권리 범위로부터 이탈되지 않은 채 제1 구성 요소는 제2 구성 요소로 명명될 수 있고, 유사하게 제2 구성 요소도 제1 구성 요소로 명명될 수 있다.Terms such as first and second may be used to describe various components, but the components should not be limited by the terms. The terms may be used for the purpose of distinguishing one component from another. For example, a first element may be termed a second element, and similarly, the second element may also be termed a first element, without departing from the scope of the present invention.

어떤 구성 요소가 다른 구성 요소에 "연결되어" 있다거나 "접속되어" 있다고 언급된 때에는, 그 다른 구성 요소에 직접적으로 연결되어 있거나 또는 접속되어 있을 수도 있지만, 중간에 다른 구성 요소가 존재할 수도 있다고 이해되어야 할 것이다. 반면에, 어떤 구성 요소가 다른 구성 요소에 "직접 연결되어" 있다거나 "직접 접속되어" 있다고 언급된 때에는, 중간에 다른 구성 요소가 존재하지 않는 것으로 이해되어야 할 것이다. 구성 요소들 간의 관계를 설명하는 다른 표현들, 즉 "~사이에"와 "바로 ~사이에" 또는 "~에 이웃하는"과 "~에 직접 이웃하는" 등도 마찬가지로 해석되어야 한다.It is understood that when an element is referred to as being "connected" or "connected" to another element, it may be directly connected or connected to the other element, but other elements may exist in the middle. It should be. On the other hand, when a component is referred to as “directly connected” or “directly connected” to another component, it should be understood that no other component exists in the middle. Other expressions describing the relationship between components, such as "between" and "directly between" or "adjacent to" and "directly adjacent to", etc., should be interpreted similarly.

다르게 정의되지 않는 한, 기술적이거나 과학적인 용어를 포함해서 여기서 사용되는 모든 용어들은 본 발명이 속하는 기술 분야에서 통상의 지식을 가진 자에 의해 일반적으로 이해되는 것과 동일한 의미이다. 일반적으로 사용되는 사전에 정의되어 있는 것과 같은 용어들은 관련 기술의 문맥상 가지는 의미와 일치하는 의미인 것으로 해석되어야 하며, 본 출원에서 명백하게 정의하지 않는 한, 이상적이거나 과도하게 형식적인 의미로 해석되지 않는다.Unless defined otherwise, all terms used herein, including technical or scientific terms, have the same meaning as commonly understood by one of ordinary skill in the art to which the present invention belongs. Terms such as those defined in commonly used dictionaries should be interpreted as having a meaning consistent with the meaning in the context of the related art, and unless explicitly defined in this application, they are not interpreted in an ideal or excessively formal meaning. .

이상의 상세한 설명은 본 발명을 예시하는 것이다. 또한 전술한 내용은 본 발명의 바람직한 실시 형태를 나타내어 설명하는 것이며, 본 발명은 다양한 다른 조합, 변경 및 환경에서 사용할 수 있다. 즉 본 명세서에 개시된 발명의 개념의 범위, 저술한 개시 내용과 균등한 범위 및/또는 당업계의 기술 또는 지식의 범위내에서 변경 또는 수정이 가능하다. 저술한 실시예는 본 발명의 기술적 사상을 구현하기 위한 최선의 상태를 설명하는 것이며, 본 발명의 구체적인 적용 분야 및 용도에서 요구되는 다양한 변경도 가능하다. 따라서 이상의 발명의 상세한 설명은 개시된 실시 상태로 본 발명을 제한하려는 의도가 아니다. 또한 첨부된 청구범위는 다른 실시 상태도 포함하는 것으로 해석되어야 한다.The above detailed description is illustrative of the present invention. In addition, the foregoing is intended to illustrate and describe preferred embodiments of the present invention, and the present invention can be used in various other combinations, modifications, and environments. That is, changes or modifications are possible within the scope of the concept of the invention disclosed in this specification, within the scope equivalent to the written disclosure and / or within the scope of skill or knowledge in the art. The written embodiment describes the best state for implementing the technical idea of the present invention, and various changes required in the specific application field and use of the present invention are also possible. Therefore, the above detailed description of the invention is not intended to limit the invention to the disclosed embodiments. Also, the appended claims should be construed to cover other embodiments as well.

도 1은 본 발명의 실시 예에 따른 기판 처리 설비를 보여주는 도면이다.1 is a view showing a substrate processing facility according to an embodiment of the present invention.

도 1을 참조하면, 기판 처리 설비(10)는 인덱스 모듈(100), 로딩 모듈(300), 그리고 공정 모듈(200)을 포함할 수 있다.Referring to FIG. 1 , a substrate processing facility 10 may include an index module 100 , a loading module 300 , and a process module 200 .

인덱스 모듈(100)은 로드 포트(120), 이송 프레임(140), 그리고 버퍼 유닛(2000)을 포함할 수 있다. 로드 포트(120), 이송 프레임(140), 그리고 공정 모듈(200)은 순차적으로 일렬로 배열될 수 있다. 이하, 로드 포트(120), 이송 프레임(140), 로딩 모듈(300), 그리고 공정 모듈(200)이 배열된 방향을 제1방향(12)이라 하고, 상부에서 바라볼 때, 제1방향(12)과 수직한 방향을 제2방향(14)이라 하며, 제1방향(12)과 제2방향(14)을 포함한 평면에 수직인 방향을 제3방향(16)이라 칭한다. The index module 100 may include a load port 120 , a transfer frame 140 , and a buffer unit 2000 . The load port 120, the transfer frame 140, and the process module 200 may be sequentially arranged in a line. Hereinafter, the direction in which the load port 120, the transfer frame 140, the loading module 300, and the process module 200 are arranged is referred to as a first direction 12, and when viewed from above, the first direction ( 12) is referred to as a second direction 14, and a direction perpendicular to the plane including the first direction 12 and the second direction 14 is referred to as a third direction 16.

로드 포트(120)에는 복수 개의 기판들(W)이 수납된 용기(18)가 안착된다. 로드 포트(120)는 복수 개가 제공되며 이들은 제2방향(14)을 따라 일렬로 배치된다. 용기(18)에는 기판의 가장자리를 지지하도록 제공된 슬롯(도시되지 않음)이 형성된다. 슬롯은 제3방향(16)으로 복수 개가 제공되고, 기판은 제3방향(16)을 따라 서로 이격된 상태로 적층되게 용기 내에 위치된다. 용기(18)로는 전면 개방 일체형 포드(Front Opening Unified Pod;FOUP)가 사용될 수 있다. A container 18 containing a plurality of substrates W is seated in the load port 120 . A plurality of load ports 120 are provided and they are arranged in a line along the second direction 14 . The container 18 is formed with slots (not shown) provided to support the edge of the substrate. A plurality of slots are provided in the third direction 16, and the substrates are placed in the container in a stacked state spaced apart from each other along the third direction 16. As the container 18, a Front Opening Unified Pod (FOUP) may be used.

이송 프레임(140)은 로드 포트(120)에 안착된 용기(18), 버퍼 유닛(2000), 그리고 로딩 모듈(300) 간에 기판(W)을 반송한다. 또한, 이송 프레임(140)은 기판 처리 유닛(3000), 버퍼 유닛(2000), 그리고 로딩 모듈(300) 간에 기판(W)을 반송할 수도 있다. 이송 프레임(140)에는 인덱스 레일(142)과 인덱스 로봇(144)이 제공된다. 인덱스 레일(142)은 그 길이 방향이 제2방향(14)과 나란하게 제공된다. 인덱스 로봇(144)은 인덱스 레일(142) 상에 설치되며, 인덱스 레일(142)을 따라 제2방향(14)으로 직선 이동된다. 인덱스 로봇(144)은 베이스(144a), 몸체(144b), 그리고 인덱스암(144c)을 가진다. 베이스(144a)는 인덱스 레일(142)을 따라 이동 가능하도록 설치된다. 몸체(144b)는 베이스(144a)에 결합된다. 몸체(144b)는 베이스(144a) 상에서 제3방향(16)을 따라 이동 가능하도록 제공된다. 또한, 몸체(144b)는 베이스(144a) 상에서 회전 가능하도록 제공된다. 인덱스암(144c)은 몸체(144b)에 결합되고, 몸체(144b)에 대해 전진 및 후진 이동 가능하도록 제공된다. 인덱스암(144c)은 복수 개 제공되어 각각 개별 구동되도록 제공된다. 인덱스암(144c)들은 제3방향(16)을 따라 서로 이격된 상태로 적층되게 배치된다. 인덱스암(144c)들 중 일부는 공정 모듈(200)에서 용기(18)로 기판(W)을 반송할 때 사용되고, 다른 일부는 용기(18)에서 공정 모듈(200)로 기판(W)을 반송할 때 사용될 수 있다. 이는 인덱스 로봇(144)이 기판(W)을 반입 및 반출하는 과정에서 공정 처리 전의 기판(W)으로부터 발생된 파티클이 공정 처리 후의 기판(W)에 부착되는 것을 방지할 수 있다. The transport frame 140 transports the substrate W between the container 18 seated in the load port 120 , the buffer unit 2000 , and the loading module 300 . Also, the transfer frame 140 may transport the substrate W between the substrate processing unit 3000 , the buffer unit 2000 , and the loading module 300 . An index rail 142 and an index robot 144 are provided on the transfer frame 140 . The length direction of the index rail 142 is parallel to the second direction 14 . The index robot 144 is installed on the index rail 142 and linearly moves in the second direction 14 along the index rail 142 . The index robot 144 has a base 144a, a body 144b, and an index arm 144c. The base 144a is installed to be movable along the index rail 142 . The body 144b is coupled to the base 144a. The body 144b is provided to be movable along the third direction 16 on the base 144a. In addition, the body 144b is provided to be rotatable on the base 144a. The index arm 144c is coupled to the body 144b and is provided to be movable forward and backward with respect to the body 144b. A plurality of index arms 144c are provided to be individually driven. The index arms 144c are stacked and spaced apart from each other along the third direction 16 . Some of the index arms 144c are used to transport the substrate W from the process module 200 to the container 18, and some of the index arms 144c are used to transport the substrate W from the container 18 to the process module 200. can be used when This can prevent particles generated from the substrate W before processing from being attached to the substrate W after processing during the process of carrying in and unloading the substrate W by the index robot 144 .

버퍼 유닛(190)은 기판(W)을 임시 보관한다. 버퍼 유닛(190)은 기판(W) 상에 잔류되는 공정 부산물을 제거하는 공정을 수행한다. 버퍼 유닛(190)은 공정 모듈(200)에서 처리된 기판(W)을 후처리하는 후처리 공정을 수행한다. 후처리 공정은 기판(W) 상에 퍼지 가스를 퍼지하는 공정일 수 있다. 버퍼 유닛(190)은 복수 개로 제공될 수 있다. 각각의 버퍼 유닛(190)은 이송 프레임(140)을 사이에 두고 서로 대향되게 위치된다. 버퍼 유닛(190)은 제2방향(14)으로 배열된다. 이송 프레임(140)의 양측에 각각 위치된다. 선택적으로 버퍼 유닛(190)은 단일하게 제공되며, 이송 프레임(140)의 일측에 위치될 수 있다.The buffer unit 190 temporarily stores the substrate W. The buffer unit 190 performs a process of removing process by-products remaining on the substrate (W). The buffer unit 190 performs a post-processing process of post-processing the substrate W processed in the process module 200 . The post-processing process may be a process of purging a purge gas on the substrate W. A plurality of buffer units 190 may be provided. Each buffer unit 190 is positioned opposite to each other with the transfer frame 140 interposed therebetween. The buffer units 190 are arranged in the second direction 14 . They are located on both sides of the transfer frame 140, respectively. Optionally, the buffer unit 190 is provided singly and may be located on one side of the transfer frame 140 .

로딩 모듈(300)은 이송 프레임(140)과 반송 유닛(240) 사이에 배치된다. 로딩 모듈(300)은 공정 모듈(200)로 반입되는 기판(W)에 대해 인덱스 모듈(100)의 상압 분위기를 공정 모듈(200)의 진공 분위기로 치환하거나, 인덱스 모듈(100)로 반출되는 기판(W)에 대해 공정 모듈(200)의 진공 분위기를 인덱스 모듈(100)의 상압 분위기로 치환한다. 로딩 모듈(300)은 반송 유닛(240)과 이송 프레임(140) 간에 기판(W)이 반송되기 전에 기판(W)이 머무르는 공간을 제공한다. 로딩 모듈(300)은 로드락 챔버(320) 및 언로드락 챔버(340)를 포함할 수 있다. The loading module 300 is disposed between the transfer frame 140 and the transfer unit 240 . The loading module 300 replaces the normal pressure atmosphere of the index module 100 with the vacuum atmosphere of the process module 200 for the substrate W carried into the process module 200 or the substrate W carried into the index module 100. For (W), the vacuum atmosphere of the process module 200 is replaced with the normal pressure atmosphere of the index module 100. The loading module 300 provides a space in which the substrate W stays between the transport unit 240 and the transport frame 140 before the substrate W is transported. The loading module 300 may include a load lock chamber 320 and an unload lock chamber 340 .

로드락 챔버(320)는 인덱스 모듈(100)에서 공정 모듈(200)로 반송되는 기판(W)이 임시로 머무른다. 로드락 챔버(320)는 대기 상태에서 상압 분위기를 유지하며, 공정 모듈(200)에 대해 차단되는 반면, 인덱스 모듈(100)에 대해 개방된 상태를 유지한다. 로드락 챔버(320)에 기판(W)이 반입되면, 내부 공간을 인덱스 모듈(100)과 공정 모듈(200) 각각에 대해 밀폐한다. 이후 로드락 챔버(320)의 내부 공간을 상압 분위기에서 진공 분위기로 치환하고, 인덱스 모듈(100)에 대해 차단된 상태에서 공정 모듈(200)에 대해 개방된다.In the load lock chamber 320 , the substrate W transported from the index module 100 to the process module 200 is temporarily stored. The load lock chamber 320 maintains an atmospheric pressure atmosphere in a standby state, and is blocked from the process module 200 while maintaining an open state from the index module 100 . When the substrate W is loaded into the load lock chamber 320, the internal space is sealed for each of the index module 100 and the process module 200. Thereafter, the internal space of the load lock chamber 320 is replaced from a normal pressure atmosphere to a vacuum atmosphere, and is opened to the process module 200 in a closed state to the index module 100 .

언로드락 챔버(340)는 공정 모듈(200)에서 인덱스 모듈(100)로 반송되는 기판(W)이 임시로 머무른다. 언로드락 챔버(340)는 대기 상태에서 진공 분위기를 유지하며, 인덱스 모듈(100)에 대해 차단되는 반면, 공정 모듈(200)에 대해 개방된 상태를 유지한다. 언로드락 챔버(340)에 기판(W)이 반입되면, 내부 공간을 인덱스 모듈(100)과 공정 모듈(200) 각각에 대해 밀폐한다. 이후 언로드락 챔버(340)의 내부 공간을 진공 분위기에서 상압 분위기로 치환하고, 공정 모듈(200)에 대해 차단된 상태에서 인덱스 모듈(100)에 대해 개방된다.In the unload lock chamber 340 , the substrate W transported from the process module 200 to the index module 100 temporarily stays. The unload lock chamber 340 maintains a vacuum atmosphere in an atmospheric state, and is blocked from the index module 100 while maintaining an open state from the process module 200 . When the substrate W is loaded into the unload lock chamber 340, the internal space is sealed for each of the index module 100 and the process module 200. Thereafter, the inner space of the unload lock chamber 340 is replaced from a vacuum atmosphere to a normal pressure atmosphere, and is opened to the index module 100 while being blocked from the process module 200 .

공정 모듈(200)은 반송 유닛(240) 및 복수 개의 기판 처리 유닛(1000)들을 포함한다. 기판 처리 유닛(1000)에 대한 구체적인 설명은 이하 도 2를 참조하여 후술한다.The process module 200 includes a transfer unit 240 and a plurality of substrate processing units 1000 . A detailed description of the substrate processing unit 1000 will be described below with reference to FIG. 2 .

반송 유닛(240)은 로드락 챔버(320), 언로드락 챔버(340), 그리고 복수 개의 기판 처리 유닛(1000) 간에 기판(W)을 반송한다. 반송 유닛(240)은 반송 챔버(242) 및 반송 로봇(250)을 포함한다. 반송 챔버(242)는 육각형의 형상으로 제공될 수 있다. 선택적으로 반송 챔버(242)는 직사각 또는 오각의 형상으로 제공될 수 있다. 반송 챔버(242)의 둘레에는 로드락 챔버(320), 언로드락 챔버(340), 그리고 복수 개의 기판 처리 유닛(1000)이 위치된다. 반송 챔버(242)의 내부에는 기판(W)을 반송하기 위한 반송 공간(244)에 제공된다. The transfer unit 240 transfers the substrate W between the load lock chamber 320 , the unload lock chamber 340 , and the plurality of substrate processing units 1000 . The transfer unit 240 includes a transfer chamber 242 and a transfer robot 250 . The transfer chamber 242 may be provided in a hexagonal shape. Optionally, the transfer chamber 242 may be provided in a rectangular or pentagonal shape. A load lock chamber 320 , an unload lock chamber 340 , and a plurality of substrate processing units 1000 are positioned around the transfer chamber 242 . A transport space 244 for transporting the substrate W is provided inside the transport chamber 242 .

반송 로봇(250)은 반송 공간(244)에서 기판(W)을 반송한다. 반송 로봇(250)은 반송 챔버(240)의 중앙부에 위치될 수 있다. 반송 로봇(250)은 수평, 수직 방향으로 이동할 수 있고, 수평면 상에서 전진, 후진 또는 회전이 가능한 복수 개의 핸드들(252)을 가질 수 있다. 각 핸드(252)는 독립 구동이 가능하며, 기판(W)은 핸드(252)에 수평 상태로 안착될 수 있다. The transport robot 250 transports the substrate W in the transport space 244 . The transfer robot 250 may be located in the center of the transfer chamber 240 . The transfer robot 250 may move in horizontal and vertical directions, and may have a plurality of hands 252 capable of moving forward, backward, or rotating on a horizontal plane. Each hand 252 can be driven independently, and the substrate W can be placed on the hand 252 in a horizontal state.

도 2는 본 발명의 실시예에 따른 기판 처리 장치를 보여주는 단면도이다. 2 is a cross-sectional view showing a substrate processing apparatus according to an embodiment of the present invention.

도 2를 참조하면, 기판 처리 장치(1000)는 플라즈마를 이용하여 기판(W)을 처리한다. 예를 들어, 기판 처리 장치(0010)는 기판(W)에 대하여 플라즈마를 이용하여 식각 공정을 수행할 수 있다. 기판 처리 장치(1000)는 챔버(1100), 지지 유닛(1200), 가스 공급 유닛(1300), 플라즈마 소스(1400), 그리고 라이너 부재(1500)를 포함한다. Referring to FIG. 2 , the substrate processing apparatus 1000 processes a substrate W using plasma. For example, the substrate processing apparatus 0010 may perform an etching process on the substrate W using plasma. The substrate processing apparatus 1000 includes a chamber 1100 , a support unit 1200 , a gas supply unit 1300 , a plasma source 1400 , and a liner member 1500 .

챔버(1100)는 플라즈마를 발생시키거나, 기판 처리 공정이 수행되는 공간을 제공한다. 챔버(1100)는 바디(1110), 커버(1105)를 포함할 수 있다.The chamber 1100 generates plasma or provides a space in which a substrate processing process is performed. The chamber 1100 may include a body 1110 and a cover 1105 .

바디(1110)는 상면이 개방된 내부 공간을 가진다. 바디(1110)의 내부 공간은 챔버(1100) 내의 하부영역으로 제공될 수 있다. 챔버(1100) 내의 하부영역은 기판 처리 공정이 수행되는 공간으로 제공될 수 있다. 바디(1110)는 금속재질로 제공된다. 바디(1110)는 알루미늄 재질로 제공될 수 있다. 바디(1110)는 접지될 수 있다. 바디(1110)의 바닥면에는 배기홀(1102)이 형성된다. 배기홀(1102)은 배기 라인(1151)과 연결된다. 공정 과정에서 발생한 반응 부산물 및 챔버(1100)의 내부 공간에 머무르는 가스는 배기 라인(1151)을 통해 외부로 배출될 수 있다. 배기 과정에 의해 바디(1110) 내부는 소정 압력으로 감압된다. The body 1110 has an inner space with an open upper surface. The inner space of the body 1110 may serve as a lower area within the chamber 1100 . A lower area within the chamber 1100 may be provided as a space in which a substrate processing process is performed. The body 1110 is made of a metal material. The body 1110 may be made of an aluminum material. Body 1110 may be grounded. An exhaust hole 1102 is formed on the bottom surface of the body 1110 . The exhaust hole 1102 is connected to the exhaust line 1151. Reaction by-products generated during the process and gas remaining in the internal space of the chamber 1100 may be discharged to the outside through the exhaust line 1151 . The inside of the body 1110 is reduced to a predetermined pressure by the exhausting process.

커버(1105)는 바디(1110)의 상부에 배치되고, 하면이 개방된 내부 공간을 가진다. 커버(1105)의 내부 공간은 챔버(1100) 내의 상부영역으로 제공될 수 있다. 챔버(1100) 내의 상부영역은 플라즈마를 여기하는 공간으로 제공될 수 있다. 커버(1105)는 금속 재질로 제공된다. 커버(1105)는 알루미늄 재질로 제공될 수 있다.The cover 1105 is disposed on the upper part of the body 1110 and has an open inner space. The inner space of the cover 1105 may be provided as an upper area within the chamber 1100 . An upper region in the chamber 1100 may be provided as a space for exciting plasma. The cover 1105 is made of a metal material. The cover 1105 may be made of aluminum.

커버(1105)는 유전판(1120)을 포함한다. 유전판(1120)은 커버(1105)의 내부에 배치된다. 유전판(1120)은 챔버(1110)의 개방된 상부에 제공될 수 있다. 공정 중 유전판(1120) 및 라이너 부재(1500)를 가열하기 위하여 챔버(1100)의 측벽에 히터(1125)가 구비될 수 있다. Cover 1105 includes dielectric plate 1120 . The dielectric plate 1120 is disposed inside the cover 1105. A dielectric plate 1120 may be provided on an open top of the chamber 1110 . A heater 1125 may be provided on a sidewall of the chamber 1100 to heat the dielectric plate 1120 and the liner member 1500 during the process.

도 3 및 도 4는 라이너 부재를 설명하기 위한 도면들이다.3 and 4 are views for explaining the liner member.

도 2 내지 도 4를 참조하면, 라이너 부재(1500)는 라이너(1510)와 배플 플레이트(1520)를 포함할 수 있다. 라이너(1510)와 배플 플레이트(1520)는 일체형으로 제공될 수 있다. Referring to FIGS. 2 to 4 , a liner member 1500 may include a liner 1510 and a baffle plate 1520 . The liner 1510 and the baffle plate 1520 may be integrally provided.

라이너(1510)는 바디(1110) 내부에 제공된다. 라이너(1510)는 상면 및 하면이 개방된 공간이 내부에 형성된다. 라이너(1510)는 원통 형상으로 제공될 수 있다. 라이너(1510)는 바디(1110)의 내측면에 상응하는 반경을 가질 수 있다. 라이너(1510)는 바디(1110)의 내측면을 따라 제공된다. 라이너(1510)의 상단에는 지지 링(1512)이 형성된다. 지지링(1512)은 링 형상의 판으로 제공되며, 라이너(1510)의 둘레를 따라 라이너(1510)의 외측으로 돌출된다. 지지링(1512)은 바디(1110)의 상단에 놓이며, 라이너(1510)를 지지한다. 라이너(1510)는 바디(1110)과 동일한 재질로 제공될 수 있다. 라이너(1510)는 알루미늄 재질로 제공될 수 있다. 라이너(1510)는 바디(1110) 내측면을 보호한다. 라이너(1510)의 상단은 히터(1125)와 인접하게 제공된다. 라이너(1510)는 히터(1125)에 의해 가열될 수 있다. 히터(1125)의 열은 라이너(1510)를 통해 배플 플레이트(1520)까지 전달될 수 있다. 따라서, 배플 플레이트(1520)에서의 파우더 흡착을 방지할 수 있다.The liner 1510 is provided inside the body 1110 . The liner 1510 has an open upper and lower surface space formed therein. The liner 1510 may be provided in a cylindrical shape. The liner 1510 may have a radius corresponding to the inner surface of the body 1110 . The liner 1510 is provided along the inner surface of the body 1110 . A support ring 1512 is formed on top of the liner 1510 . The support ring 1512 is provided as a ring-shaped plate and protrudes outward from the liner 1510 along the circumference of the liner 1510 . The support ring 1512 is placed on top of the body 1110 and supports the liner 1510 . The liner 1510 may be provided with the same material as the body 1110 . The liner 1510 may be made of an aluminum material. The liner 1510 protects the inner surface of the body 1110. The top of the liner 1510 is provided adjacent to the heater 1125 . The liner 1510 may be heated by a heater 1125 . Heat from the heater 1125 may be transferred to the baffle plate 1520 through the liner 1510 . Therefore, adsorption of powder on the baffle plate 1520 can be prevented.

공정 가스가 여기되는 과정에서 챔버(1100) 내부에는 아크(Arc) 방전이 발생될 수 있다. 아크 방전은 주변 장치들을 손상시킨다. 라이너(1510)는 바디(1110)의 내측면을 보호하여 바디(1110)의 내측면이 아크 방전으로 손상되는 것을 방지한다. 또한, 기판 처리 공정 중에 발생한 불순물이 바디(1110)의 내측벽에 증착되는 것을 방지한다. 라이너(1510)는 바디(1110)에 비하여 비용이 저렴하고, 교체가 용이하다. 따라서, 아크 방전으로 라이너(1510)가 손상될 경우, 작업자는 새로운 라이너(1510)로 교체할 수 있다. Arc discharge may be generated inside the chamber 1100 while the process gas is excited. Arc discharge damages peripheral devices. The liner 1510 protects the inner surface of the body 1110 to prevent the inner surface of the body 1110 from being damaged by arc discharge. In addition, impurities generated during the substrate processing process are prevented from being deposited on the inner wall of the body 1110 . The cost of the liner 1510 is lower than that of the body 1110, and replacement is easy. Accordingly, when the liner 1510 is damaged due to arc discharge, a worker can replace the liner 1510 with a new one.

배플 플레이트(1520)는 기판 지지 유닛(1200)을 감싸는 환형의 링 형상을 가지는 판으로 제공된다. 배플 플레이트(1520)는 라이너(1510)의 하단 내측으로부터 연장되어 형성된다. 배플 플레이트(1520)에는 원주 방향을 따라 복수의 배플홀들(1522)이 형성된다. 각각의 배플홀(1522)은 동일 간격으로 이격되게 배열된다.일 예예 의하면, 배플홀(1522)은 반경 방향을 따라 복수 열로 제공되며, 복수 열은 다시 원주 방향을 따라 균등하게 배열될 수 있다. 각각의 열에 제공되는 배플홀(1522)들은 반경 방향으로 일치되도록 위치될 수 있다. The baffle plate 1520 is provided as a plate having an annular ring shape surrounding the substrate support unit 1200 . The baffle plate 1520 extends from the inside of the lower end of the liner 1510 and is formed. A plurality of baffle holes 1522 are formed in the baffle plate 1520 in a circumferential direction. Each of the baffle holes 1522 is spaced apart at equal intervals. According to an example, the baffle holes 1522 are provided in a plurality of rows in a radial direction, and the plurality of rows may be evenly arranged in a circumferential direction. The baffle holes 1522 provided in each row may be aligned in a radial direction.

지지 유닛(1200)은 바디(1110)의 내부에 위치한다. 지지 유닛(1200)은 기판(W)을 지지한다. 일 예에 의하면, 지지유닛(1200)은 정전기력을 이용하여 기판(W)을 흡착하는 정전 척(1210)을 포함할 수 있다. 이와 달리, 지지 유닛(1200)은 기계적 클램핑과 같은 다양한 방식으로 기판(W)을 지지할 수도 있다. 이하에서는 정전 척(1210)을 포함하는 지지 유닛(1200)을 기준으로 설명한다.The support unit 1200 is located inside the body 1110. The support unit 1200 supports the substrate W. According to an example, the support unit 1200 may include an electrostatic chuck 1210 that adsorbs the substrate W using electrostatic force. Alternatively, the support unit 1200 may support the substrate W in various ways such as mechanical clamping. Hereinafter, the support unit 1200 including the electrostatic chuck 1210 will be described as a reference.

지지 유닛(1200)은 정전 척(1210), 절연 플레이트(1250) 그리고 하부 커버(1270)를 포함한다. 지지 유닛(1200)은 챔버(1100) 내부에서 바디(1110)의 바닥면에서 상부로 이격되어 위치한다.The support unit 1200 includes an electrostatic chuck 1210 , an insulating plate 1250 and a lower cover 1270 . The support unit 1200 is spaced apart from the bottom surface of the body 1110 to the top inside the chamber 1100 .

정전 척(1210)은 지지 플레이트(1220), 전극(1223), 히터(1225), 베이스 플레이트(1230), 제2 히터(1235), 절연층(1229), 냉각 부재(1232), 제어기(1239), 그리고 포커스 링(1240)을 포함한다.The electrostatic chuck 1210 includes a support plate 1220, an electrode 1223, a heater 1225, a base plate 1230, a second heater 1235, an insulating layer 1229, a cooling member 1232, and a controller 1239. ), and a focus ring 1240.

지지 플레이트(1220)는 정전 척(1210)의 상단부에 위치한다. 일 예에 의하면, 지지 플레이트(1220)는 원판 형상의 유전체(dielectric substance)로 제공될 수 있다. 지지 플레이트(1220)의 상면에는 기판(W)이 놓인다. 지지 플레이트(1220)의 상면은 기판(W)보다 작은 반경을 갖는다. 때문에, 기판(W)의 가장자리 영역은 지지 플레이트(1220)의 외측에 위치한다. 지지 플레이트(1220)에는 상면에 상부로 돌출된 돌기가 형성된다. 돌기들 사이의 공간으로 열전달 매체가 제공된다.The support plate 1220 is located at the upper end of the electrostatic chuck 1210 . According to one example, the support plate 1220 may be provided with a disk-shaped dielectric substance. A substrate W is placed on the upper surface of the support plate 1220 . The upper surface of the support plate 1220 has a radius smaller than that of the substrate W. Therefore, the edge region of the substrate W is located outside the support plate 1220 . A protrusion protruding upward is formed on an upper surface of the support plate 1220 . A heat transfer medium is provided to the space between the protrusions.

지지 플레이트(1220)의 내부에는 전극(1223)과 히터(1225)가 매설된다. 전극(1223)은 히터(1225)의 상부에 위치한다. 전극(1223)은 제1 하부 전원(1223a)과 전기적으로 연결된다. 제1 하부 전원(1223a)은 직류 전원을 포함한다. 전극(1223)과 제1 하부 전원(1223a) 사이에는 스위치(1223b)가 설치된다. 전극(1223)은 스위치(1223b)의 온/오프(ON/OFF)에 의해 제1 하부 전원(1223a)과 전기적으로 연결될 수 있다. 스위치(1223b)가 온(ON) 되면, 전극(1223)에는 직류 전류가 인가된다. 전극(1223)에 인가된 전류에 의해 전극(1223)과 기판(W) 사이에는 정전기력이 작용하며, 정전기력에 의해 기판(W)은 지지 플레이트(1220)에 흡착된다.An electrode 1223 and a heater 1225 are embedded in the support plate 1220 . The electrode 1223 is positioned above the heater 1225. The electrode 1223 is electrically connected to the first lower power source 1223a. The first lower power supply 1223a includes DC power. A switch 1223b is installed between the electrode 1223 and the first lower power source 1223a. The electrode 1223 may be electrically connected to the first lower power source 1223a by turning on/off the switch 1223b. When the switch 1223b is turned on, a direct current is applied to the electrode 1223. An electrostatic force is applied between the electrode 1223 and the substrate W by the current applied to the electrode 1223, and the substrate W is adsorbed to the support plate 1220 by the electrostatic force.

히터(1225)는 제2 하부 전원(1225a)과 전기적으로 연결된다. 히터(1225)는 제2 하부 전원(1225a)에서 인가된 전류에 저항함으로써 열을 발생시킨다. 발생된 열은 지지 플레이트(1220)를 통해 기판(W)으로 전달된다. 히터(1225)에서 발생된 열에 의해 기판(W)은 소정 온도로 유지된다. 일 예에 의하면, 히터(1225)는 나선 형상의 코일을 포함할 수 있다. 다만, 이와 달리, 히터(1225)는 지지 플레이트(1220) 내부가 아닌 다른 부분에 제공될 수 있고, 또는 히터(1225)는 제공되지 않을 수도 있다. The heater 1225 is electrically connected to the second lower power source 1225a. The heater 1225 generates heat by resisting the current applied from the second lower power source 1225a. The generated heat is transferred to the substrate W through the support plate 1220 . The substrate W is maintained at a predetermined temperature by the heat generated by the heater 1225 . According to one example, the heater 1225 may include a spiral coil. However, unlike this, the heater 1225 may be provided in a part other than the inside of the support plate 1220, or the heater 1225 may not be provided.

지지 플레이트(1220)의 하부에는 절연층(1229)이 위치한다. 절연층(1229)은 지지 플레이트(1220)와 베이스 플레이트(1230) 사이에 위치한다. 절연층(1229)은 지지 플레이트(1220)와 베이스 플레이트(1230) 사이의 열전달을 차단하는 역할을 한다. 또한, 절연층(1229)은 지지 플레이트(1220)와 베이스 플레이트(1230)를 접착하는 역할을 한다. 일 예에 의하면, 절연층(1229)은 지지 플레이트(1220)와 베이스 플레이트(1230)에 각각 접촉되는 영역에 접착 물질이 포함되어 제공될 수 있다.An insulating layer 1229 is positioned under the support plate 1220 . The insulating layer 1229 is positioned between the support plate 1220 and the base plate 1230 . The insulating layer 1229 blocks heat transfer between the support plate 1220 and the base plate 1230 . In addition, the insulating layer 1229 serves to adhere the support plate 1220 and the base plate 1230 . According to an example, the insulating layer 1229 may be provided by including an adhesive material in a region in contact with the support plate 1220 and the base plate 1230 , respectively.

절연층(1229)의 하부에는 베이스 플레이트(1230)가 위치한다. 베이스 플레이트(1230)는 알루미늄 재질로 제공될 수 있다. 베이스 플레이트(1230)의 상면은 중심 영역이 가장자리 영역보다 높게 위치되도록 단차질 수 있다. 베이스플레이트(1230)의 상면 중심 영역은 지지 플레이트(220)의 저면에 상응하는 면적을 가지며, 지지 플레이트(1220)의 저면과 접착된다. 베이스 플레이트(1230)는 내부에 제1 순환 유로(1231), 냉각 부재(1232), 제2 공급 유로(1233), 그리고 제2 히터(1235)가 제공된다.A base plate 1230 is positioned below the insulating layer 1229 . The base plate 1230 may be made of aluminum. The upper surface of the base plate 1230 may be stepped so that the center region is positioned higher than the edge region. The central region of the top surface of the base plate 1230 has an area corresponding to the bottom surface of the support plate 220 and is bonded to the bottom surface of the support plate 1220 . The base plate 1230 is provided with a first circulation passage 1231, a cooling member 1232, a second supply passage 1233, and a second heater 1235 therein.

제1 순환 유로(1231)는 열전달 매체가 순환하는 통로로 제공된다. 제1 순환 유로(1231)는 베이스 플레이트(1230)내부에 나선 형상으로 형성될 수 있다. 또는, 제1 순환 유로(1231)는 서로 상이한 반경을 갖는 링 형상의 유로들이 동일한 중심을 갖도록 배치될 수 있다. 각각의 제1 순환 유로(1231)들은 서로 연통될 수 있다. 제1 순환 유로(1231)들은 동일한 높이에 형성된다.The first circulation passage 1231 serves as a passage through which the heat transfer medium circulates. The first circulation passage 1231 may be formed in a spiral shape inside the base plate 1230 . Alternatively, in the first circulation passage 1231 , ring-shaped passages having different radii may have the same center. Each of the first circulation passages 1231 may communicate with each other. The first circulation passages 1231 are formed at the same height.

냉각 부재(1232)는 냉각 유체가 순환하는 통로로 제공된다. 냉각 부재(1232)는 베이스 플레이트(1230) 내부에 나선형상으로 형성될 수 있다. 또한, 냉각 부재(1232)는 서로 상이한 반경을 갖는 링 형상의 유로들이 동일한 중심을 갖도록 배치될 수 있다. 각각의 냉각 부재(1232)들은 서로 연통될 수 있다. 냉각 부재(1232)는 제1 순환 유로(1231)보다 큰 단면적을 가질 수 있다. 냉각 부재(1232)들은 동일한 높이에 형성된다. 냉각 부재(1232)는 제1 순환유로(1231)의 하부에 위치될 수 있다. The cooling member 1232 serves as a passage through which cooling fluid circulates. The cooling member 1232 may be spirally formed inside the base plate 1230 . Also, the cooling member 1232 may be disposed so that ring-shaped channels having different radii have the same center. Each of the cooling members 1232 may communicate with each other. The cooling member 1232 may have a larger cross-sectional area than the first circulation passage 1231 . The cooling members 1232 are formed at the same height. The cooling member 1232 may be positioned below the first circulation passage 1231 .

제2 공급 유로(1233)는 제1 순환 유로(1231)부터 상부로 연장되며, 베이스 플레이트(1230)의 상면으로 제공된다. 제2 공급 유로(1243)는 제1 공급 유로(1221)에 대응하는 개수로 제공되며, 제1 순환 유로(1231)와 제1 공급 유로(1221)를 연결한다. The second supply passage 1233 extends upward from the first circulation passage 1231 and is provided to the upper surface of the base plate 1230 . The second supply passage 1243 is provided in a number corresponding to the first supply passage 1221 and connects the first circulation passage 1231 and the first supply passage 1221 .

제1 순환 유로(1231)는 열전달 매체 공급라인(1231b)을 통해 열전달 매체 저장부(1231a)와 연결된다. 열전달 매체저장부(1231a)에는 열전달 매체가 저장된다. 열전달 매체는 불활성 가스를 포함한다. 실시예에 의하면, 열전달매체는 헬륨(He) 가스를 포함한다. 헬륨 가스는 공급 라인(231b)을 통해 제1 순환 유로(231)에 공급되며, 제2공급 유로(1233)와 제1 공급 유로(1221)를 순차적으로 거쳐 기판(W) 저면으로 공급된다. 헬륨 가스는 플라즈마에서 기판(W)으로 전달된 열이 정전 척(1210)으로 전달되는 매개체 역할을 한다.The first circulation passage 1231 is connected to the heat transfer medium storage unit 1231a through a heat transfer medium supply line 1231b. A heat transfer medium is stored in the heat transfer medium storage unit 1231a. The heat transfer medium contains an inert gas. According to an embodiment, the heat transfer medium includes helium (He) gas. The helium gas is supplied to the first circulation passage 231 through the supply line 231b, and is supplied to the lower surface of the substrate W through the second supply passage 1233 and the first supply passage 1221 sequentially. The helium gas serves as a medium through which heat transferred from the plasma to the substrate W is transferred to the electrostatic chuck 1210 .

냉각 부재(1232)는 냉각 유체 공급 라인(1232c)을 통해 냉각 유체 저장부(1232a)와 연결된다. 냉각 유체 저장부(1232a)에는 냉각 유체가 저장된다. 냉각 유체 저장부(1232a) 내에는 냉각기(1232b)가 제공될 수 있다. 냉각기(1232b)는 냉각 유체를 소정 온도로 냉각시킨다. 이와 달리, 냉각기(1232b)는 냉각 유체 공급 라인(1232c) 상에 설치될 수 있다. 냉각 유체 공급 라인(1232c)을 통해 냉각 부재(1232)에 공급된 냉각 유체는 냉각 부재(1232)를 따라 순환하며 베이스 플레이트(1230)을 냉각한다. 베이스 플레이트(1230)은 냉각되면서 지지 플레이트(1220)과 기판(W)을 함께 냉각시켜 기판(W)을 소정 온도로 유지시킨다.The cooling member 1232 is connected to the cooling fluid storage unit 1232a through a cooling fluid supply line 1232c. Cooling fluid is stored in the cooling fluid storage unit 1232a. A cooler 1232b may be provided in the cooling fluid storage unit 1232a. The cooler 1232b cools the cooling fluid to a predetermined temperature. Alternatively, the cooler 1232b may be installed on the cooling fluid supply line 1232c. The cooling fluid supplied to the cooling member 1232 through the cooling fluid supply line 1232c circulates along the cooling member 1232 and cools the base plate 1230 . While the base plate 1230 is cooled, the support plate 1220 and the substrate W are cooled together to maintain the substrate W at a predetermined temperature.

포커스 링(1240)은 정전 척(1210)의 가장자리 영역에 배치된다. 포커스 링(1240)은 링 형상을 가지며, 지지 플레이트(1220)의 둘레를 따라 배치된다. 포커스 링(1240)의 상면은 외측부(1240a)가 내측부(1240b)보다 높도록 단차질 수 있다. 포커스 링(1240)의 상면 내측부(1240b)는 지지 플레이트(1220)의 상면과 동일 높이에 위치된다. 포커스 링(1240)의 상면 내측부(1240b)는 지지 플레이트(1220)의 외측에 위치된 기판(W)의 가장자리 영역을 지지한다. 포커스 링(1240)의 외측부(1240a)는 기판(W)의 가장자리 영역을 둘러싸도록 제공된다. 포커스 링(1240)은 챔버(1100) 내에서 플라즈마가 기판(W)과 마주하는 영역으로 집중되도록 한다.The focus ring 1240 is disposed on an edge region of the electrostatic chuck 1210 . The focus ring 1240 has a ring shape and is disposed along the circumference of the support plate 1220 . An upper surface of the focus ring 1240 may be stepped so that the outer portion 1240a is higher than the inner portion 1240b. The inner portion 1240b of the top surface of the focus ring 1240 is positioned at the same height as the top surface of the support plate 1220 . The inner portion 1240b of the upper surface of the focus ring 1240 supports an edge region of the substrate W positioned outside the support plate 1220 . The outer portion 1240a of the focus ring 1240 is provided to surround the edge area of the substrate W. The focus ring 1240 allows plasma to be focused on a region facing the substrate W in the chamber 1100 .

베이스 플레이트(1230)의 하부에는 절연 플레이트(1250)가 위치한다. 절연 플레이트(1250)는 베이스 플레이트(1230)에 상응하는 단면적으로 제공된다. 절연 플레이트(1250)는 베이스 플레이트(1230)와 하부 커버(1270) 사이에 위치한다. 절연 플레이트(1250)는 절연 재질로 제공되며, 베이스 플레이트(1230)와 하부 커버(1270)를 전기적으로 절연시킨다.An insulating plate 1250 is positioned below the base plate 1230 . The insulating plate 1250 is provided with a cross-sectional area corresponding to that of the base plate 1230 . The insulating plate 1250 is positioned between the base plate 1230 and the lower cover 1270 . The insulating plate 1250 is made of an insulating material and electrically insulates the base plate 1230 and the lower cover 1270 from each other.

하부 커버(1270)는 지지 유닛(1200)의 하단부에 위치한다. 하부 커버(1270)는 바디(1110)의 바닥면에서 상부로 이격되어 위치한다. 하부 커버(1270)는 상면이 개방된 공간이 내부에 형성된다. 하부 커버(1270)의 상면은 절연 플레이트(1250)에 의해 덮어진다. 따라서 하부 커버(1270)의 단면의 외부 반경은 절연 플레이트(1250)의 외부 반경과The lower cover 1270 is located at the lower end of the support unit 1200 . The lower cover 1270 is spaced apart from the bottom surface of the body 1110 to the top. The lower cover 1270 has an open upper surface formed therein. An upper surface of the lower cover 1270 is covered by an insulating plate 1250 . Therefore, the outer radius of the cross section of the lower cover 1270 is the outer radius of the insulating plate 1250 and

동일한 길이로 제공될 수 있다. 하부 커버(1270)의 내부 공간에는 반송되는 기판(W)을 외부의 반송 부재로부터 정전 척(1210)으로 이동시키는 리프트 핀 모듈(미도시) 등이 위치할 수 있다.They may be provided in the same length. A lift pin module (not shown) that moves the substrate W to be transported from an external transport member to the electrostatic chuck 1210 may be positioned in the inner space of the lower cover 1270 .

하부 커버(1270)는 연결 부재(1273)를 갖는다. 연결 부재(1273)는 하부커버(1270)의 외측면과 바디(1110)의 내측벽을 연결한다. 연결 부재(1273)는 하부 커버(1270)의 외측면에 일정한 간격으로 복수개 제공될 수 있다. 연결 부재(1273)는 지지 유닛(1200)를 챔버(1100) 내부에서 지지한다. 또한, 연결 부재(1273)는 바디(1110)의 내측벽과 연결됨으로써 하부 커버(1270)가 전기적으로 접지(grounding)되도록 한다. 제1 하부 전원(1223a)과 연결되는 제1 전원라인(1223c), 제2 하부 전원(1225a)과 연결되는 제2 전원라인(1225c), 제3 하부 전원(1235a)과 연결되는 제3 전원라인(1235c), 열전달 매체 저장부(1231a)와 연결된 열전달 매체 공급라인(1231b) 그리고 냉각 유체 저장부(1232a)와 연결된 냉각 유체 공급 라인(1232c)등은 연결 부재(1273)의 내부 공간을 통해 하부 커버(1270) 내부로 연장된다.The lower cover 1270 has a connecting member 1273. The connection member 1273 connects the outer surface of the lower cover 1270 and the inner wall of the body 1110. A plurality of connection members 1273 may be provided on the outer surface of the lower cover 1270 at regular intervals. The connection member 1273 supports the support unit 1200 inside the chamber 1100 . In addition, the connecting member 1273 is connected to the inner wall of the body 1110 so that the lower cover 1270 is electrically grounded. A first power line 1223c connected to the first lower power supply 1223a, a second power line 1225c connected to the second lower power supply 1225a, and a third power line connected to the third lower power supply 1235a 1235c, the heat transfer medium supply line 1231b connected to the heat transfer medium storage unit 1231a, and the cooling fluid supply line 1232c connected to the cooling fluid storage unit 1232a, etc. Cover 1270 extends inside.

가스 공급 유닛(1300)은 챔버(1100) 내부에 공정 가스를 공급한다. 가스 공급 유닛(1300)은 가스 공급 노즐(1310), 가스 공급 라인(1320), 그리고 가스 저장부(1330)를 포함한다. 가스 공급 노즐(1310)은 후술하는 유전판(1120)의 중앙부에 설치된다. 가스 공급 노즐(1310)의 저면에는 분사구가 형성된다. 분사구는 유전판(1120)의 하부에 위치하며, 챔버(1100) 내부로 공정 가스를 공급한다. 가스 공급 라인(1320)은 가스 공급 노즐(1310)과 가스 저장부(1330)를 연결한다. 가스 공급 라인(1320)은 가스 저장부(1330)에 저장된 공정 가스를 가스 공급 노즐(1310)에 공급한다. The gas supply unit 1300 supplies process gas into the chamber 1100 . The gas supply unit 1300 includes a gas supply nozzle 1310 , a gas supply line 1320 , and a gas storage unit 1330 . The gas supply nozzle 1310 is installed in the center of a dielectric plate 1120 to be described later. A spray hole is formed on the bottom of the gas supply nozzle 1310 . An injection hole is located below the dielectric plate 1120 and supplies a process gas into the chamber 1100 . The gas supply line 1320 connects the gas supply nozzle 1310 and the gas storage unit 1330 . The gas supply line 1320 supplies process gas stored in the gas storage unit 1330 to the gas supply nozzle 1310 .

가스 공급 라인(1320)에는 밸브(1321)가 설치된다. 밸브(1321)는 가스 공급 라인(1320)을 개폐하며, 가스 공급 라인(1320)을 통해 공급되는 공정 가스의 유량을 조절한다.A valve 1321 is installed in the gas supply line 1320 . The valve 1321 opens and closes the gas supply line 1320 and controls the flow rate of process gas supplied through the gas supply line 1320 .

플라즈마 소스(1400)는 챔버(1100) 내에 공정 가스를 플라즈마 상태로 여기시킨다. 플라즈마 소스(1400)로는 유도결합형 플라즈마(ICP: inductively coupled plasma) 소스가 사용될 수 있다. 플라즈마 소스(1400)는 안테나(1420) 및 외부전원(1430)을 포함한다. 안테나(1420)는 챔버(1100)의 외측 상부에 배치된다. 안테나(1420)는 복수 회 감기는 나선 형상으로 제공되고, 외부전원(1430)과 연결된다. 안테나(1420)는 외부전원(1430)으로부터 전력을 인가받는다. 전력이 인가된 안테나(1420)는 챔버(1100)의 처리 공간에 방전공간을 형성한다. 방전공간 내에 머무르는 공정 가스는 플라즈마 상태로 여기될 수 있다. The plasma source 1400 excites the process gas in the chamber 1100 to a plasma state. As the plasma source 1400, an inductively coupled plasma (ICP) source may be used. The plasma source 1400 includes an antenna 1420 and an external power source 1430. The antenna 1420 is disposed on the outer upper portion of the chamber 1100 . The antenna 1420 is provided in a spiral shape that is wound multiple times and is connected to an external power source 1430. The antenna 1420 receives power from an external power source 1430 . The antenna 1420 to which power is applied forms a discharge space in the processing space of the chamber 1100 . The process gas remaining in the discharge space may be excited into a plasma state.

선택적으로 플라즈마 소스(1400)는 용량 결합형 플라즈마(CCP: Capacitively coupled plasma)가 사용될 수 있다. 플라즈마 소스(1400)는 기판 지지 유닛(1200)과 상하 방향으로 마주보는 샤워 헤드를 가지고, 기판 지지 유닛(1200)과 샤워 헤드 각각에는 전극이 제공될 수 있다. 양 전극 간에는 전자기장이 형성될 수 있다.Optionally, a capacitively coupled plasma (CCP) may be used as the plasma source 1400 . The plasma source 1400 has a shower head facing the substrate support unit 1200 in a vertical direction, and electrodes may be provided on each of the substrate support unit 1200 and the shower head. An electromagnetic field may be formed between both electrodes.

이상의 실시 예들은 본 발명의 이해를 돕기 위하여 제시된 것으로, 본 발명의 범위를 제한하지 않으며, 이로부터 다양한 변형 가능한 실시 예들도 본 발명의 범위에 속하는 것임을 이해하여야 한다. 본 발명의 기술적 보호범위는 특허청구범위의 기술적 사상에 의해 정해져야 할 것이며, 본 발명의 기술적 보호범위는 특허청구범위의 문언적 기재 그 자체로 한정되는 것이 아니라 실질적으로는 기술적 가치가 균등한 범주의 발명에 대하여까지 미치는 것임을 이해하여야 한다.It should be understood that the above embodiments are presented to aid understanding of the present invention, do not limit the scope of the present invention, and various deformable embodiments also fall within the scope of the present invention. The scope of technical protection of the present invention should be determined by the technical spirit of the claims, and the scope of technical protection of the present invention is not limited to the literal description of the claims themselves, but is substantially equal to the scope of technical value. It should be understood that it extends to the invention of.

1000: 기판 처리 장치 1100: 챔버
1200: 지지 유닛 1210: 정전 척
1220: 지지 플레이트 1225: 제1 히터
1230: 베이스 플레이트 1235: 제2 히터
1250: 절연 플레이트 1270: 하부 커버
1300: 가스 공급 유닛 1400: 플라즈마 소스
1000: substrate processing device 1100: chamber
1200: support unit 1210: electrostatic chuck
1220: support plate 1225: first heater
1230: base plate 1235: second heater
1250: insulating plate 1270: lower cover
1300: gas supply unit 1400: plasma source

Claims (2)

기판을 처리하는 장치에 있어서,
내부에 처리 공간을 가지는 챔버;
상기 처리 공간에서 기판을 지지하는 기판 지지 유닛;
상기 처리 공간에 가스를 공급하는 가스 공급 유닛;
상기 처리 공간에 공급되는 가스로부터 플라즈마를 발생시키는 플라즈마 소스;
상기 챔버에 설치되어 상기 처리공간에서 생성된 공정부산물이 상기 챔버의 내측벽에 부착되는 것을 방지하는 라이너 부재를 포함하되;
상기 라이너 부재는
상기 챔버의 내측벽에 장착되는 라이너;
상기 라이너로부터 연장되고, 상기 기판 지지 유닛을 감싸는 링 형상으로 제공되며, 가스가 배기되는 복수의 관통홀이 형성되는 배플 플레이트를 포함하는 기판 처리 장치.
In the device for processing the substrate,
a chamber having a processing space therein;
a substrate support unit supporting a substrate in the processing space;
a gas supply unit supplying gas to the processing space;
a plasma source generating plasma from gas supplied to the processing space;
A liner member installed in the chamber to prevent the process by-products generated in the processing space from adhering to the inner wall of the chamber;
The liner member is
a liner mounted on an inner wall of the chamber;
and a baffle plate extending from the liner, provided in a ring shape surrounding the substrate support unit, and having a plurality of through holes through which gas is exhausted.
제1항에 있어서,
상기 챔버의 내측벽에 설치되어 상기 라이너를 가열하는 가열 부재를 포함하는 기판 처리 장치.
According to claim 1,
and a heating member installed on an inner wall of the chamber to heat the liner.
KR1020210190322A 2021-12-28 2021-12-28 Apparatus and Method for treating substrate KR20230100832A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020210190322A KR20230100832A (en) 2021-12-28 2021-12-28 Apparatus and Method for treating substrate

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020210190322A KR20230100832A (en) 2021-12-28 2021-12-28 Apparatus and Method for treating substrate

Publications (1)

Publication Number Publication Date
KR20230100832A true KR20230100832A (en) 2023-07-06

Family

ID=87185489

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020210190322A KR20230100832A (en) 2021-12-28 2021-12-28 Apparatus and Method for treating substrate

Country Status (1)

Country Link
KR (1) KR20230100832A (en)

Similar Documents

Publication Publication Date Title
US20140034240A1 (en) Apparatus for treating substrate
KR101909483B1 (en) Buffer unit and Apparatus for treating substrate with the unit
KR20200102612A (en) Substrate treating apparatus and substrate treating method
US11056367B2 (en) Buffer unit, and apparatus for treating substrate with the unit
KR101884857B1 (en) Buffer unit and System for treating substrate with the unit
CN110858557B (en) Buffer unit and apparatus and method for processing substrate using the same
KR20190131652A (en) Transfer unit, apparatus for treating substrate including the same
US10777387B2 (en) Apparatus for treating substrate
KR102335471B1 (en) Buffer unit, Apparatus and Method for treating substrate with the unit
KR20230100832A (en) Apparatus and Method for treating substrate
KR20230101672A (en) An apparatus for treating substrate
CN111696892B (en) Apparatus and method for processing substrate
KR102299883B1 (en) Apparatus and Method for treating substrate
KR20210030917A (en) Substrate treating apparatus and substrate treating method
KR101569881B1 (en) Supporting unit and apparatus and method for treating substrate comprising the same and method for cleaning supporting member
KR102567506B1 (en) Buffer unit, apparatus and method for treating substrate with the unit
KR20230099780A (en) Apparatus and Method for treating substrate
KR102290913B1 (en) Apparatus for treating substrate
KR20220065415A (en) Focus ring and apparatus for treating substrate
KR20230064021A (en) Apparatus for treating substrate
KR20230101995A (en) Apparatus for treating substrate
KR20230101670A (en) An apparatus for treating substrate
KR20230086303A (en) Substrate supporting unit and apparatus for processing substrate
KR20230101679A (en) Gas supplying unit and apparatus for treating substrate with the unit
KR20230064019A (en) Transfer robot and apparatus for treating substrate with the robot

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E902 Notification of reason for refusal