KR20230099536A - 배선 구조체 및 이를 포함하는 장치 - Google Patents

배선 구조체 및 이를 포함하는 장치 Download PDF

Info

Publication number
KR20230099536A
KR20230099536A KR1020210188989A KR20210188989A KR20230099536A KR 20230099536 A KR20230099536 A KR 20230099536A KR 1020210188989 A KR1020210188989 A KR 1020210188989A KR 20210188989 A KR20210188989 A KR 20210188989A KR 20230099536 A KR20230099536 A KR 20230099536A
Authority
KR
South Korea
Prior art keywords
layer
dielectric layer
metal
wiring structure
intermediate layer
Prior art date
Application number
KR1020210188989A
Other languages
English (en)
Inventor
박영석
양은지
권준영
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020210188989A priority Critical patent/KR20230099536A/ko
Priority to US18/145,490 priority patent/US20230207467A1/en
Priority to CN202211684280.3A priority patent/CN116364646A/zh
Priority to EP22216982.3A priority patent/EP4202993A3/en
Publication of KR20230099536A publication Critical patent/KR20230099536A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53242Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a noble metal, e.g. gold
    • H01L23/53252Additional layers associated with noble-metal layers, e.g. adhesion, barrier, cladding layers
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01GCOMPOUNDS CONTAINING METALS NOT COVERED BY SUBCLASSES C01D OR C01F
    • C01G37/00Compounds of chromium
    • C01G37/14Chromates; Bichromates
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01GCOMPOUNDS CONTAINING METALS NOT COVERED BY SUBCLASSES C01D OR C01F
    • C01G51/00Compounds of cobalt
    • C01G51/40Cobaltates
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01GCOMPOUNDS CONTAINING METALS NOT COVERED BY SUBCLASSES C01D OR C01F
    • C01G53/00Compounds of nickel
    • C01G53/40Nickelates
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01GCOMPOUNDS CONTAINING METALS NOT COVERED BY SUBCLASSES C01D OR C01F
    • C01G55/00Compounds of ruthenium, rhodium, palladium, osmium, iridium, or platinum
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5283Cross-sectional geometry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01PINDEXING SCHEME RELATING TO STRUCTURAL AND PHYSICAL ASPECTS OF SOLID INORGANIC COMPOUNDS
    • C01P2002/00Crystal-structural characteristics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Geometry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

배선 구조체 및 이를 포함하는 전자 장치가 개시된다. 본 배선 구조체는, 금속층, 금속층의 적어도 일부를 감싸는 유전체층 및 금속층과 유전체층 사이에 배치되며 삼원계의 금속 산화물을 포함하는 중간층을 포함한다.

Description

배선 구조체 및 이를 포함하는 장치{INTERCONNECTOR AND ELECTRIC APPARATUS COMPRISING THE SAME}
예시적인 실시예는 삼원계의 금속 산화물을 포함하는 배선 구조체 및 이를 포함하는 장치에 관한 것이다.
전자소자 및 반도체소자는 대부분이 반도체와 절연체 및 도전체를 조합하고 연결함으로써 제조될 수 있다. 예컨대, 반도체기판에 복수의 단위 소자를 형성한 후, 그 위에 절연층(층간절연층) 및 전극배선을 반복해서 적층함으로써 다양한 집적 회로(integrated circuit)를 제조할 수 있다.
그런데, 소자를 제조하는 과정이나 동작시키는 과정에서, 구성층들의 온도가 증가할 수 있고, 전압/전류의 인가에 의해 전기적 스트레스(stress)가 발생할 수 있다. 이로 인해, 소자의 특성이 열화되고 신뢰성 및 내구성이 떨어질 수 있다.
예시적인 실시예는 삼원계의 금속 산화물을 중간층(interlayer)으로 이용하는 배선 구조체 및 전자 장치를 제공한다.
일 실시예에 따른 배선 구조체는, 금속층; 상기 금속층의 적어도 일부를 감싸는 유전체층; 및 상기 금속층과 상기 유전체층 사이에 배치되며, 삼원계의 금속 산화물을 포함하는 중간층;을 포함한다.
그리고, 상기 삼원계의 금속 산화물은, 델라포사이트(delafossite)의 결정 구조를 포함할 수 있다.
또한, 상기 삼원계의 금속 산화물은, ABO2 화합물(A와 B는 금속)을 포함할 수 있다.
그리고, 상기 삼원계의 금속 산화물의 A는Pt, Pd, Ag 중 어느 하나이고, B는 Co, Cr, Ni, Rh 중 어느 하나일 수 있다.
또한, 상기 삼원계의 금속 산화물은, PtCoO2, PdCoO2, PdCrO2, PdRhO2, AgNiO2 중 적어도 하나를 포함할 수 있다.
그리고, 상기 중간층의 두께는, 15nm이하일 수 있다.
또한, 상기 금속층의 두께는, 5nm 내지 50nm일 수 있다.
그리고, 상기 금속층의 폭은, 50nm이하일 수 있다.
또한, 상기 금속층은, 면심입방격자(Face-centered cubic) 구조 또는 6각형(Hexagonal) 구조를 포함할 수 있다.
상기 금속층은, Cu, Ag, Au, Al, Pt, Pd, Rh, Ir, Ru 중 적어도 하나를 포함할 수 있다.
또한, 상기 유전체층은, 비유전 상수가 4이하일 수 있다.
그리고, 상기 유전체층은, 다공성일 수 있다.
또한, 상기 유전체층은, SiO2, SiO(CH3) 중 적어도 하나를 포함할 수 있다.
또한, 상기 중간층의 벌크 저항은, 10-5Ω cm이하일 수 있다.
그리고, 상기 중간층의 비저항은, 10-4Ω cm이하일 수 있다.
또한, 상기 중간층은 상기 유전체층에 포함된 산소 이외의 원소를 포함할 수 있다.
그리고, 상기 유전체층은 소정 깊이의 트렌치를 포함하는 제1 유전체층을 포함하고, 상기 중간층은 상기 트렌치의 내벽상에 배치되는 제1 중간층을 포함하며, 상기 금속층은 상기 트렌치의 내부를 채우는 제1 금속층을 포함할 수 있다.
또한, 상기 유전체층은 비아홀을 포함하는 제2 유전체층을 포함하고, 상기 중간층은 상기 비아홀의 내벽상에 배치되는 제2 중간층을 포함하며, 상기 금속층은 상기 비아홀의 내부를 채우는 제2 금속층을 포함할 수 있다.
한편, 일 실시예에 따른 전자 장치는, 트랜지스터, 커패시터, 저항 중 적어도 하나를 포함하는 소자층; 및 상기 소자층에 연결되며, 앞서 기술한 배선 구조체;를 포함한다.
그리고, 상기 소자층은, 메모리 소자, 디스플레이 소자, 집적 회로 소자 중 적어도 하나를 포함할 수 있다.
실시예에 따른 삼원계의 금속 산화물을 중간층으로 이용하기 때문에 배선의 신뢰성 및 내구성이 향상될 수 있다.
도 1은 실시예에 따른 배선 구조체를 포함하는 전자 장치를 개략적으로 나타낸 도면이다.
도 2는 도 1의 배선 구조체의 일부를 절단한 단면이다.
도 3은 일 실시예에 따른 델라포사이트의 결정 구조를 갖는 금속 산화물의 수직(out of plane) 방향을 도시한 도면이다.
도 4는 일 실시예에 따른 델라포사이트의 결정 구조를 갖는 금속 산화물의 벌크 저항을 나타내는 그래프이다.
도 5는 일 실시예에 따른 델라포사이트의 결정 구조를 갖는 금속 산화물의 수평 (in plane) 방향을 도시한 도면이다.
도 6은 일 실시예에 따른 금속의 (111) 면의 결정 구조를 도시한 도면이다.
도 7은 일 실시예에 다른 중간층에 적용되는 금속 산화물과 금속층에 적용되는 금속간의 격자 상수의 불일치율을 계산한 결과이다.
도 8a 내지 도 8c는 일 실시예에 따른 배선 구조체를 제조하는 과정을 설명하는 참조도면이다.
도 9는 다른 실시예에 따른 박막 구조체를 도시한 도면이다.
도 10은 일 실시예에 따른 SiO2/Si의 유전체층상에 PtCoO2의 중간층을 형성한 후, 저항을 측정한 결과이다.
도 11은 일 실시예에 따른 SiO2/Si의 유전체층상에 PtCoO2의 중간층이 형성된 박막의 SEM(Scanning Electron Microscope) 표면 이미지이다.
도 12는 일 실시예에 따른 SiO2/Si의 유전체층상에 PtCoO2의 중간층이 형성된 박막의 STEM(scanning transmission electron microscope) 단면 이미지이다.
도 13은 일 실시예에 따른 SiO2/Si의 유전체층상에 PtCoO2의 중간층이 형성된 박막 단면의 EDS(Energy Dispersive Spectroscopy) 맴핑을 나타내는 도면이다.
도 14는 일 실시예에 따른 SiO2/Si의 유전체층상에 PtCoO2의 중간층이 형성된 박막의 XRD(X-ray diffraction) 패턴을 도시한 도면이다.
도 15는 다른 실시예에 따른 배선 구조체를 도시한 도면이다.
도 16는 일 실시예에 따른 캡층을 포함하는 배선 구조체를 도시한 도면이다.
도 17은 일 실시예에 따른 접합층을 포함하는 배선 구조체를 도시한 도면이다.
도 18는 다른 실시예에 따른 배선 구조체를 도시한 도면이다.
도 19은 일 실시예에 따른 홀을 포함하는 배선 구조체를 도시한 도면이다.
도 20은 다른 실시예에 따른 홀을 포함하는 배선 구조체를 도시한 도면이다.
도 21은 다른 실시예에 따른 배선 구조체를 포함하는 전자 장치를 나타내는 참조도면이다.
이하, 첨부된 도면을 참조하여 예시적인 실시예들에 대해 상세히 설명하기로 한다. 이하의 도면들에서 동일한 참조부호는 동일한 구성요소를 지칭하며, 도면상에서 각 구성요소의 크기는 설명의 명료성과 편의상 과장되어 있을 수 있다. 이하에서 설명되는 실시예들은 단지 예시적인 것으로, 이러한 실시예들로부터 다양한 변형이 가능하다.
한편, 이하에서, "상부" 나 "상"이라고 기재된 것은 접촉하여 바로 위에 있는 것뿐만 아니라 비접촉으로 위에 있는 것도 포함할 수 있다. 단수의 표현은 문맥상 명백하게 다르게 뜻하지 않는 한, 복수의 표현을 포함한다. 또한 어떤 부분이 어떤 구성요소를 "포함"한다고 할 때, 이는 특별히 반대되는 기재가 없는 한 다른 구성요소를 제외하는 것이 아니라 다른 구성요소를 더 포함할 수 있는 것을 의미한다. “상기”의 용어 및 이와 유사한 지시 용어의 사용은 단수 및 복수 모두에 해당하는 것일 수 있다.
“상기”의 용어 및 이와 유사한 지시 용어의 사용은 단수 및 복수 모두에 해당하는 것일 수 있다.
방법을 구성하는 단계들은 설명된 순서대로 행하여야 한다는 명백한 언급이 없다면, 적당한 순서로 행해질 수 있다. 또한, 모든 예시적인 용어(예를 들어, 등등)의 사용은 단순히 기술적 사상을 상세히 설명하기 위한 것으로서 청구항에 의해 한정되지 않는 이상 이러한 용어로 인해 권리 범위가 한정되는 것은 아니다.
도 1은 실시예에 따른 배선 구조체(120)를 포함하는 전자 장치(100)를 개략적으로 나타낸 도면이다. 도 1을 참조하면, 전자 장치(100)는 소자층(110) 및 소자층(110)을 연결하는 배선 구조체(120)를 포함한다.
소자층(110)은 기판(112)을 포함할 수 있다. 예를 들면, 기판(112)은 IV족 반도체 물질, III/V 족 반도체 화합물 또는 II/VI 족 반도체 화합물을 포함할 수 있다. 구체적인예로서, 기판은 Si, Ge, SiC, SiGe, SiGeC, Ge Alloy, GaAs, InAs, InP 등을 포함할 수 있다. 하지만, 이는 단지 예시적인 것으로, 이외에도 다른 다양한 반도체 물질이 기판으로 사용될 수 있다.
기판(112)은 단일층 또는 서로 다른 물질이 적층된 복수층을 포함할 수 있다. 기판(112)은 예를 들면, SOI(Silicon-On-Insulator) 기판 또는 SGOI(Silicon Germanium-On-Insulator) 기판을 포함할 수 있다. 또한, 기판(112)은 도핑되지 않은(non-doped) 반도체 물질 또는 도핑된(doped) 반도체 물질을 포함할 수 있다.
소자층(110)은, 저항들, 다이오드들, 커패시터들 및/또는 트랜지스터들과 같은 반도체 소자를 하나 또는 그 이상 포함할 수 있다. 도면에도 두 개의 트랜지스터(TR1, TR2)가 도시되어 있으나, 이에 한정되지 않는다. 하나 이상의 반도체 소자는 당업자에게 잘알려진 기술을 이용하여 형성될 수 있다. 그리하여, 소자층(110)은 트랜지스터, 커패시터, 다이오드, 저항 등을 포함하는 메모리 소자, 디스플레이 소자 등을 포함할 수 있으며, 전자 장치는 시스템 메모리, 메모리 장치, 디스플레이 장치, 모바일 장치 등일 수 있다.
소자층(110)의 상부에는 배선 구조체(120)가 배치될 수 있다. 배선 구조체(120)는 복수의 금속화(metallization)층(ML)이 적층된 구조를 가질 수 있다. 금속화층(ML)은 금속층(210)과 유전체층(230)을 포함할 수 있다.
도 2는 도 1의 배선 구조체의 일부를 절단한 단면이다.
도 2를 참조하면, 배선 구조체(200)는 금속층(210), 금속층(210)의 적어도 일부를 감싸는 유전체층(230) 및 금속층(210)과 유전체층(230) 사이에 배치되며, 금속 산화물을 포함하는 중간층(250)을 포함할 수 있다.
유전체층(230)은 단일층 구조 또는 서로 다른 물질들이 적층된 다층 구조를 가질 수 있다. 유전체층(230)은 비유전 상수가 낮은 물질로 형성될 수 있다. 예를 들어, 유전체층(230)의 비유전 상수는 4이하일 수 있다. 유전체층(230)은 실리콘 산화물, 질화물, 실리콘 질화물, 실리콘 카바이드, 실리케이트 등을 포함할 수 있다. 하지만, 이는 단지 예시적인 것으로 이외에도 다른 다양한 유전 물질이 유전체층(230)으로 사용될 수 있다. 또한, 유전체층(230)은 유기 유전물질을 포함할 수도 있다.
또는 유전체층(230)은 다공성일 수 있다. 유전체층(230)내의 기공에 비유전 상수가 1인 공기가 채워지기 때문에 유전체층(230)의 비유전 상수는 더 낮아질 수 있다. 예를 들어, 유전체층(230)은 SiOCH3을 포함할 수 있다. 메틸 라디칼(CH3)에 의해 유전체층(230)은 다공성의 특징을 가질 수 있다.
유전체층(230)은 적어도 하나의 트렌치(T)가 상부에 형성될 수 있고, 금속층(210)은 유전체층(230)의 트렌치(T)에 배치될 수 있다. 도면에는 유전체층(230)에 하나의 트렌치(T)가 형성된 것으로 도시되어 있으나, 이에 한정되지 않는다. 2 개 이상의 트렌치(T)가 유전체층(230)에 형성될 수도 있다. 트렌치(T) 내에 금속층(210)이 채워짐으로써 배선인 금속층(210)의 폭을 줄일 수 있다. 금속층의 폭은 50nm이하일 수 있다.
금속층(210)은 전기전도도가 우수한 금속 또는 금속 합금을 포함할 수 있다. 예를 들면, 금속층(210)은 Cu, Ag, Au, Al, Pt, Pd, Rh, Ir, Ru 중 적어도 하나를 포함할 수 있다. 하지만, 이에 한정되는 것은 아니며, 다른 다양한 금속이 금속층(210)으로 사용될 수 있다.
금속층(210)의 하부면 및 양 측면은 유전체층(230)에 대향하도록 배치될 수 있다. 즉, 금속층(210)의 하부면 및 양 측면은 유전체층(230)에 의해 둘러싸일 수 있다.
반도체 공정의 초미세화로 트랜지스터 등의 소자들의 집적율이 높아지고 있다. 높은 소자들의 집적율을 구현하기 위해 배선의 폭이 축소되면서 배선의 저항은 급격하게 상승할 수 있다. 현재 배선의 주 재료인 Cu의 경우 자유 평균 경로(mean free path)보다 좁은 40nm 이하의 폭에서는 배선의 결정립계(grain boundary)에서 산란에 의한 저항이 크게 상승할 수 있다. 또한, 미세화된 배선에서는 전류 밀도의 상승에 의한 줄(Joule) 열 증대도 수반될 수 있다. 발열과 전류 밀도 상승은 일렉트로마이그레이션(electromigration)에 의한 배선 내 결함 및 보이드(void) 생성을 가속화시킬 수 있다.
또한, 금속층(210)을 유전체층(230)상에 형성할 때, 유전체층(230)과 금속층(210)은 물리 흡착이 자발적으로 안정화되지 않기 때문에 유전체층(230)에 대한 금속층(210)의 접착 특성이 나쁘다.
일 실시예에서, 유전체층(230)에 대한 금속층(210)의 접착성을 개선하고, 계면을 안정화시키켜, 금속층(210)의 표면 흡착을 유발할 수 있는 중간층(250)을 유전체층(230)과 금속층(210) 사이에 배치시킬 수 있다. 예를 들어, 중간층(250)은 금속층(210)의 양 측면에 대향하는 제1 중간층(252) 및 금속층(210)의 하부면에 대향하는 제2 중간층(254)을 포함할 수 있다.
기존의 배선 구조체는 중간층으로, TiN, Co, Ru, Ta, Ti 등이 이용될 수 있다. 상기한 물질들은 배선 공정 밀도와 균일도를 향상시킬 수 있다 예를 들어, 금속층(210)이 Cu로 형성되고, 중간층이 TaN으로 형성된 경우, TaN 층은 Cu의 확산이 억제되면서 배선의 신뢰성을 할 수 있다. TaN의 벌크 저항(bulk resistivity)는 250 μΩ cm로 Cu 의 1.7 μΩ cm 대비 약 100배 이상의 차이가 있다. TaN층의 두께를 2 nm 이하로 줄이는 것이 배선의 전체 저항을 낮추는 데 효과적일 수 있다. 그러나, TaN층의 두께를 줄일수록 TaN 층 자체의 비저항은 더 상승할 수 있다. 또한, TaN층을 사이에 두고 두 개의 Cu층이 위 아래로 적층된 구조에서 TaN층의 계면은 저항 개선의 병목현상(bottleneck)이 될 수 있다.
또는 Co, Ru, W 배선은 주로 TiN 층을 이용해 유전체층에 대한 Co, W의 접착(adhesion)을 유도해 배선 공정성을 확보할 수 있다. 그러나, TiN 층의 벌크 저항(bulk resistivity)는 20 μΩ cm 수준으로 낮은 저항 특성을 가지나 실제 공정 시 10 nm 이하의 두께에서는 100-200 μΩ cm 이상으로 비저항이 크게 상승할 수 있다. 또, TiN층은 표면 산화에 취약하여 박막 공정 중 Ti(O,N)x 구조가 생성되면서 비저항이 추가로 증가할 수도 있다.
기존에 사용된 중간층으로서, TaN 층 또는 TiN층은 유전체층(230)에 대한 금속층(210)의 접합 특성을 개선하는데 기여를 하지만, 계면 저항을 유발해 궁극적으로 배선의 전체 저항이 상승하는 문제가 있다.
일 실시예에 따른 중간층(250)은 벌크 저항이 낮은 금속 산화물을 포함할 수 있다. 일 실시예에 따른 중간층(250)의 벌크 저항은 약 1×10-5Ω cm이하일 수 있다. 금속 산화물은 3원계 금속 산화물일 수 있다. 금속 산화물은 층상 구조를 가지고 있으며, 예를 들어, 델라포사이트(delafossite)의 결정 구조를 가질 수 있다.
일 실시예에 따른 델라포사이트의 결정 구조를 갖는 금속 산화물은 ABO2의 조성을 가질 수 있다. 여기서 A, B는 금속일 수 있다. 예를 들어, A는 Pt, Pd, Ag 중 어느 하나이고, B는 Co, Cr, Ni, Rh 중 어느 하나일 수 있다. 예를 들어, 델라포사이트의 결정 구조를 갖는 금속 산화물은 PtCoO2, PtCoO2, PdCrO2, PdRhO2, AgNiO2 중 적어도 하나를 포함할 수 있다.
도 3은 일 실시예에 따른 델라포사이트의 결정 구조를 갖는 금속 산화물의 수직(out of plane) 방향을 도시한 도면이다. 도 3에 도시된 바와 같이, 델라포사이트의 결정 구조를 갖는 금속 산화물은 AO2층과 BO6층이 교번적으로 배열될 수 있다. AO2층은 전도층으로 작용하고, BO6층은 절연층으로 작용할 수 있다. 그리하여, 델라포사이트의 결정 구조를 갖는 금속 산화물은 Ru, Co 등을 포함한 대부분의 금속과 유사하거나 그 이하의 벌크(bulk) 저항을 가질 수 있다.
도 4는 일 실시예에 따른 델라포사이트의 결정 구조를 갖는 금속 산화물의 벌크 저항을 나타내는 그래프이다. 도 4에 도시된 바와 같이, 일반적인 금속의 벌크 저항과 델라포사이트의 결정 구조를 갖는 금속 산화물의 벌크 저항은 유사함을 알 수 있다. 델라포사이트의 결정 구조를 갖는 금속 산화물의 벌크 저항은 약 10-5μΩ cm이하일 수 있다. 금속 산화물이라 하더라도 페로브스카이트(perovskite) 결정 구조를 갖는다면 비저항이 2×10-4μΩ cm보다 커진다. 그리하여, 페로브스카이트(perovskite) 결정 구조를 갖는 금속 산화물을 중간층(250)으로 이용하는 것보다, 델라포사이트의 결정 구조를 갖는 금손 산화물을 중간층(250)으로 이용하는 것이 저항이 커지는 것을 방지할 수 있다.
도 5는 일 실시예에 따른 델라포사이트의 결정 구조를 갖는 금속 산화물의 수평 (in plane) 방향을 도시한 도면이다. 도 5에 도시된 바와 같이, 델라포사이트의 결정 구조를 갖는 금속 산화물은 비등성 형태를 가진다. 그리하여, 델라포사이트의 결정 구조를 갖는 폭이 좁은 트렌치(T) 내에서도 측면 방향으로 성장이 용이할 수 있다.
도 6은 일 실시예에 따른 금속의 (111) 면의 결정 구조를 도시한 도면이다. 일반적으로, 금속층(210)은 (111) 면에서 면심 입방(face centered cubic) 결정 구조를 가질 수 있다. 도 6에서 도시된 델라포사이트의 결정 구조를 갖는 금속 산화물은 육각 격자 구조를 갖는다. 그리하여, 일 실시예에 따른 금속 산화물과 금속층(210)의 금속간의 격자 상수의 차이가 작은 바, 금속 산화물로 형성된 중간층(250)상에 금속층(210)의 적층이 용이할 수 있다.
또한, 일 실시예에 따른 금속 산화물로 중간층(250)을 형성하고, 중간층(250)상에 금속층(210)을 형성한 후, 열처리하면, 일 실시예에 따른 금속 산화물이 결정성을 갖기 때문에 금속의 결정성을 향상시킬 수 있다. 금속의 결정성 향상에 따른 그레인 크기(grain size)의 증대는 배선의 저항 감소에 영향을 미칠 수 있다.
일 실시예에 따른 중간층(250)의 격자 상수와 금속층(210)의 격자 상수간의 불일치율은 약 ±15%이하일 수 있다.
도 7은 일 실시예에 다른 중간층(250)에 적용되는 금속 산화물과 금속층(210)에 적용되는 금속간의 격자 상수의 불일치율을 계산한 결과이다. 일 실시예에 따른 델라포사이트 결정 구조를 갖는 금속 산화물은 2.8 내지 2.9 Å수준의 격자 파라미터(lattice parameter)를 가짐을 확인할 수 있다.
격자 상수의 불일치율은 (금속의 격자 상수-금속 산화물의 격자 상수)/금속 산화물의 격자 상수로 정의될 수 있다. 델라포사이트의 결정 구조를 갖는 금속 산화물은 금속과의 격자 상수의 불일치율이 약 ±15%이하임을 확인할 수 있다. 델라포사이트의 결정 구조를 갖는 금속 산화물은 금속과 격자 상수의 차이가 적은 바, 델라포사이트의 결정 구조는 갖는 금속 산화물상에 금속 물질이 용이하게 적층될 수 있다.
도 8a 내지 도 8c는 일 실시예에 따른 배선 구조체를 제조하는 과정을 설명하는 참조도면이다.
도 8a에 도시된 바와 같이, 유전체층(230)에 트렌치(T)를 형성할 수 있다. 유전체층(230)은 저유전 상수의 물질로 형성될 수 있다. 유전체층(230)의 비유전 상수는 약 4이하일 수 있다. 예를 들어, 유전체층(230)은 Si-O-CH3의 화학 구조를 가질 수 있다. 상기한 유전체층(230)은 -CH3에 의해 다공성 특성을 가지고, 유전체층(230)의 기공 내에 비유전 상수가 약 1인 공기가 채워짐으로서 유전체층(230)의 비유전 상수는 더 낮아질 수 있다.
플라즈마 에칭을 통해 유전체층(230)상에 트렌치(T)를 형성할 수 있다. 유전체층(230)의 표면을 산소, 플루오로카본(fluorocarbon) 등의 플라즈마로 식각함으로써 트렌치(T)를 형성할 수 있다. 유전체층(230) 중 트렌치(T)가 형성된 영역은 플라즈마 에칭에 의해 화학 구조가 변할 수 있다. 예를 들어, Si-O-CH3의 화학 구조가 Si-O(OH)와 같은 산화물 화학 구조로 변화할 수 있다.
도 8b에 도시된 바와 같이, 트렌치(T)를 포함하는 유전체층(230)의 표면상에 3원계의 금속 산화물을 증착시켜 중간층(250)을 형성할 수 있다. 상기한 삼원계의 금속 산화물은 델라포사이트의 결정 구조를 가질 수 있다. 삼원계의 금속산화물은 A-O-B-O의 화학 구조를 가지는 바, 트렌치(T) 표면의 Si-O-OH 화학 구조를 갖는 물질과 화학적 결합을 통해 자발적으로 표면 흡착이 용이할 수 있다. 그리고, 델라포사이트의 결정 구조를 갖는 삼원계의 금속 산화물은 비등방성 형태를 가지므로 트렌치(T)의 표면을 따라 측면 방향으로 성장(lateral growth)하는 바, 폭이 좁은 트렌치(T) 내에도 중간층(250)의 형성이 용이할 수 있다. 중간층(250)의 두께는 약 15nm이하일 수 있다. 트랜치의 폭은 약 60nm이하일 수 있다.
도 8c에 도시된 바와 같이, 중간층(250)상에 금속층(210)을 형성할 수 있다. 금속층(210)은 면심입방격자(Face-centered cubic) 구조 또는 6각형(Hexagonal) 구조를 포함할 수 있다. 예를 들어, 금속층(210)은 Cu, Ag, Au, Al, Pt, Pd, Rh, Ir, Ru 중 적어도 하나를 포함할 수 있다. 중간층(250)은 수평 방향으로 육각 격자 구조를 가지고, 중간층(250)의 금속 산화물과 금속층(210)의 금속간의 격자 불일치율이 ±15%이하로 작다. 그리하여, 비정질의 유전체층(230)상에 결정질의 중간층(250)을 형성한 후 금속층(210)을 형성하고 열 처리하면, 중간층(250)이 금속층(210)의 결정성을 높이는 역할을 할 수 있다. 금속층(210)의 두께는 약 5nm 내지 50nm일 수 있다. 트렌치 내에서의 금속층(210)의 폭은 약 50nm이하일 수 있다.
도 9는 다른 실시예에 따른 박막 구조체를 도시한 도면이다. 도 9의 박막 구조체는 트렌치(T)를 포함하지 않을 수 있다. 유전체층(230a)은 실리콘, 실리콘 산화물 등을 포함할 수 있다. 예를 들어, 유전체층(230a)은 실리콘으로 형성된 제1 유전체층(232)과 실리콘 산화물로 형성된 제2 유전체층(234)을 포함할 수 있다. 도 9에서는 유전체층(230a)이 서로 다른 물질로 형성된 제1 및 제2 유전체층(232, 234)을 포함한다고 설명하였다. 그러나, 이에 한정되지 않는다. 유전체층(230a)은 하나의 층으로 형성될 수 있으며, 예를 들어, 실리콘 산화물로 형성될 수 있다.
유전체층(230a) 상에는 중간층(250a)이 형성될 수 있다. 중간층(250a)은 삼원계의 금속 산화물을 포함할 수 있다. 삼원계의 금속 산화물은 비저항이 낮으며, 결정 구조를 가질 수 있다. 예를 들어, 삼원계의 금속 산화물은 약 1×10-5Ωcm이하의 벌크 저항을 가질 수 있다. 그리고, 삼원계의 금속 산화물은 델라포사이트의 결정 구조를 가질 수 있다. 삼원계의 금속 산화물은 제2 유전체층(230)과 화학적 결합을 하여 중간층(250)은 유전체층(230)상에 흡착이 용이할 수 있다.
삼원계의 금속 산화물은 ABO2 화합물(A와 B는 금속)을 포함할 수 있으며, 여기서, A는 Pt, Pd, Ag 중 어느 하나이고, B는 Co, Cr, Ni, Rh 중 어느 하나일 수 있다. 예를 들어, 삼원계의 금속 산화물은 PtCoO2, PdCoO2, PdCrO2, PdRhO2, AgNiO2 중 적어도 하나를 포함할 수 있다.
중간층(250a)상에 금속층(210a)이 형성될 수 있다. 진공 증착을 통해 금속층(210a)이 형성될 수 있다. 중간층(250a)은 결정구조를 가지는 바, 비정질의 유전체층(230a)상에 금속층(210a)을 형성하는 것보다 금속층(210a)의 형성이 용이하다. 델라포사이트의 결정 구조를 갖는 금속 산화물은 금속층(210a) 형성후 열처리를 하면, 금속층(210)의 결정화를 증대시킬 수 있다.
도 9의 박막 구조체는 배선 역할을 할 수 있다. 그러나, 이에 한정되지 않는다. 반도체 소자, 예를 들어, 트랜지스터, 커패시터에서 구성요소의 일부로 이용될 수 있다.
도 10은 일 실시예에 따른 SiO2/Si의 유전체층상에 PtCoO2의 중간층을 형성한 후, 저항을 측정한 결과이다. PtCoO2의 중간층의 두께가 낮아짐에 따라 시트 저항 및 비저항이 커짐을 확인할 수 있다. 그러나, 10-4 Ωcm 보다 작은 비저항을 갖기 때문에 PtCoO2는 중간층으로 기능할 수 있다.
도 11은 일 실시예에 따른 SiO2/Si의 유전체층상에 PtCoO2의 중간층이 형성된 박막의 SEM(Scanning Electron Microscope) 표면 이미지이다. PtCoO2의 중간층은 결정성을 가짐을 확인할 수 있다. 중간층(250)의 결정성은 금속층의 결정화를 강화시킬 수 있다.
도 12는 일 실시예에 따른 SiO2/Si의 유전체층상에 PtCoO2의 중간층이 형성된 박막의 STEM(scanning transmission electron microscope) 단면 이미지이고, 도 13은 일 실시예에 따른 SiO2/Si의 유전체층상에 PtCoO2의 중간층이 형성된 박막 단면의 EDS(Energy Dispersive Spectroscopy) 맴핑을 나타내는 도면이다. 도 12 및 도 13를 참조하면, 중간층은 Pt, Co, O이외에도 유전체층의 물질은 Si가 포함되어 있음을 확인할 수 있다. 이는 중간층의 PtCoO2가 유전체층의 SiO2와 화학적으로 결합하였음을 의미한다.
도 14는 일 실시예에 따른 SiO2/Si의 유전체층상에 PtCoO2의 중간층이 형성된 박막의 XRD(X-ray diffraction) 패턴을 도시한 도면이다. 도 14를 참조하면, (0003) 및 (0006)에서 피크가 검출되는 바, 중간층(250)은 결정성을 가짐을 확인할 수 있다.
도 15는 다른 실시예에 따른 배선 구조체를 도시한 도면이다. 도 15에 도시된 바와 같이, 중간층(250b)은 금속층(210)의 하면에 접하도록 트렌치(T)의 하벽에만 배치될 수 있다.
도 16는 일 실시예에 따른 캡층을 포함하는 배선 구조체를 도시한 도면이다.
도 16에 도시된 바와 같이, 배선 구조체(200d)는 금속층(210)의 상면에 배치되는 캡층(260)을 더 포함할 수 있다. 캡층(260)은 나노결정질 그래핀을 포함할 수 있다. 이 캡층(260)은 단일층 구조 또는 복수의 층이 적층된 다층 구조를 가질 수 있다. 나노결정질 그래핀이라 함은 일반적인 결정질 그래핀인 진성 그래핀(intrinsic graphene)에 비해 크기가 작은 결정들을 포함할 수 있다. 예를 들면, 나노결정질 그래핀은 나노 수준, 구체적으로 100nm 이하의 크기를 가지는 결정들을 포함할 수 있다. 후술하는 바와 같이, 금속층(210)의 상면에 나노결정질 그래핀으로 이루어진 캡층(260)을 형성하게 되면 금속층(210)의 전기 저항을 줄일 수 있으며, 이에 따라 일렉트로마이그레이션(electromigration) 저항을 향상시킬 수 있다.
도 17은 일 실시예에 따른 접합층을 포함하는 배선 구조체를 도시한 도면이다.
또는, 도 17에 도시된 바와 같이, 배선 구조체(200e)는 캡층(260)과 금속층(210) 사이에 접합층(270)을 더 포함할 수 있다. 접합층(270)이 금속층(210)과 캡층(260)을 계면 결합시킴으로써, 금속층(210)과 캡층(260) 사이에서 전기적 이동을 억제할 수 있다.
접합층(270)은 캡층(260)과의 계면에 카바이드 접합(carbide bond)를 형성할 수 있다. 접합층(270)은 캡층(260)과의 계면에 카바이드 접합을 형성하도록 마그네슘(Mg), 알루미늄(Al), 스칸튬(Sc), 티타늄(Ti), 바나듐(V), 크롬(Cr), 망간(Mn), 니켈(Ni), 구리(Cu), 아연(Zn), 갈륨(Ga), 지르코늄(Zr), 니오븀(Nb), 몰리브덴(Mo), 납(Pd), 은(Ag), 카드뮴(Cd), 인듐(In), 주석(Sn), 란타넘(La), 하프늄(Hf), 탄탈륨(Ta), 텅스텐(W), 이리듐(Ir), 백금(Pt), 금(Au), 비스무트(Bi), 코발트(Co), 루테늄(Ru), 로듐(Rh) 중에서 선택된 적어도 하나의 금속 또는 금속의 합금을 포함할 수 있다.
캡층(260) 및 접합층(270)은 금속층(210)의 물질이 다른 영역으로 확산되는 것을 방지할 수 있다.
중간층은 금속 산화물로서 전도성의 특성을 갖는 층도 포함하는 바, 삼원계의 금속 산화물 자체가 배선 역할을 할 수 있다.
도 18는 다른 실시예에 따른 배선 구조체를 도시한 도면이다. 금속층(210a)은 삼원계의 금속 산화물일 수 있다. 삼원계의 금속 산화 자체는 유전체층(230)에 접착이 잘 되고, 배선 역할을 할 수도 있다.
도 19 및 20은 일 실시예에 따른 홀을 포함하는 배선 구조체를 도시한 도면이다. 도 19에 도시된 바와 같이, 배선 구조체(300)는 트렌치(T)가 형성된 제1 유전체층(332), 제1 유전체층(332) 상에 배치되며, 비아홀(h)이 형성된 제2 유전체층(334)을 포함할 수 있다. 제1 유전체층(332)에 형성된 트렌치(T)에는 제1 금속층(312)이 채워질 수 있고, 제2 유전체층(334)에 형성된 비아홀(h)에는 제2 금속층(314)이 채워질 수 있다. 그리고, 제1 금속층(312) 및 제2 금속층(314)은 전기적으로 연결될 수 있다.
또한, 배선 구조체(300)는 제1 유전체층(332) 및 제2 유전체층(334) 사이에 배치되는 제1 식각 정지막(382) 및 제2 유전체층(334)의 상부 표면에 배치되는 제2 식각 정지막(384)을 포함할 수 있다. 제1 및 제2 식각 정지막(382, 384)은 SiN, SiON, SiC, SiCN, 또는 이들의 조합으로 형성될 수 있다. 이러한 제1 및 제2 식각 정지막(382, 384)은 다마신 공정으로 배선 구조체(300)를 제조할 때, 제1 및 제2 유전체층(230)(332, 334)을 선택적으로 식각하는데 이용될 수 있다.
다마신 공정에 의한 배선 구조체(300)는 비아홀의 수직한 프로파일이 개선됨으로써, 배선 구조체(300)의 항복 전압(breakdown voltage)을 증가시킬 수 있다. 금속층(310)과 유전체층(330)사이에는 중간층(350)이 배치될 수 있다. 중간층(350)은 트렌치(T)의 내벽에 배치되는 제1 중간층(352)와 비아홀(h)의 내벽에 배치되는 제2 중간층(354)를 포함할 수 있다.
중간층(350)은 삼원계의 금속 산화물을 포함할 수 있다. 예를 들어, 중간층은 ABO2 화합물(A와 B는 금속)을 포함할 수 있으며, 여기서, A는Pt, Pd, Ag 중 어느 하나이고, B는 Co, Cr, Ni, Rh 중 어느 하나일 수 있다. 예를 들어, 삼원계의 금속 산화물은 PtCoO2, PdCoO2, PdCrO2, PdRhO2, AgNiO2 중 적어도 하나를 포함할 수 있다.
일 실시예에 따른 중간층(350)은 델라포사이트의 결정 구조를 가질 수 있으며, 비저항이 10-4Ωcm이하일 수 있다. 중간층의 두께는 15nm이하일 수 있다.
도 19에서는 중간층(250)의 트렌치(T)의 내벽 및 비아홀(h)의 내벽에 모두 배치되는 것을 도시되어 있으나, 이에 한정되지 않는다. 트렌치(T)의 내벽 및 비아홀(h)의 내벽 중 일부 영역에만 중간층(350)이 배치될 수 있음도 물론이다.
또는, 도 20에 도시된 바와 같이, 배선 구조체(300b)의 비아홀(h1)의 폭이 트렌치(T1)의 폭보다 클 수 있다. 그리고, 제1 중간층(352a)과 제2 중간층(354a)는 서로 접하여 하나의 중간층(350a)가 형성될 수도 있다. 도면에는 비아홀(h1)의 폭이 트렌치(T1)의 폭보다 큰 것으로 도시되어 있으나, 이에 한정되지 않는다. 비아홀(h1)의 폭이 트렌치(T1)의 폭보다 작은 경우에도 제1 및 제2 중간층(352a, 354a)으로 서로 접하여 일체화될 수 있음도 물론이다.
도 21은 다른 실시예에 따른 배선 구조체(120a)를 포함하는 전자 장치(100a)를 나타내는 참조도면이다.
도 21을 참조하면, 전자 장치(100a)는 기판(110a)과 이 기판(110a)에 마련되는 배선 구조체(120a)를 포함한다. 여기서, 배선 구조체(120a)는 금속층(410) 유전체층(430) 및 중간층(450)을 포함할 수 있다.
기판(110a)은 반도체 기판이 될 수 있다. 예를 들면, 기판(110a)은 IV족 반도체 물질, III/V 족 반도체 화합물 또는 II/VI 족 반도체 화합물을 포함할 수 있다. 구체적인예로서, 기판(110a)은 Si, Ge, SiC, SiGe, SiGeC, Ge Alloy, GaAs, InAs, InP 등을 포함할 수 있다. 하지만, 이는 단지 예시적인 것으로, 이외에도 다른 다양한 반도체 물질이 기판(112)으로 사용될 수 있다.
기판(110a)은 단일층 또는 서로 다른 물질이 적층된 복수층을 포함할 수 있다. 기판(112)은 예를 들면, SOI(Silicon-On-Insulator) 기판 또는 SGOI(Silicon Germanium-On-Insulator) 기판을 포함할 수 있다. 또한, 기판은 도핑되지 않은(non-doped) 반도체 물질 또는 도핑된(doped) 반도체 물질을 포함할 수 있다.
이 기판(110a)에는 적어도 하나의 반도체 소자(미도시)가 포함될 수 있다. 여기서, 반도체 소자는 예를 들면, 트랜지스터(transistor), 커패시터(capacitor), 다이오드(diode) 및 저항기(resistor) 중 적어도 하나를 포함할 수 있다. 하지만, 이에 한정되는 것은 아니다.
기판(110a)에는 유전체층(430)이 형성되어 있다. 이 유전체층(430)은 단일층 구조 또는 서로 다른 물질들이 적층된 다층 구조를 가질 수 있다. 유전체층(430)은 일반적인 반도체 제조 공정에서 사용되는 유전물질을 포함할 수 있다. 예를 들면, 유전체층(430)은 실리콘 산화물, 질화물, 실리콘 질화물, 실리콘 카바이드, 실리케이트 등을 포함할 수 있다. 하지만, 이는 단지 예시적인 것으로 이외에도 다른 댜앙한 유전 물질이 유전체층(430)으로 사용될 수 있다. 또한, 유전체층(430)은 유기 유전물질을 포함할 수도 있다.
유전체층(430)에는 적어도 하나의 트렌치(T)가 소정 깊이로 형성될 수 있다. 여기서, 적어도 하나의 트렌치(T)는 기판(110a)에 접촉하지 않도록 형성되거나 또는 기판(110a)에 접촉하도록 형성될 수 있다. 도 21에는 유전체층(430)에 2개의 트렌치(T)가 형성되어 있으며, 이 중에서 하나는 트렌치(T)는 기판(110a)에 접촉하지 않도록 형성되고 다른 하나는 트렌치(T)는 기판(110a)에 접촉하도록 형성된 경우가 도시되어 있다.
금속층(410)은 트렌치(T)의 내부를 채우도록 마련되어 있다. 트렌치(T)의 내벽에는 중간층(450)이 마련되어 있다. 여기서, 중간층(450)은 유전체층(430)과 금속층(410) 사이에서 금속층(410)을 덮도록 마련될 수 있다. 구체적으로, 중간층(450)은 금속층(410)의 측면 및 하면을 덮도록 트렌치(T)의 내벽에 마련될 수 있다. 금속층(410)의 상면은 중간층(450)에 의해 노출될 수 있다. 중간층(450)은 유전체층(430)과 금속층(410) 사이의 접착층 역할을 할 뿐만 아니라, 금속층의 결정화를 강화시켜 준다.
중간층(350)은 삼원계의 금속 산화물을 포함할 수 있다. 예를 들어, 중간층은 ABO2 화합물(A와 B는 금속)을 포함할 수 있으며, 여기서, A는 Pt, Pd, Ag 중 어느 하나이고, B는 Co, Cr, Ni, Rh 중 어느 하나일 수 있다. 예를 들어, 삼원계의 금속 산화물은 PtCoO2, PdCoO2, PdCrO2, PdRhO2, AgNiO2 중 적어도 하나를 포함할 수 있다.
일 실시예에 따른 중간층(350)은 델라포사이트의 결정 구조를 가질 수 있으며, 비저항이 10-4Ωcm이하일 수 있다. 중간층의 두께는 15nm이하일 수 있다.
이상에서는 삼원계의 금속 산화물을 포함하는 중간층의 실시예에 대하여 도시하고 설명하였지만, 본 실시예는 상술한 특정의 실시예에 한정되지 아니하며, 청구범위에서 청구하는 본 발명의 요지를 벗어남이 없이 당해 발명이 속하는 기술분야에서 통상의 지식을 가진자에 의해 다양한 변형실시가 가능한 것은 물론이고, 이러한 변형실시들은 본 발명의 기술적 사상이나 전망으로부터 개별적으로 이해되어서는 안될 것이다.
100: 전자 장치
200: 배선 구조체
210: 금속층
230: 유전체층
250: 중간층

Claims (20)

  1. 금속층;
    상기 금속층의 적어도 일부를 감싸는 유전체층; 및
    상기 금속층과 상기 유전체층 사이에 배치되며, 삼원계의 금속 산화물을 포함하는 중간층;을 포함하는 배선 구조체.
  2. 제 1항에 있어서,
    상기 삼원계의 금속 산화물은,
    델라포사이트(delafossite)의 결정 구조를 포함하는 배선 구조체.
  3. 제 1항에 있어서,
    상기 삼원계의 금속 산화물은,
    ABO2 화합물(A와 B는 금속)을 포함하는 배선 구조체.
  4. 제 1항에 있어서,
    상기 삼원계의 금속 산화물의 A는Pt, Pd, Ag 중 어느 하나이고, B는 Co, Cr, Ni, Rh 중 어느 하나인 배선 구조체.
  5. 제 1항에 있어서,
    상기 삼원계의 금속 산화물은,
    PtCoO2, PdCoO2, PdCrO2, PdRhO2, AgNiO2 중 적어도 하나를 포함하는 배선 구조체.
  6. 제 1항에 있어서,
    상기 중간층의 두께는,
    15nm이하인 배선 구조체.
  7. 제 1항에 있어서,
    상기 금속층의 두께는,
    5nm 내지 50nm인 배선 구조체.
  8. 제 1항에 있어서,
    상기 금속층의 폭은,
    50nm이하인 배선 구조체.
  9. 제 1항에 있어서,
    상기 금속층은,
    면심입방격자(Face-centered cubic) 구조 또는 6각형(Hexagonal) 구조를 포함하는 배선 구조체.
  10. 제 1항에 있어서,
    상기 금속층은,
    Cu, Ag, Au, Al, Pt, Pd, Rh, Ir, Ru 중 적어도 하나를 포함하는 배선 구조체.
  11. 제 1항에 있어서,
    상기 유전체층은,
    비유전 상수가 4이하인 배선 구조체.
  12. 제 1항에 있어서,
    상기 유전체층은,
    다공성인 배선 구조체.
  13. 제 1항에 있어서,
    상기 유전체층은,
    SiO2, SiO(CH3) 중 적어도 하나를 포함하는 배선 구조체.
  14. 제 1항에 있어서,
    상기 중간층의 벌크 저항은,
    10-5Ω cm이하인 배선 구조체.
  15. 제 1항에 있어서,
    상기 중간층의 비저항은,
    10-4Ω cm이하인 배선 구조체.
  16. 제 1항에 있어서,
    상기 중간층은,
    상기 유전체층에 포함된 산소이외의 원소를 포함한 배선 구조체.
  17. 제 1항에 있어서,
    상기 유전체층은 소정 깊이의 트렌치를 포함하는 제1 유전체층을 포함하고,
    상기 중간층은 상기 트렌치의 내벽상에 배치되는 제1 중간층을 포함하며,
    상기 금속층은 상기 트렌치의 내부를 채우는 제1 금속층을 포함하는 배선 구조체.
  18. 제 1항에 있어서,
    상기 유전체층은 비아홀을 포함하는 제2 유전체층을 포함하고,
    상기 중간층은 상기 비아홀의 내벽상에 배치되는 제2 중간층을 포함하며,
    상기 금속층은 상기 비아홀의 내부를 채우는 제2 금속층을 포함하는 배선 구조체.
  19. 트랜지스터, 커패시터, 저항 중 적어도 하나를 포함하는 소자층;
    상기 소자층에 연결되며, 제1항 내지 제18항 중 어느 한 항에 따른 배선 구조체;를 포함하는 전자 장치.
  20. 제 19항에 있어서,
    상기 소자층은,
    메모리 소자, 디스플레이 소자, 집적 회로 소자 중 적어도 하나를 포함하는 전자 장치.
KR1020210188989A 2021-12-27 2021-12-27 배선 구조체 및 이를 포함하는 장치 KR20230099536A (ko)

Priority Applications (4)

Application Number Priority Date Filing Date Title
KR1020210188989A KR20230099536A (ko) 2021-12-27 2021-12-27 배선 구조체 및 이를 포함하는 장치
US18/145,490 US20230207467A1 (en) 2021-12-27 2022-12-22 Interconnector and electronic apparatus including the same
CN202211684280.3A CN116364646A (zh) 2021-12-27 2022-12-27 互连件、包括其的电子设备和形成互连件的方法
EP22216982.3A EP4202993A3 (en) 2021-12-27 2022-12-28 Interconnector and electronic apparatus including the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020210188989A KR20230099536A (ko) 2021-12-27 2021-12-27 배선 구조체 및 이를 포함하는 장치

Publications (1)

Publication Number Publication Date
KR20230099536A true KR20230099536A (ko) 2023-07-04

Family

ID=84688254

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020210188989A KR20230099536A (ko) 2021-12-27 2021-12-27 배선 구조체 및 이를 포함하는 장치

Country Status (4)

Country Link
US (1) US20230207467A1 (ko)
EP (1) EP4202993A3 (ko)
KR (1) KR20230099536A (ko)
CN (1) CN116364646A (ko)

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1168320A (ja) * 1997-08-23 1999-03-09 Sony Corp 多層配線板及びその製造方法
JPH11135950A (ja) * 1997-10-29 1999-05-21 Sony Corp 多層配線板及びその製造方法
US11430861B2 (en) * 2019-12-27 2022-08-30 Kepler Computing Inc. Ferroelectric capacitor and method of patterning such

Also Published As

Publication number Publication date
CN116364646A (zh) 2023-06-30
EP4202993A2 (en) 2023-06-28
EP4202993A3 (en) 2023-07-12
US20230207467A1 (en) 2023-06-29

Similar Documents

Publication Publication Date Title
US10134628B2 (en) Multilayer structure including diffusion barrier layer and device including the multilayer structure
CN111146143B (zh) 形成自对准通孔的方法
US7491643B2 (en) Method and structure for reducing contact resistance between silicide contact and overlying metallization
US11682622B2 (en) Interconnect structure having nanocrystalline graphene cap layer and electronic device including the interconnect structure
JP5127251B2 (ja) 半導体装置の製造方法
US7135774B2 (en) Heat resistant ohmic electrode and method of manufacturing the same
US20220319916A1 (en) Semiconductor device
JP7366389B2 (ja) 配線構造体及び半導体装置
US11069619B2 (en) Interconnect structure and electronic device employing the same
US11355441B2 (en) Semiconductor device
KR20230099536A (ko) 배선 구조체 및 이를 포함하는 장치
US11217531B2 (en) Interconnect structure having nanocrystalline graphene cap layer and electronic device including the interconnect structure
US11476195B2 (en) Wiring structure and semiconductor device
KR20230022707A (ko) 인터커넥트 구조체 및 이를 포함하는 전자 소자
KR20230115145A (ko) 인터커넥트 구조체 및 이를 포함하는 전자 소자